Fixed file naming from last commit
diff --git a/verilog/s8_osu130_addfx1.v b/verilog/sky130_osu_sc_addfx1.v
similarity index 100%
rename from verilog/s8_osu130_addfx1.v
rename to verilog/sky130_osu_sc_addfx1.v
diff --git a/verilog/s8_osu130_addfxl.v b/verilog/sky130_osu_sc_addfxl.v
similarity index 100%
rename from verilog/s8_osu130_addfxl.v
rename to verilog/sky130_osu_sc_addfxl.v
diff --git a/verilog/s8_osu130_addhx1.v b/verilog/sky130_osu_sc_addhx1.v
similarity index 100%
rename from verilog/s8_osu130_addhx1.v
rename to verilog/sky130_osu_sc_addhx1.v
diff --git a/verilog/s8_osu130_addhxl.v b/verilog/sky130_osu_sc_addhxl.v
similarity index 100%
rename from verilog/s8_osu130_addhxl.v
rename to verilog/sky130_osu_sc_addhxl.v
diff --git a/verilog/s8_osu130_and2x1.v b/verilog/sky130_osu_sc_and2x1.v
similarity index 100%
rename from verilog/s8_osu130_and2x1.v
rename to verilog/sky130_osu_sc_and2x1.v
diff --git a/verilog/s8_osu130_and2x2.v b/verilog/sky130_osu_sc_and2x2.v
similarity index 100%
rename from verilog/s8_osu130_and2x2.v
rename to verilog/sky130_osu_sc_and2x2.v
diff --git a/verilog/s8_osu130_and2x4.v b/verilog/sky130_osu_sc_and2x4.v
similarity index 100%
rename from verilog/s8_osu130_and2x4.v
rename to verilog/sky130_osu_sc_and2x4.v
diff --git a/verilog/s8_osu130_and2x8.v b/verilog/sky130_osu_sc_and2x8.v
similarity index 100%
rename from verilog/s8_osu130_and2x8.v
rename to verilog/sky130_osu_sc_and2x8.v
diff --git a/verilog/s8_osu130_and2xl.v b/verilog/sky130_osu_sc_and2xl.v
similarity index 100%
rename from verilog/s8_osu130_and2xl.v
rename to verilog/sky130_osu_sc_and2xl.v
diff --git a/verilog/s8_osu130_and3xl.v b/verilog/sky130_osu_sc_and3xl.v
similarity index 100%
rename from verilog/s8_osu130_and3xl.v
rename to verilog/sky130_osu_sc_and3xl.v
diff --git a/verilog/s8_osu130_ant.v b/verilog/sky130_osu_sc_ant.v
similarity index 100%
rename from verilog/s8_osu130_ant.v
rename to verilog/sky130_osu_sc_ant.v
diff --git a/verilog/s8_osu130_aoi21xl.v b/verilog/sky130_osu_sc_aoi21xl.v
similarity index 100%
rename from verilog/s8_osu130_aoi21xl.v
rename to verilog/sky130_osu_sc_aoi21xl.v
diff --git a/verilog/s8_osu130_bufx1.v b/verilog/sky130_osu_sc_bufx1.v
similarity index 100%
rename from verilog/s8_osu130_bufx1.v
rename to verilog/sky130_osu_sc_bufx1.v
diff --git a/verilog/s8_osu130_bufx2.v b/verilog/sky130_osu_sc_bufx2.v
similarity index 100%
rename from verilog/s8_osu130_bufx2.v
rename to verilog/sky130_osu_sc_bufx2.v
diff --git a/verilog/s8_osu130_bufx4.v b/verilog/sky130_osu_sc_bufx4.v
similarity index 100%
rename from verilog/s8_osu130_bufx4.v
rename to verilog/sky130_osu_sc_bufx4.v
diff --git a/verilog/s8_osu130_bufx6.v b/verilog/sky130_osu_sc_bufx6.v
similarity index 100%
rename from verilog/s8_osu130_bufx6.v
rename to verilog/sky130_osu_sc_bufx6.v
diff --git a/verilog/s8_osu130_bufx8.v b/verilog/sky130_osu_sc_bufx8.v
similarity index 100%
rename from verilog/s8_osu130_bufx8.v
rename to verilog/sky130_osu_sc_bufx8.v
diff --git a/verilog/s8_osu130_bufxl.v b/verilog/sky130_osu_sc_bufxl.v
similarity index 100%
rename from verilog/s8_osu130_bufxl.v
rename to verilog/sky130_osu_sc_bufxl.v
diff --git a/verilog/s8_osu130_clkbufx1.v b/verilog/sky130_osu_sc_clkbufx1.v
similarity index 100%
rename from verilog/s8_osu130_clkbufx1.v
rename to verilog/sky130_osu_sc_clkbufx1.v
diff --git a/verilog/s8_osu130_clkinvx1.v b/verilog/sky130_osu_sc_clkinvx1.v
similarity index 100%
rename from verilog/s8_osu130_clkinvx1.v
rename to verilog/sky130_osu_sc_clkinvx1.v
diff --git a/verilog/s8_osu130_clkinvx2.v b/verilog/sky130_osu_sc_clkinvx2.v
similarity index 100%
rename from verilog/s8_osu130_clkinvx2.v
rename to verilog/sky130_osu_sc_clkinvx2.v
diff --git a/verilog/s8_osu130_clkinvx4.v b/verilog/sky130_osu_sc_clkinvx4.v
similarity index 100%
rename from verilog/s8_osu130_clkinvx4.v
rename to verilog/sky130_osu_sc_clkinvx4.v
diff --git a/verilog/s8_osu130_dffnxl.v b/verilog/sky130_osu_sc_dffnxl.v
similarity index 100%
rename from verilog/s8_osu130_dffnxl.v
rename to verilog/sky130_osu_sc_dffnxl.v
diff --git a/verilog/s8_osu130_dffrxl.v b/verilog/sky130_osu_sc_dffrxl.v
similarity index 100%
rename from verilog/s8_osu130_dffrxl.v
rename to verilog/sky130_osu_sc_dffrxl.v
diff --git a/verilog/s8_osu130_dffsxl.v b/verilog/sky130_osu_sc_dffsxl.v
similarity index 100%
rename from verilog/s8_osu130_dffsxl.v
rename to verilog/sky130_osu_sc_dffsxl.v
diff --git a/verilog/s8_osu130_dffxl.v b/verilog/sky130_osu_sc_dffxl.v
similarity index 100%
rename from verilog/s8_osu130_dffxl.v
rename to verilog/sky130_osu_sc_dffxl.v
diff --git a/verilog/s8_osu130_dly1.v b/verilog/sky130_osu_sc_dly1.v
similarity index 100%
rename from verilog/s8_osu130_dly1.v
rename to verilog/sky130_osu_sc_dly1.v
diff --git a/verilog/s8_osu130_dly2.v b/verilog/sky130_osu_sc_dly2.v
similarity index 100%
rename from verilog/s8_osu130_dly2.v
rename to verilog/sky130_osu_sc_dly2.v
diff --git a/verilog/s8_osu130_dly3.v b/verilog/sky130_osu_sc_dly3.v
similarity index 100%
rename from verilog/s8_osu130_dly3.v
rename to verilog/sky130_osu_sc_dly3.v
diff --git a/verilog/s8_osu130_dly4.v b/verilog/sky130_osu_sc_dly4.v
similarity index 100%
rename from verilog/s8_osu130_dly4.v
rename to verilog/sky130_osu_sc_dly4.v
diff --git a/verilog/s8_osu130_invx1.v b/verilog/sky130_osu_sc_invx1.v
similarity index 100%
rename from verilog/s8_osu130_invx1.v
rename to verilog/sky130_osu_sc_invx1.v
diff --git a/verilog/s8_osu130_invx10.v b/verilog/sky130_osu_sc_invx10.v
similarity index 100%
rename from verilog/s8_osu130_invx10.v
rename to verilog/sky130_osu_sc_invx10.v
diff --git a/verilog/s8_osu130_invx2.v b/verilog/sky130_osu_sc_invx2.v
similarity index 100%
rename from verilog/s8_osu130_invx2.v
rename to verilog/sky130_osu_sc_invx2.v
diff --git a/verilog/s8_osu130_invx3.v b/verilog/sky130_osu_sc_invx3.v
similarity index 100%
rename from verilog/s8_osu130_invx3.v
rename to verilog/sky130_osu_sc_invx3.v
diff --git a/verilog/s8_osu130_invx4.v b/verilog/sky130_osu_sc_invx4.v
similarity index 100%
rename from verilog/s8_osu130_invx4.v
rename to verilog/sky130_osu_sc_invx4.v
diff --git a/verilog/s8_osu130_invx6.v b/verilog/sky130_osu_sc_invx6.v
similarity index 100%
rename from verilog/s8_osu130_invx6.v
rename to verilog/sky130_osu_sc_invx6.v
diff --git a/verilog/s8_osu130_invx8.v b/verilog/sky130_osu_sc_invx8.v
similarity index 100%
rename from verilog/s8_osu130_invx8.v
rename to verilog/sky130_osu_sc_invx8.v
diff --git a/verilog/s8_osu130_invxl.v b/verilog/sky130_osu_sc_invxl.v
similarity index 100%
rename from verilog/s8_osu130_invxl.v
rename to verilog/sky130_osu_sc_invxl.v
diff --git a/verilog/s8_osu130_nand2x1.v b/verilog/sky130_osu_sc_nand2x1.v
similarity index 100%
rename from verilog/s8_osu130_nand2x1.v
rename to verilog/sky130_osu_sc_nand2x1.v
diff --git a/verilog/s8_osu130_nand2xl.v b/verilog/sky130_osu_sc_nand2xl.v
similarity index 100%
rename from verilog/s8_osu130_nand2xl.v
rename to verilog/sky130_osu_sc_nand2xl.v
diff --git a/verilog/s8_osu130_nand3x1.v b/verilog/sky130_osu_sc_nand3x1.v
similarity index 100%
rename from verilog/s8_osu130_nand3x1.v
rename to verilog/sky130_osu_sc_nand3x1.v
diff --git a/verilog/s8_osu130_nand3xl.v b/verilog/sky130_osu_sc_nand3xl.v
similarity index 100%
rename from verilog/s8_osu130_nand3xl.v
rename to verilog/sky130_osu_sc_nand3xl.v
diff --git a/verilog/s8_osu130_nor2x1.v b/verilog/sky130_osu_sc_nor2x1.v
similarity index 100%
rename from verilog/s8_osu130_nor2x1.v
rename to verilog/sky130_osu_sc_nor2x1.v
diff --git a/verilog/s8_osu130_nor2xl.v b/verilog/sky130_osu_sc_nor2xl.v
similarity index 100%
rename from verilog/s8_osu130_nor2xl.v
rename to verilog/sky130_osu_sc_nor2xl.v
diff --git a/verilog/s8_osu130_oai21xl.v b/verilog/sky130_osu_sc_oai21xl.v
similarity index 100%
rename from verilog/s8_osu130_oai21xl.v
rename to verilog/sky130_osu_sc_oai21xl.v
diff --git a/verilog/s8_osu130_or2x1.v b/verilog/sky130_osu_sc_or2x1.v
similarity index 100%
rename from verilog/s8_osu130_or2x1.v
rename to verilog/sky130_osu_sc_or2x1.v
diff --git a/verilog/s8_osu130_or2x2.v b/verilog/sky130_osu_sc_or2x2.v
similarity index 100%
rename from verilog/s8_osu130_or2x2.v
rename to verilog/sky130_osu_sc_or2x2.v
diff --git a/verilog/s8_osu130_or2x4.v b/verilog/sky130_osu_sc_or2x4.v
similarity index 100%
rename from verilog/s8_osu130_or2x4.v
rename to verilog/sky130_osu_sc_or2x4.v
diff --git a/verilog/s8_osu130_or2xl.v b/verilog/sky130_osu_sc_or2xl.v
similarity index 100%
rename from verilog/s8_osu130_or2xl.v
rename to verilog/sky130_osu_sc_or2xl.v
diff --git a/verilog/s8_osu130_tbufxl.v b/verilog/sky130_osu_sc_tbufxl.v
similarity index 100%
rename from verilog/s8_osu130_tbufxl.v
rename to verilog/sky130_osu_sc_tbufxl.v
diff --git a/verilog/s8_osu130_tiehi.v b/verilog/sky130_osu_sc_tiehi.v
similarity index 100%
rename from verilog/s8_osu130_tiehi.v
rename to verilog/sky130_osu_sc_tiehi.v
diff --git a/verilog/s8_osu130_tielo.v b/verilog/sky130_osu_sc_tielo.v
similarity index 100%
rename from verilog/s8_osu130_tielo.v
rename to verilog/sky130_osu_sc_tielo.v
diff --git a/verilog/s8_osu130_tnbufxl.v b/verilog/sky130_osu_sc_tnbufxl.v
similarity index 100%
rename from verilog/s8_osu130_tnbufxl.v
rename to verilog/sky130_osu_sc_tnbufxl.v
diff --git a/verilog/s8_osu130_xnor2xl.v b/verilog/sky130_osu_sc_xnor2xl.v
similarity index 100%
rename from verilog/s8_osu130_xnor2xl.v
rename to verilog/sky130_osu_sc_xnor2xl.v
diff --git a/verilog/s8_osu130_xor2xl.v b/verilog/sky130_osu_sc_xor2xl.v
similarity index 100%
rename from verilog/s8_osu130_xor2xl.v
rename to verilog/sky130_osu_sc_xor2xl.v