blob: 85562b5dccec773753774faf35fe94ae35c83168 [file] [log] [blame]
// type: AND2
`timescale 1ns/10ps
`celldefine
module AND2X1 (Y, A, B);
output Y;
input A, B;
// Function
and (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine