blob: e259cd7fde17f6abedb884238eba5ef6f32e0a8c [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module INVX2 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine