blob: 38b668275f3af8b7e4b3a25a0e99db56ed56bf27 [file] [log] [blame]
// type: AND2
`timescale 1ns/10ps
`celldefine
module AND2X4 (Y, A, B);
output Y;
input A, B;
// Function
and (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine