| // type: XNOR2 | |
| `timescale 1ns/10ps | |
| `celldefine | |
| module XNOR2XL (Y, A, B); | |
| output Y; | |
| input A, B; | |
| // Function | |
| wire A__bar, B__bar, int_fwire_0; | |
| wire int_fwire_1; | |
| not (B__bar, B); | |
| not (A__bar, A); | |
| and (int_fwire_0, A__bar, B__bar); | |
| and (int_fwire_1, A, B); | |
| or (Y, int_fwire_1, int_fwire_0); | |
| // Timing | |
| specify | |
| if (B) | |
| (A => Y) = 0; | |
| if (~B) | |
| (A => Y) = 0; | |
| if (A) | |
| (B => Y) = 0; | |
| if (~A) | |
| (B => Y) = 0; | |
| endspecify | |
| endmodule | |
| `endcelldefine | |