blob: 35126a649e4d7a273ccd90d73062e535615a19d6 [file] [log] [blame]
// type: BUF
`timescale 1ns/10ps
`celldefine
module BUFX2 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine