blob: 5ee348a93a7e51111b93dd3c47dc78e3907ce7b5 [file] [log] [blame]
// type: AND2
`timescale 1ns/10ps
`celldefine
module AND2X2 (Y, A, B);
output Y;
input A, B;
// Function
and (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine