blob: 84f2164e835a3dc0520437b2020c9611ff1f3e36 [file] [log] [blame]
// type: TIEHI
`timescale 1ns/10ps
`celldefine
module TIEHI (Y);
output Y;
// Function
buf (Y, 1'b1);
// Timing
specify
endspecify
endmodule
`endcelldefine