blob: 4dd24fc69f3d558a319273b854d98cb5ba79d357 [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module INVX10 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine