blob: 926c81bbf33552a4addd7be756b13845cf667476 [file] [log] [blame]
// type: BUF
`timescale 1ns/10ps
`celldefine
module BUFX6 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine