// type: OAI21 | |
`timescale 1ns/10ps | |
`celldefine | |
module OAI21XL (Y, A0, A1, B0); | |
output Y; | |
input A0, A1, B0; | |
// Function | |
wire A0__bar, A1__bar, B0__bar; | |
wire int_fwire_0; | |
not (B0__bar, B0); | |
not (A1__bar, A1); | |
not (A0__bar, A0); | |
and (int_fwire_0, A0__bar, A1__bar); | |
or (Y, int_fwire_0, B0__bar); | |
// Timing | |
specify | |
(A0 => Y) = 0; | |
(A1 => Y) = 0; | |
if ((A0 & A1)) | |
(B0 => Y) = 0; | |
if ((A0 & ~A1)) | |
(B0 => Y) = 0; | |
if ((~A0 & A1)) | |
(B0 => Y) = 0; | |
ifnone (B0 => Y) = 0; | |
endspecify | |
endmodule | |
`endcelldefine | |