blob: 6817a577bb4e54d4b4dfa1f5deb982fd32e81c64 [file] [log] [blame]
// type: CLKINV
`timescale 1ns/10ps
`celldefine
module CLKINVX4 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine