blob: f83e9156349bfc715d7720fa345c420581b0fd3a [file] [log] [blame]
// type: TIELO
`timescale 1ns/10ps
`celldefine
module TIELO (Y);
output Y;
// Function
buf (Y, 1'b0);
// Timing
specify
endspecify
endmodule
`endcelldefine