blob: 92bbe0ec6fc535f3d6f50bbcf4206c6e5d186073 [file] [log] [blame]
// type: OR2
`timescale 1ns/10ps
`celldefine
module OR2X4 (Y, A, B);
output Y;
input A, B;
// Function
or (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine