blob: 201e4bd7486401f16a80158f95a7508c0d59b683 [file] [log] [blame]
// type: AND2
`timescale 1ns/10ps
`celldefine
module AND2XL (Y, A, B);
output Y;
input A, B;
// Function
and (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine