blob: 6dde6e959cf6a15a3fe8e32107007dad24fa30bd [file] [log] [blame]
// type: BUF
`timescale 1ns/10ps
`celldefine
module BUFXL (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine