blob: ed2e1192556a64d080953c154e1e49758c07dc62 [file] [log] [blame]
// type: AND2
`timescale 1ns/10ps
`celldefine
module AND2X8 (Y, A, B);
output Y;
input A, B;
// Function
and (Y, A, B);
// Timing
specify
(A => Y) = 0;
(B => Y) = 0;
endspecify
endmodule
`endcelldefine