blob: 0e39291261efda26dc54d0c9472b104ef541c4bb [file] [log] [blame]
// type: BUF
`timescale 1ns/10ps
`celldefine
module BUFX1 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine