blob: d81c32f063429b12324da7983cf394a08a8868b9 [file] [log] [blame]
// type: BUF
`timescale 1ns/10ps
`celldefine
module BUFX8 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine