blob: 1a3084e5afcb00f601c3a29471332d49d57cdcdf [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module INVXL (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine