blob: 34cd10d834eb06325e9eee229ebf35dc5e32d7b8 [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module INVX3 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine