blob: c0fb04b254c31249f3838a6c05120f8d62779fb8 [file] [log] [blame]
// type: CLKINV
`timescale 1ns/10ps
`celldefine
module CLKINVX1 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine