blob: f5cf36a4b260da094b3cc506785d93febc216601 [file] [log] [blame]
// type: INV
`timescale 1ns/10ps
`celldefine
module INVX8 (Y, A);
output Y;
input A;
// Function
not (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine