blob: a703499b82e10bd49a8afab82a0f37cea6ba9d35 [file] [log] [blame]
// type: DLY4
`timescale 1ns/10ps
`celldefine
module DLY4 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine