blob: 805e87be9efe207f7731812a61a548eed5863c5d [file] [log] [blame]
// type: DLY1
`timescale 1ns/10ps
`celldefine
module DLY1 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine