blob: f065eb1a7754b7654603f5f9a3470c1e6e240f88 [file] [log] [blame]
// type: TNBUF
`timescale 1ns/10ps
`celldefine
module TNBUFXL (Y, A);
output Y;
input A;
// Function
bufif0 (Y, 1'b1, A);
// Timing
specify
(A => Y) = 0;
(negedge A => (Y:1)) = 0;
endspecify
endmodule
`endcelldefine