blob: 0a8646788ca71c8b5122c7a9dd191bceb410b7de [file] [log] [blame]
// type: OR2
`timescale 1ns/10ps
`celldefine
module OR2X2 (Y, A, B);
output Y;
input A, B;
// Function
buf (Y, 1'b1);
// Timing
specify
ifnone (posedge A => (Y+:1'b1)) = 0;
ifnone (posedge B => (Y+:1'b1)) = 0;
endspecify
endmodule
`endcelldefine