blob: 31285b4215234c0a31de3c515f8b9066ced217a1 [file] [log] [blame]
// type: BUF
`timescale 1ns/10ps
`celldefine
module BUFX4 (Y, A);
output Y;
input A;
// Function
buf (Y, A);
// Timing
specify
(A => Y) = 0;
endspecify
endmodule
`endcelldefine