blob: 472073bbfd45dddb0cc9282a75a35a7e6d76aa60 [file] [log] [blame]
// type: ANT
`timescale 1ns/10ps
`celldefine
module ANT (A);
input A;
// Timing
specify
endspecify
endmodule
`endcelldefine