blob: 7885ff88de86a7d8a070cf643b4d2f3f03785eea [file] [log] [blame]
// type: TBUF
`timescale 1ns/10ps
`celldefine
module TBUFXL (Y, A);
output Y;
input A;
// Function
bufif1 (Y, 1'b1, A);
// Timing
specify
(A => Y) = 0;
(negedge A => (Y:1)) = 0;
endspecify
endmodule
`endcelldefine