1. b823400 Test read from RO port for dual port SRAMs by AmoghLonkar · 2 years, 11 months ago
  2. 5bd0765 Working testbench for logic analyzer interface by AmoghLonkar · 2 years, 11 months ago
  3. 395ad9d Finish serial communication with GPIO by AmoghLonkar · 2 years, 11 months ago
  4. 5ae71db Proper timing for single cycle input transfer by AmoghLonkar · 2 years, 11 months ago
  5. d6f65a9 sram_clk toggles as expected by AmoghLonkar · 2 years, 11 months ago
  6. ea2e590 Removed read_data register to reduce delay by AmoghLonkar · 2 years, 11 months ago
  7. 4e483a7 Get SRAM clock timing right by AmoghLonkar · 2 years, 11 months ago
  8. c5fa7ae Partial testbench by AmoghLonkar · 2 years, 11 months ago
  9. b7422ec Parsing input packet and making SRAM input connections by AmoghLonkar · 2 years, 11 months ago
  10. eaf938e Merge pull request #4 from AmoghLonkar/control_macro by Amogh Lonkar · 2 years, 11 months ago
  11. 7503247 Adding macro files by AmoghLonkar · 2 years, 11 months ago
  12. cf608e2 Use control logic macro by AmoghLonkar · 2 years, 11 months ago
  13. a99e3dd Merge pull request #3 from AmoghLonkar/chisel by Amogh Lonkar · 3 years ago
  14. 1f8c571 Adding chisel files by AmoghLonkar · 3 years ago
  15. 8487a58 TO-DO by AmoghLonkar · 3 years ago
  16. 40f794e Deleted old files by AmoghLonkar · 3 years ago
  17. b9a5f3a Deleted old files by AmoghLonkar · 3 years ago
  18. a927158 Deleted old files by AmoghLonkar · 3 years ago
  19. bb967ab Disabled Klayout XOR step by AmoghLonkar · 3 years ago
  20. 7c55d39 remove extra folders by Jesse Cirimelli-Low · 3 years ago
  21. 20d0669 remove extra files from repo; gbl routing to 0.2 by Jesse Cirimelli-Low · 3 years ago
  22. 28026c5 add extracted version of user project wrapper gds by Jesse Cirimelli-Low · 3 years ago
  23. 1926623 Merge branch 'main' of https://github.com/AmoghLonkar/openram_testchip by Jesse Cirimelli-Low · 3 years ago
  24. 4fc0624 update lef power names by Jesse Cirimelli-Low · 3 years ago
  25. d55f176 Removed optimization envs because no standard cells by AmoghLonkar · 3 years ago
  26. b29e94a Added : by AmoghLonkar · 3 years ago
  27. f27be30 Initial macro arrangement by AmoghLonkar · 3 years ago
  28. d7ce953 Included single port files and fixed gds error by AmoghLonkar · 3 years ago
  29. 9bff904 Moved files to proper directories by AmoghLonkar · 3 years ago
  30. 9fa43b7 Updated power pin names by AmoghLonkar · 3 years ago
  31. 05994cf Updated power pin names by AmoghLonkar · 3 years ago
  32. 9375078 Updated power pin names by AmoghLonkar · 3 years ago
  33. 7dfc0e6 Updated power pin names by AmoghLonkar · 3 years ago
  34. eaf3d99 Merge branch 'main' of https://github.com/AmoghLonkar/openram_testchip by Jesse Cirimelli-Low · 3 years ago
  35. ef394c4 update power pin names by Jesse Cirimelli-Low · 3 years ago
  36. 43506d4 Delete openram_testchip.lef by Amogh Lonkar · 3 years ago
  37. d60e9a9 Delete openram_testchip.gds by Amogh Lonkar · 3 years ago
  38. 7e4a9fd Updated wrapper to include new memory instances by AmoghLonkar · 3 years ago
  39. 5d91038 Updated verilog file for single port mem support by AmoghLonkar · 3 years ago
  40. a51bbbe Disabled optimizations, much faster by AmoghLonkar · 3 years ago
  41. 0581393 Merge branch 'main' of https://github.com/AmoghLonkar/openram_testchip into main by AmoghLonkar · 3 years ago
  42. e5369e1 New gds file by AmoghLonkar · 3 years ago
  43. 1a8f9b7 New lef file by AmoghLonkar · 3 years ago
  44. 61ce399 Delete sky130_sram_1kbyte_1rw1r_32x256_8.v by Amogh Lonkar · 3 years ago
  45. c8d0fb6 Merge pull request #2 from AmoghLonkar/feature/floorplan by Amogh Lonkar · 3 years ago
  46. 10663ac Merge branch 'main' into feature/floorplan by Amogh Lonkar · 3 years ago
  47. 49efff8 Update config file by AmoghLonkar · 3 years ago
  48. 56cda99 New SRAM macro by AmoghLonkar · 3 years ago
  49. 3bf691e New SRAM macro by AmoghLonkar · 3 years ago
  50. 6f08bba Update power pins on SRAM by AmoghLonkar · 3 years ago
  51. df0160f New file with changed power pins by AmoghLonkar · 3 years ago
  52. 9efc609 add singleport memories by Jesse Cirimelli-Low · 3 years ago
  53. 63f0643 Removed macro placement for control unit by AmoghLonkar · 3 years ago
  54. 41062a7 Updated config file to use pdn script and removed macro files for control logic by AmoghLonkar · 3 years ago
  55. 520c9fb Placed macros far apart to avoid overlap by AmoghLonkar · 3 years ago
  56. 00023dc Updated SRAM macro name by AmoghLonkar · 3 years ago
  57. 220784c Added intial macro placement by AmoghLonkar · 3 years ago
  58. 7d7491d Added wrapper gds and lef to env by AmoghLonkar · 3 years ago
  59. 714daf0 Modifications to pass synthesis by AmoghLonkar · 3 years ago
  60. a74fac0 Connecting control logic module to SRAMs and Caravel clock/IO interfaces by AmoghLonkar · 3 years ago
  61. accab47 Updating config file by AmoghLonkar · 3 years ago
  62. f56156e Adding lef files by AmoghLonkar · 3 years ago
  63. 868c59b Adding macros by AmoghLonkar · 3 years ago
  64. f8d3dbf Update config.tcl by AmoghLonkar · 3 years ago
  65. 9b07397 Added synthesizable verilog using Chisel by AmoghLonkar · 3 years ago
  66. a0b8d4a Delete test_chip.v by Amogh Lonkar · 3 years ago
  67. 54f9d9c Added rst logic by AmoghLonkar · 3 years ago
  68. 79717b9 Updated testchip to reflect synchronous logic by AmoghLonkar · 3 years ago
  69. b76334a Modified SRAM read logic by AmoghLonkar · 3 years ago
  70. da4b9cf Added rst conditions and needed to set web to low after writing. Mask/wdata when disabling is a small issue by AmoghLonkar · 3 years ago
  71. ad022ab Added FFs for inputs and outputs by AmoghLonkar · 3 years ago
  72. ced003a Adding script for Power Distribution Network. Taken from Professor's repo by AmoghLonkar · 3 years ago
  73. 3308457 Added clock net and pdn script from professor's repo by AmoghLonkar · 3 years ago
  74. 72c2d1a Added conditional defs for vdd, gnd by AmoghLonkar · 3 years ago
  75. 8090539 Changed paths to verilog dir by AmoghLonkar · 3 years ago
  76. 988bba9 Commented out include statements for yosys parsing by AmoghLonkar · 3 years ago
  77. 1ad4c69 Initial config file for hardening macro by AmoghLonkar · 3 years ago
  78. 646a136 Rename test_chip.v to openram_testchip.v by Amogh Lonkar · 3 years ago
  79. 6fe1dd3 Renamed module and filename for yosys parsing by AmoghLonkar · 3 years ago
  80. 9f7f84b Merge pull request #1 from AmoghLonkar/feature/control_logic by Amogh Lonkar · 3 years ago
  81. b7d2984 Delete defines.v by Amogh Lonkar · 3 years ago
  82. 8c3a274 Delete storage.v by Amogh Lonkar · 3 years ago
  83. d289bd3 Working testbench for test chip with 2 SRAMs by AmoghLonkar · 3 years ago
  84. f91aaa7 Connected output port by AmoghLonkar · 3 years ago
  85. b9ee443 Incorporated port mux logic into tests by AmoghLonkar · 3 years ago
  86. 48d0c8c Added extra module to mux in data from both read ports by AmoghLonkar · 3 years ago
  87. 4852de0 Instantiated all modules and connections by AmoghLonkar · 3 years ago
  88. 2fa2292 Read and split input packet from logic analyzer or GPIO by AmoghLonkar · 3 years ago
  89. fbb0983 Adding wrapper for control logic and SRAM modules by AmoghLonkar · 3 years ago
  90. 386bcc4 Passes read and write tests to both SRAMs by AmoghLonkar · 3 years ago
  91. e612a20 Compiles, assertions failing by AmoghLonkar · 3 years ago
  92. 16928ae Wrote test for writing to SRAM, compilation fails by AmoghLonkar · 3 years ago
  93. d98506e Instantiated all required modules by AmoghLonkar · 3 years ago
  94. 333b2a1 Instantiated all required modules by AmoghLonkar · 3 years ago
  95. 573f629 Added extra input for chip select in input module and truncated packet by AmoghLonkar · 3 years ago
  96. 54fff2a Removed compilation errors, need to test by AmoghLonkar · 3 years ago
  97. 3488ee5 Added new module to receive read data from SRAMs, getting 'not valid l-value error' by AmoghLonkar · 3 years ago
  98. d286473 Added new module to receive read data from SRAMs by AmoghLonkar · 3 years ago
  99. 8acfe86 Made separate module for forwarding input to SRAM by AmoghLonkar · 3 years ago
  100. dbc6c5a Made separate module for forwarding input to SRAM by AmoghLonkar · 3 years ago