openroad tcl changed to match power grid of MPW5 to MPW6
diff --git a/def/user_project_wrapper.def b/def/user_project_wrapper.def
index 0e726d2..f7ad0e8 100644
--- a/def/user_project_wrapper.def
+++ b/def/user_project_wrapper.def
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 065ff84..49f3d2d 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/lef/user_project_wrapper.lef b/lef/user_project_wrapper.lef
index 879f502..78cb095 100644
--- a/lef/user_project_wrapper.lef
+++ b/lef/user_project_wrapper.lef
@@ -4684,202 +4684,6 @@
     USE POWER ;
     PORT
       LAYER met4 ;
-        RECT -25.980 -20.620 -22.880 3540.300 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -25.980 -20.620 2945.600 -17.520 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -25.980 3537.200 2945.600 3540.300 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2942.500 -20.620 2945.600 3540.300 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 76.470 -39.820 79.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 256.470 -39.820 259.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 436.470 -39.820 439.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 616.470 -39.820 619.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 616.470 1526.540 619.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 796.470 -39.820 799.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 796.470 1526.540 799.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 976.470 -39.820 979.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 976.470 1526.540 979.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 1156.470 -39.820 1159.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 1156.470 1526.540 1159.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 1336.470 -39.820 1339.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 1516.470 -39.820 1519.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 1696.470 -39.820 1699.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 1696.470 1526.540 1699.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 1876.470 -39.820 1879.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 1876.470 1526.540 1879.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2056.470 -39.820 2059.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2056.470 1526.540 2059.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2236.470 -39.820 2239.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2236.470 1526.540 2239.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2416.470 -39.820 2419.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2596.470 -39.820 2599.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2776.470 -39.820 2779.570 3559.500 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 81.830 2964.800 84.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 261.830 2964.800 264.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 441.830 2964.800 444.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 621.830 2964.800 624.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 801.830 2964.800 804.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 981.830 2964.800 984.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 1161.830 2964.800 1164.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 1341.830 2964.800 1344.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 1521.830 2964.800 1524.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 1701.830 2964.800 1704.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 1881.830 2964.800 1884.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 2061.830 2964.800 2064.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 2241.830 2964.800 2244.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 2421.830 2964.800 2424.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 2601.830 2964.800 2604.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 2781.830 2964.800 2784.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 2961.830 2964.800 2964.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 3141.830 2964.800 3144.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 3321.830 2964.800 3324.930 ;
-    END
-    PORT
-      LAYER met5 ;
-        RECT -45.180 3501.830 2964.800 3504.930 ;
-    END
-  END vdda1
-  PIN vdda2
-    DIRECTION INOUT ;
-    USE POWER ;
-    PORT
-      LAYER met4 ;
         RECT -30.780 -25.420 -27.680 3545.100 ;
     END
     PORT
@@ -5098,10 +4902,10 @@
       LAYER met5 ;
         RECT -45.180 3344.330 2964.800 3347.430 ;
     END
-  END vdda2
-  PIN vssa1
+  END vdda1
+  PIN vdda2
     DIRECTION INOUT ;
-    USE GROUND ;
+    USE POWER ;
     PORT
       LAYER met4 ;
         RECT -40.380 -35.020 -37.280 3554.700 ;
@@ -5314,6 +5118,230 @@
       LAYER met5 ;
         RECT -45.180 3389.330 2964.800 3392.430 ;
     END
+  END vdda2
+  PIN vssa1
+    DIRECTION INOUT ;
+    USE GROUND ;
+    PORT
+      LAYER met4 ;
+        RECT -35.580 -30.220 -32.480 3549.900 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -35.580 -30.220 2955.200 -27.120 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -35.580 3546.800 2955.200 3549.900 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2952.100 -30.220 2955.200 3549.900 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 121.470 -39.820 124.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 301.470 -39.820 304.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 481.470 -39.820 484.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 661.470 -39.820 664.570 390.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 661.470 826.540 664.570 1090.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 661.470 1526.540 664.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 841.470 -39.820 844.570 390.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 841.470 826.540 844.570 1090.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 841.470 1526.540 844.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1021.470 -39.820 1024.570 390.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1021.470 826.540 1024.570 1090.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1021.470 1526.540 1024.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1201.470 -39.820 1204.570 390.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1201.470 826.540 1204.570 1090.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1201.470 1526.540 1204.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1381.470 -39.820 1384.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1561.470 -39.820 1564.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1741.470 -39.820 1744.570 390.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1741.470 826.540 1744.570 1090.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1741.470 1526.540 1744.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1921.470 -39.820 1924.570 390.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1921.470 826.540 1924.570 1090.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 1921.470 1526.540 1924.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2101.470 -39.820 2104.570 390.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2101.470 826.540 2104.570 1090.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2101.470 1526.540 2104.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2281.470 -39.820 2284.570 390.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2281.470 826.540 2284.570 1090.000 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2281.470 1526.540 2284.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2461.470 -39.820 2464.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2641.470 -39.820 2644.570 3559.500 ;
+    END
+    PORT
+      LAYER met4 ;
+        RECT 2821.470 -39.820 2824.570 3559.500 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 126.830 2964.800 129.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 306.830 2964.800 309.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 486.830 2964.800 489.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 666.830 2964.800 669.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 846.830 2964.800 849.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 1026.830 2964.800 1029.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 1206.830 2964.800 1209.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 1386.830 2964.800 1389.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 1566.830 2964.800 1569.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 1746.830 2964.800 1749.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 1926.830 2964.800 1929.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 2106.830 2964.800 2109.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 2286.830 2964.800 2289.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 2466.830 2964.800 2469.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 2646.830 2964.800 2649.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 2826.830 2964.800 2829.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 3006.830 2964.800 3009.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 3186.830 2964.800 3189.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 3366.830 2964.800 3369.930 ;
+    END
   END vssa1
   PIN vssa2
     DIRECTION INOUT ;
@@ -5776,223 +5804,195 @@
     USE GROUND ;
     PORT
       LAYER met4 ;
-        RECT -35.580 -30.220 -32.480 3549.900 ;
+        RECT -25.980 -20.620 -22.880 3540.300 ;
     END
     PORT
       LAYER met5 ;
-        RECT -35.580 -30.220 2955.200 -27.120 ;
+        RECT -25.980 -20.620 2945.600 -17.520 ;
     END
     PORT
       LAYER met5 ;
-        RECT -35.580 3546.800 2955.200 3549.900 ;
+        RECT -25.980 3537.200 2945.600 3540.300 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2952.100 -30.220 2955.200 3549.900 ;
+        RECT 2942.500 -20.620 2945.600 3540.300 ;
     END
     PORT
       LAYER met4 ;
-        RECT 121.470 -39.820 124.570 3559.500 ;
+        RECT 76.470 -39.820 79.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 301.470 -39.820 304.570 3559.500 ;
+        RECT 256.470 -39.820 259.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 481.470 -39.820 484.570 3559.500 ;
+        RECT 436.470 -39.820 439.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 661.470 -39.820 664.570 390.000 ;
+        RECT 616.470 -39.820 619.570 390.000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 661.470 826.540 664.570 1090.000 ;
+        RECT 616.470 1526.540 619.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 661.470 1526.540 664.570 3559.500 ;
+        RECT 796.470 -39.820 799.570 390.000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 841.470 -39.820 844.570 390.000 ;
+        RECT 796.470 1526.540 799.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 841.470 826.540 844.570 1090.000 ;
+        RECT 976.470 -39.820 979.570 390.000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 841.470 1526.540 844.570 3559.500 ;
+        RECT 976.470 1526.540 979.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1021.470 -39.820 1024.570 390.000 ;
+        RECT 1156.470 -39.820 1159.570 390.000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1021.470 826.540 1024.570 1090.000 ;
+        RECT 1156.470 1526.540 1159.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1021.470 1526.540 1024.570 3559.500 ;
+        RECT 1336.470 -39.820 1339.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1201.470 -39.820 1204.570 390.000 ;
+        RECT 1516.470 -39.820 1519.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1201.470 826.540 1204.570 1090.000 ;
+        RECT 1696.470 -39.820 1699.570 390.000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1201.470 1526.540 1204.570 3559.500 ;
+        RECT 1696.470 1526.540 1699.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1381.470 -39.820 1384.570 3559.500 ;
+        RECT 1876.470 -39.820 1879.570 390.000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1561.470 -39.820 1564.570 3559.500 ;
+        RECT 1876.470 1526.540 1879.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1741.470 -39.820 1744.570 390.000 ;
+        RECT 2056.470 -39.820 2059.570 390.000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1741.470 826.540 1744.570 1090.000 ;
+        RECT 2056.470 1526.540 2059.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1741.470 1526.540 1744.570 3559.500 ;
+        RECT 2236.470 -39.820 2239.570 390.000 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1921.470 -39.820 1924.570 390.000 ;
+        RECT 2236.470 1526.540 2239.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1921.470 826.540 1924.570 1090.000 ;
+        RECT 2416.470 -39.820 2419.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 1921.470 1526.540 1924.570 3559.500 ;
+        RECT 2596.470 -39.820 2599.570 3559.500 ;
     END
     PORT
       LAYER met4 ;
-        RECT 2101.470 -39.820 2104.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2101.470 826.540 2104.570 1090.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2101.470 1526.540 2104.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2281.470 -39.820 2284.570 390.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2281.470 826.540 2284.570 1090.000 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2281.470 1526.540 2284.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2461.470 -39.820 2464.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2641.470 -39.820 2644.570 3559.500 ;
-    END
-    PORT
-      LAYER met4 ;
-        RECT 2821.470 -39.820 2824.570 3559.500 ;
+        RECT 2776.470 -39.820 2779.570 3559.500 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 126.830 2964.800 129.930 ;
+        RECT -45.180 81.830 2964.800 84.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 306.830 2964.800 309.930 ;
+        RECT -45.180 261.830 2964.800 264.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 486.830 2964.800 489.930 ;
+        RECT -45.180 441.830 2964.800 444.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 666.830 2964.800 669.930 ;
+        RECT -45.180 621.830 2964.800 624.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 846.830 2964.800 849.930 ;
+        RECT -45.180 801.830 2964.800 804.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 1026.830 2964.800 1029.930 ;
+        RECT -45.180 981.830 2964.800 984.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 1206.830 2964.800 1209.930 ;
+        RECT -45.180 1161.830 2964.800 1164.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 1386.830 2964.800 1389.930 ;
+        RECT -45.180 1341.830 2964.800 1344.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 1566.830 2964.800 1569.930 ;
+        RECT -45.180 1521.830 2964.800 1524.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 1746.830 2964.800 1749.930 ;
+        RECT -45.180 1701.830 2964.800 1704.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 1926.830 2964.800 1929.930 ;
+        RECT -45.180 1881.830 2964.800 1884.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 2106.830 2964.800 2109.930 ;
+        RECT -45.180 2061.830 2964.800 2064.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 2286.830 2964.800 2289.930 ;
+        RECT -45.180 2241.830 2964.800 2244.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 2466.830 2964.800 2469.930 ;
+        RECT -45.180 2421.830 2964.800 2424.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 2646.830 2964.800 2649.930 ;
+        RECT -45.180 2601.830 2964.800 2604.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 2826.830 2964.800 2829.930 ;
+        RECT -45.180 2781.830 2964.800 2784.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 3006.830 2964.800 3009.930 ;
+        RECT -45.180 2961.830 2964.800 2964.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 3186.830 2964.800 3189.930 ;
+        RECT -45.180 3141.830 2964.800 3144.930 ;
     END
     PORT
       LAYER met5 ;
-        RECT -45.180 3366.830 2964.800 3369.930 ;
+        RECT -45.180 3321.830 2964.800 3324.930 ;
+    END
+    PORT
+      LAYER met5 ;
+        RECT -45.180 3501.830 2964.800 3504.930 ;
     END
   END vssd2
   PIN wb_clk_i
diff --git a/maglef/user_project_wrapper.mag b/maglef/user_project_wrapper.mag
index b01eef7..11d2375 100644
--- a/maglef/user_project_wrapper.mag
+++ b/maglef/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1653642106
+timestamp 1653661203
 << obsli1 >>
 rect 1104 2159 582820 701777
 << obsm1 >>
@@ -3291,317 +3291,331 @@
 port 533 nsew power bidirectional
 rlabel metal5 s -9036 695866 592960 696486 6 vccd2
 port 533 nsew power bidirectional
-rlabel metal4 s -5196 -4124 -4576 708060 4 vdda1
+rlabel metal4 s -6156 -5084 -5536 709020 4 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -5196 -4124 589120 -3504 8 vdda1
+rlabel metal5 s -6156 -5084 590080 -4464 8 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -5196 707440 589120 708060 6 vdda1
+rlabel metal5 s -6156 708400 590080 709020 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 588500 -4124 589120 708060 6 vdda1
+rlabel metal4 s 589460 -5084 590080 709020 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 15294 -7964 15914 711900 6 vdda1
+rlabel metal4 s 19794 -7964 20414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 51294 -7964 51914 711900 6 vdda1
+rlabel metal4 s 55794 -7964 56414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 87294 -7964 87914 711900 6 vdda1
+rlabel metal4 s 91794 -7964 92414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 123294 -7964 123914 78000 6 vdda1
+rlabel metal4 s 127794 -7964 128414 78000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 123294 305308 123914 711900 6 vdda1
+rlabel metal4 s 127794 165308 128414 218000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 159294 -7964 159914 78000 6 vdda1
+rlabel metal4 s 127794 305308 128414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 159294 305308 159914 711900 6 vdda1
+rlabel metal4 s 163794 -7964 164414 78000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 195294 -7964 195914 78000 6 vdda1
+rlabel metal4 s 163794 165308 164414 218000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 195294 305308 195914 711900 6 vdda1
+rlabel metal4 s 163794 305308 164414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 231294 -7964 231914 78000 6 vdda1
+rlabel metal4 s 199794 -7964 200414 78000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 231294 305308 231914 711900 6 vdda1
+rlabel metal4 s 199794 165308 200414 218000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 267294 -7964 267914 711900 6 vdda1
+rlabel metal4 s 199794 305308 200414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 303294 -7964 303914 711900 6 vdda1
+rlabel metal4 s 235794 -7964 236414 78000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 339294 -7964 339914 78000 6 vdda1
+rlabel metal4 s 235794 165308 236414 218000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 339294 305308 339914 711900 6 vdda1
+rlabel metal4 s 235794 305308 236414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 375294 -7964 375914 78000 6 vdda1
+rlabel metal4 s 271794 -7964 272414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 375294 305308 375914 711900 6 vdda1
+rlabel metal4 s 307794 -7964 308414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 411294 -7964 411914 78000 6 vdda1
+rlabel metal4 s 343794 -7964 344414 78000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 411294 305308 411914 711900 6 vdda1
+rlabel metal4 s 343794 165308 344414 218000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 447294 -7964 447914 78000 6 vdda1
+rlabel metal4 s 343794 305308 344414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 447294 305308 447914 711900 6 vdda1
+rlabel metal4 s 379794 -7964 380414 78000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 483294 -7964 483914 711900 6 vdda1
+rlabel metal4 s 379794 165308 380414 218000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 519294 -7964 519914 711900 6 vdda1
+rlabel metal4 s 379794 305308 380414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s 555294 -7964 555914 711900 6 vdda1
+rlabel metal4 s 415794 -7964 416414 78000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 16366 592960 16986 6 vdda1
+rlabel metal4 s 415794 165308 416414 218000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 52366 592960 52986 6 vdda1
+rlabel metal4 s 415794 305308 416414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 88366 592960 88986 6 vdda1
+rlabel metal4 s 451794 -7964 452414 78000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 124366 592960 124986 6 vdda1
+rlabel metal4 s 451794 165308 452414 218000 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 160366 592960 160986 6 vdda1
+rlabel metal4 s 451794 305308 452414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 196366 592960 196986 6 vdda1
+rlabel metal4 s 487794 -7964 488414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 232366 592960 232986 6 vdda1
+rlabel metal4 s 523794 -7964 524414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 268366 592960 268986 6 vdda1
+rlabel metal4 s 559794 -7964 560414 711900 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 304366 592960 304986 6 vdda1
+rlabel metal5 s -9036 20866 592960 21486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 340366 592960 340986 6 vdda1
+rlabel metal5 s -9036 56866 592960 57486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 376366 592960 376986 6 vdda1
+rlabel metal5 s -9036 92866 592960 93486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 412366 592960 412986 6 vdda1
+rlabel metal5 s -9036 128866 592960 129486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 448366 592960 448986 6 vdda1
+rlabel metal5 s -9036 164866 592960 165486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 484366 592960 484986 6 vdda1
+rlabel metal5 s -9036 200866 592960 201486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 520366 592960 520986 6 vdda1
+rlabel metal5 s -9036 236866 592960 237486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 556366 592960 556986 6 vdda1
+rlabel metal5 s -9036 272866 592960 273486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 592366 592960 592986 6 vdda1
+rlabel metal5 s -9036 308866 592960 309486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 628366 592960 628986 6 vdda1
+rlabel metal5 s -9036 344866 592960 345486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 664366 592960 664986 6 vdda1
+rlabel metal5 s -9036 380866 592960 381486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal5 s -9036 700366 592960 700986 6 vdda1
+rlabel metal5 s -9036 416866 592960 417486 6 vdda1
 port 534 nsew power bidirectional
-rlabel metal4 s -6156 -5084 -5536 709020 4 vdda2
+rlabel metal5 s -9036 452866 592960 453486 6 vdda1
+port 534 nsew power bidirectional
+rlabel metal5 s -9036 488866 592960 489486 6 vdda1
+port 534 nsew power bidirectional
+rlabel metal5 s -9036 524866 592960 525486 6 vdda1
+port 534 nsew power bidirectional
+rlabel metal5 s -9036 560866 592960 561486 6 vdda1
+port 534 nsew power bidirectional
+rlabel metal5 s -9036 596866 592960 597486 6 vdda1
+port 534 nsew power bidirectional
+rlabel metal5 s -9036 632866 592960 633486 6 vdda1
+port 534 nsew power bidirectional
+rlabel metal5 s -9036 668866 592960 669486 6 vdda1
+port 534 nsew power bidirectional
+rlabel metal4 s -8076 -7004 -7456 710940 4 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -6156 -5084 590080 -4464 8 vdda2
+rlabel metal5 s -8076 -7004 592000 -6384 8 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -6156 708400 590080 709020 6 vdda2
+rlabel metal5 s -8076 710320 592000 710940 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 589460 -5084 590080 709020 6 vdda2
+rlabel metal4 s 591380 -7004 592000 710940 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 19794 -7964 20414 711900 6 vdda2
+rlabel metal4 s 28794 -7964 29414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 55794 -7964 56414 711900 6 vdda2
+rlabel metal4 s 64794 -7964 65414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 91794 -7964 92414 711900 6 vdda2
+rlabel metal4 s 100794 -7964 101414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 127794 -7964 128414 78000 6 vdda2
+rlabel metal4 s 136794 -7964 137414 78000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 127794 165308 128414 218000 6 vdda2
+rlabel metal4 s 136794 165308 137414 218000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 127794 305308 128414 711900 6 vdda2
+rlabel metal4 s 136794 305308 137414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 163794 -7964 164414 78000 6 vdda2
+rlabel metal4 s 172794 -7964 173414 78000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 163794 165308 164414 218000 6 vdda2
+rlabel metal4 s 172794 165308 173414 218000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 163794 305308 164414 711900 6 vdda2
+rlabel metal4 s 172794 305308 173414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 199794 -7964 200414 78000 6 vdda2
+rlabel metal4 s 208794 -7964 209414 78000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 199794 165308 200414 218000 6 vdda2
+rlabel metal4 s 208794 165308 209414 218000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 199794 305308 200414 711900 6 vdda2
+rlabel metal4 s 208794 305308 209414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 235794 -7964 236414 78000 6 vdda2
+rlabel metal4 s 244794 -7964 245414 78000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 235794 165308 236414 218000 6 vdda2
+rlabel metal4 s 244794 165308 245414 218000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 235794 305308 236414 711900 6 vdda2
+rlabel metal4 s 244794 305308 245414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 271794 -7964 272414 711900 6 vdda2
+rlabel metal4 s 280794 -7964 281414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 307794 -7964 308414 711900 6 vdda2
+rlabel metal4 s 316794 -7964 317414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 343794 -7964 344414 78000 6 vdda2
+rlabel metal4 s 352794 -7964 353414 78000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 343794 165308 344414 218000 6 vdda2
+rlabel metal4 s 352794 165308 353414 218000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 343794 305308 344414 711900 6 vdda2
+rlabel metal4 s 352794 305308 353414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 379794 -7964 380414 78000 6 vdda2
+rlabel metal4 s 388794 -7964 389414 78000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 379794 165308 380414 218000 6 vdda2
+rlabel metal4 s 388794 165308 389414 218000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 379794 305308 380414 711900 6 vdda2
+rlabel metal4 s 388794 305308 389414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 415794 -7964 416414 78000 6 vdda2
+rlabel metal4 s 424794 -7964 425414 78000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 415794 165308 416414 218000 6 vdda2
+rlabel metal4 s 424794 165308 425414 218000 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 415794 305308 416414 711900 6 vdda2
+rlabel metal4 s 424794 305308 425414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 451794 -7964 452414 78000 6 vdda2
+rlabel metal4 s 460794 -7964 461414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 451794 165308 452414 218000 6 vdda2
+rlabel metal4 s 496794 -7964 497414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 451794 305308 452414 711900 6 vdda2
+rlabel metal4 s 532794 -7964 533414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 487794 -7964 488414 711900 6 vdda2
+rlabel metal4 s 568794 -7964 569414 711900 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 523794 -7964 524414 711900 6 vdda2
+rlabel metal5 s -9036 29866 592960 30486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal4 s 559794 -7964 560414 711900 6 vdda2
+rlabel metal5 s -9036 65866 592960 66486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 20866 592960 21486 6 vdda2
+rlabel metal5 s -9036 101866 592960 102486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 56866 592960 57486 6 vdda2
+rlabel metal5 s -9036 137866 592960 138486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 92866 592960 93486 6 vdda2
+rlabel metal5 s -9036 173866 592960 174486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 128866 592960 129486 6 vdda2
+rlabel metal5 s -9036 209866 592960 210486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 164866 592960 165486 6 vdda2
+rlabel metal5 s -9036 245866 592960 246486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 200866 592960 201486 6 vdda2
+rlabel metal5 s -9036 281866 592960 282486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 236866 592960 237486 6 vdda2
+rlabel metal5 s -9036 317866 592960 318486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 272866 592960 273486 6 vdda2
+rlabel metal5 s -9036 353866 592960 354486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 308866 592960 309486 6 vdda2
+rlabel metal5 s -9036 389866 592960 390486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 344866 592960 345486 6 vdda2
+rlabel metal5 s -9036 425866 592960 426486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 380866 592960 381486 6 vdda2
+rlabel metal5 s -9036 461866 592960 462486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 416866 592960 417486 6 vdda2
+rlabel metal5 s -9036 497866 592960 498486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 452866 592960 453486 6 vdda2
+rlabel metal5 s -9036 533866 592960 534486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 488866 592960 489486 6 vdda2
+rlabel metal5 s -9036 569866 592960 570486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 524866 592960 525486 6 vdda2
+rlabel metal5 s -9036 605866 592960 606486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 560866 592960 561486 6 vdda2
+rlabel metal5 s -9036 641866 592960 642486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 596866 592960 597486 6 vdda2
+rlabel metal5 s -9036 677866 592960 678486 6 vdda2
 port 535 nsew power bidirectional
-rlabel metal5 s -9036 632866 592960 633486 6 vdda2
-port 535 nsew power bidirectional
-rlabel metal5 s -9036 668866 592960 669486 6 vdda2
-port 535 nsew power bidirectional
-rlabel metal4 s -8076 -7004 -7456 710940 4 vssa1
+rlabel metal4 s -7116 -6044 -6496 709980 4 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -8076 -7004 592000 -6384 8 vssa1
+rlabel metal5 s -7116 -6044 591040 -5424 8 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -8076 710320 592000 710940 6 vssa1
+rlabel metal5 s -7116 709360 591040 709980 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 591380 -7004 592000 710940 6 vssa1
+rlabel metal4 s 590420 -6044 591040 709980 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 28794 -7964 29414 711900 6 vssa1
+rlabel metal4 s 24294 -7964 24914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 64794 -7964 65414 711900 6 vssa1
+rlabel metal4 s 60294 -7964 60914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 100794 -7964 101414 711900 6 vssa1
+rlabel metal4 s 96294 -7964 96914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 136794 -7964 137414 78000 6 vssa1
+rlabel metal4 s 132294 -7964 132914 78000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 136794 165308 137414 218000 6 vssa1
+rlabel metal4 s 132294 165308 132914 218000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 136794 305308 137414 711900 6 vssa1
+rlabel metal4 s 132294 305308 132914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 172794 -7964 173414 78000 6 vssa1
+rlabel metal4 s 168294 -7964 168914 78000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 172794 165308 173414 218000 6 vssa1
+rlabel metal4 s 168294 165308 168914 218000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 172794 305308 173414 711900 6 vssa1
+rlabel metal4 s 168294 305308 168914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 208794 -7964 209414 78000 6 vssa1
+rlabel metal4 s 204294 -7964 204914 78000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 208794 165308 209414 218000 6 vssa1
+rlabel metal4 s 204294 165308 204914 218000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 208794 305308 209414 711900 6 vssa1
+rlabel metal4 s 204294 305308 204914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 244794 -7964 245414 78000 6 vssa1
+rlabel metal4 s 240294 -7964 240914 78000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 244794 165308 245414 218000 6 vssa1
+rlabel metal4 s 240294 165308 240914 218000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 244794 305308 245414 711900 6 vssa1
+rlabel metal4 s 240294 305308 240914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 280794 -7964 281414 711900 6 vssa1
+rlabel metal4 s 276294 -7964 276914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 316794 -7964 317414 711900 6 vssa1
+rlabel metal4 s 312294 -7964 312914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 352794 -7964 353414 78000 6 vssa1
+rlabel metal4 s 348294 -7964 348914 78000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 352794 165308 353414 218000 6 vssa1
+rlabel metal4 s 348294 165308 348914 218000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 352794 305308 353414 711900 6 vssa1
+rlabel metal4 s 348294 305308 348914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 388794 -7964 389414 78000 6 vssa1
+rlabel metal4 s 384294 -7964 384914 78000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 388794 165308 389414 218000 6 vssa1
+rlabel metal4 s 384294 165308 384914 218000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 388794 305308 389414 711900 6 vssa1
+rlabel metal4 s 384294 305308 384914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 424794 -7964 425414 78000 6 vssa1
+rlabel metal4 s 420294 -7964 420914 78000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 424794 165308 425414 218000 6 vssa1
+rlabel metal4 s 420294 165308 420914 218000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 424794 305308 425414 711900 6 vssa1
+rlabel metal4 s 420294 305308 420914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 460794 -7964 461414 711900 6 vssa1
+rlabel metal4 s 456294 -7964 456914 78000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 496794 -7964 497414 711900 6 vssa1
+rlabel metal4 s 456294 165308 456914 218000 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 532794 -7964 533414 711900 6 vssa1
+rlabel metal4 s 456294 305308 456914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal4 s 568794 -7964 569414 711900 6 vssa1
+rlabel metal4 s 492294 -7964 492914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 29866 592960 30486 6 vssa1
+rlabel metal4 s 528294 -7964 528914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 65866 592960 66486 6 vssa1
+rlabel metal4 s 564294 -7964 564914 711900 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 101866 592960 102486 6 vssa1
+rlabel metal5 s -9036 25366 592960 25986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 137866 592960 138486 6 vssa1
+rlabel metal5 s -9036 61366 592960 61986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 173866 592960 174486 6 vssa1
+rlabel metal5 s -9036 97366 592960 97986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 209866 592960 210486 6 vssa1
+rlabel metal5 s -9036 133366 592960 133986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 245866 592960 246486 6 vssa1
+rlabel metal5 s -9036 169366 592960 169986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 281866 592960 282486 6 vssa1
+rlabel metal5 s -9036 205366 592960 205986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 317866 592960 318486 6 vssa1
+rlabel metal5 s -9036 241366 592960 241986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 353866 592960 354486 6 vssa1
+rlabel metal5 s -9036 277366 592960 277986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 389866 592960 390486 6 vssa1
+rlabel metal5 s -9036 313366 592960 313986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 425866 592960 426486 6 vssa1
+rlabel metal5 s -9036 349366 592960 349986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 461866 592960 462486 6 vssa1
+rlabel metal5 s -9036 385366 592960 385986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 497866 592960 498486 6 vssa1
+rlabel metal5 s -9036 421366 592960 421986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 533866 592960 534486 6 vssa1
+rlabel metal5 s -9036 457366 592960 457986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 569866 592960 570486 6 vssa1
+rlabel metal5 s -9036 493366 592960 493986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 605866 592960 606486 6 vssa1
+rlabel metal5 s -9036 529366 592960 529986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 641866 592960 642486 6 vssa1
+rlabel metal5 s -9036 565366 592960 565986 6 vssa1
 port 536 nsew ground bidirectional
-rlabel metal5 s -9036 677866 592960 678486 6 vssa1
+rlabel metal5 s -9036 601366 592960 601986 6 vssa1
+port 536 nsew ground bidirectional
+rlabel metal5 s -9036 637366 592960 637986 6 vssa1
+port 536 nsew ground bidirectional
+rlabel metal5 s -9036 673366 592960 673986 6 vssa1
 port 536 nsew ground bidirectional
 rlabel metal4 s -9036 -7964 -8416 711900 4 vssa2
 port 537 nsew ground bidirectional
@@ -3827,115 +3841,101 @@
 port 538 nsew ground bidirectional
 rlabel metal5 s -9036 691366 592960 691986 6 vssd1
 port 538 nsew ground bidirectional
-rlabel metal4 s -7116 -6044 -6496 709980 4 vssd2
+rlabel metal4 s -5196 -4124 -4576 708060 4 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -7116 -6044 591040 -5424 8 vssd2
+rlabel metal5 s -5196 -4124 589120 -3504 8 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -7116 709360 591040 709980 6 vssd2
+rlabel metal5 s -5196 707440 589120 708060 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 590420 -6044 591040 709980 6 vssd2
+rlabel metal4 s 588500 -4124 589120 708060 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 24294 -7964 24914 711900 6 vssd2
+rlabel metal4 s 15294 -7964 15914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 60294 -7964 60914 711900 6 vssd2
+rlabel metal4 s 51294 -7964 51914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 96294 -7964 96914 711900 6 vssd2
+rlabel metal4 s 87294 -7964 87914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 132294 -7964 132914 78000 6 vssd2
+rlabel metal4 s 123294 -7964 123914 78000 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 132294 165308 132914 218000 6 vssd2
+rlabel metal4 s 123294 305308 123914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 132294 305308 132914 711900 6 vssd2
+rlabel metal4 s 159294 -7964 159914 78000 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 168294 -7964 168914 78000 6 vssd2
+rlabel metal4 s 159294 305308 159914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 168294 165308 168914 218000 6 vssd2
+rlabel metal4 s 195294 -7964 195914 78000 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 168294 305308 168914 711900 6 vssd2
+rlabel metal4 s 195294 305308 195914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 204294 -7964 204914 78000 6 vssd2
+rlabel metal4 s 231294 -7964 231914 78000 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 204294 165308 204914 218000 6 vssd2
+rlabel metal4 s 231294 305308 231914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 204294 305308 204914 711900 6 vssd2
+rlabel metal4 s 267294 -7964 267914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 240294 -7964 240914 78000 6 vssd2
+rlabel metal4 s 303294 -7964 303914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 240294 165308 240914 218000 6 vssd2
+rlabel metal4 s 339294 -7964 339914 78000 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 240294 305308 240914 711900 6 vssd2
+rlabel metal4 s 339294 305308 339914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 276294 -7964 276914 711900 6 vssd2
+rlabel metal4 s 375294 -7964 375914 78000 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 312294 -7964 312914 711900 6 vssd2
+rlabel metal4 s 375294 305308 375914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 348294 -7964 348914 78000 6 vssd2
+rlabel metal4 s 411294 -7964 411914 78000 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 348294 165308 348914 218000 6 vssd2
+rlabel metal4 s 411294 305308 411914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 348294 305308 348914 711900 6 vssd2
+rlabel metal4 s 447294 -7964 447914 78000 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 384294 -7964 384914 78000 6 vssd2
+rlabel metal4 s 447294 305308 447914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 384294 165308 384914 218000 6 vssd2
+rlabel metal4 s 483294 -7964 483914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 384294 305308 384914 711900 6 vssd2
+rlabel metal4 s 519294 -7964 519914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 420294 -7964 420914 78000 6 vssd2
+rlabel metal4 s 555294 -7964 555914 711900 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 420294 165308 420914 218000 6 vssd2
+rlabel metal5 s -9036 16366 592960 16986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 420294 305308 420914 711900 6 vssd2
+rlabel metal5 s -9036 52366 592960 52986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 456294 -7964 456914 78000 6 vssd2
+rlabel metal5 s -9036 88366 592960 88986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 456294 165308 456914 218000 6 vssd2
+rlabel metal5 s -9036 124366 592960 124986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 456294 305308 456914 711900 6 vssd2
+rlabel metal5 s -9036 160366 592960 160986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 492294 -7964 492914 711900 6 vssd2
+rlabel metal5 s -9036 196366 592960 196986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 528294 -7964 528914 711900 6 vssd2
+rlabel metal5 s -9036 232366 592960 232986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal4 s 564294 -7964 564914 711900 6 vssd2
+rlabel metal5 s -9036 268366 592960 268986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 25366 592960 25986 6 vssd2
+rlabel metal5 s -9036 304366 592960 304986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 61366 592960 61986 6 vssd2
+rlabel metal5 s -9036 340366 592960 340986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 97366 592960 97986 6 vssd2
+rlabel metal5 s -9036 376366 592960 376986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 133366 592960 133986 6 vssd2
+rlabel metal5 s -9036 412366 592960 412986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 169366 592960 169986 6 vssd2
+rlabel metal5 s -9036 448366 592960 448986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 205366 592960 205986 6 vssd2
+rlabel metal5 s -9036 484366 592960 484986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 241366 592960 241986 6 vssd2
+rlabel metal5 s -9036 520366 592960 520986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 277366 592960 277986 6 vssd2
+rlabel metal5 s -9036 556366 592960 556986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 313366 592960 313986 6 vssd2
+rlabel metal5 s -9036 592366 592960 592986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 349366 592960 349986 6 vssd2
+rlabel metal5 s -9036 628366 592960 628986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 385366 592960 385986 6 vssd2
+rlabel metal5 s -9036 664366 592960 664986 6 vssd2
 port 539 nsew ground bidirectional
-rlabel metal5 s -9036 421366 592960 421986 6 vssd2
-port 539 nsew ground bidirectional
-rlabel metal5 s -9036 457366 592960 457986 6 vssd2
-port 539 nsew ground bidirectional
-rlabel metal5 s -9036 493366 592960 493986 6 vssd2
-port 539 nsew ground bidirectional
-rlabel metal5 s -9036 529366 592960 529986 6 vssd2
-port 539 nsew ground bidirectional
-rlabel metal5 s -9036 565366 592960 565986 6 vssd2
-port 539 nsew ground bidirectional
-rlabel metal5 s -9036 601366 592960 601986 6 vssd2
-port 539 nsew ground bidirectional
-rlabel metal5 s -9036 637366 592960 637986 6 vssd2
-port 539 nsew ground bidirectional
-rlabel metal5 s -9036 673366 592960 673986 6 vssd2
+rlabel metal5 s -9036 700366 592960 700986 6 vssd2
 port 539 nsew ground bidirectional
 rlabel metal2 s 542 -960 654 480 8 wb_clk_i
 port 540 nsew signal input
diff --git a/openlane/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/config.tcl
index 2f106a0..20d5aea 100755
--- a/openlane/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/config.tcl
@@ -74,8 +74,8 @@
 # disable pdn check nodes becuase it hangs with multiple power domains.
 # any issue with pdn connections will be flagged with LVS so it is not a critical check.
 
-set ::env(VDD_NETS) "vccd1 vccd2 vdda1 vdda2"
-set ::env(GND_NETS) "vssd1 vssd2 vssa1 vssa2"
+# set ::env(VDD_NETS) "vccd1 vccd2 vdda1 vdda2"
+# set ::env(GND_NETS) "vssd1 vssd2 vssa1 vssa2"
 
 set ::env(VDD_PIN) "VPWR VPB vccd1"                                                                                                                                                                                                                                                                                                                                                                
 set ::env(GND_PIN) "VGND VNB vssd1"  
@@ -85,7 +85,7 @@
 ##################################################################
 set ::env(RUN_ROUTING_DETAILED) 1
 # If you're going to use multiple power domains, then disable cvc run.
-set ::env(RUN_CVC) 1
+set ::env(RUN_CVC) 0
 set ::env(RUN_LVS) 1
 set ::env(TAP_DECAP_INSERTION) 1
 set ::env(LEC_ENABLE) 0
@@ -153,7 +153,7 @@
 set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) 70
 set ::env(PL_RESIZER_ALLOW_SETUP_VIOS) 1
 set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) 0.1
-set ::env(PL_RESIZER_MAX_SLEW_MARGIN) 30
+set ::env(PL_RESIZER_MAX_SLEW_MARGIN) 35
 set ::env(PL_RESIZER_MAX_WIRE_LENGTH) 200
 
 ##################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log b/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log
index 93f7a2b..7abbb62 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/cmds.log
@@ -1,164 +1,164 @@
-Fri May 27 08:35:07 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.nom.lef -i /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef |& tee /dev/null"
+Fri May 27 13:55:52 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.nom.lef -i /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__nom.tlef /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef |& tee /dev/null"
 
-Fri May 27 08:35:07 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.nom.lef -i /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.nom.lef /home/serdar/pdks/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef |& tee /dev/null"
+Fri May 27 13:55:52 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.nom.lef -i /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.nom.lef /home/serdar/pdks/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef |& tee /dev/null"
 
-Fri May 27 08:35:07 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.min.lef -i /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__min.tlef /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef |& tee /dev/null"
+Fri May 27 13:55:52 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.min.lef -i /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__min.tlef /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef |& tee /dev/null"
 
-Fri May 27 08:35:07 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.min.lef -i /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.min.lef /home/serdar/pdks/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef |& tee /dev/null"
+Fri May 27 13:55:52 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.min.lef -i /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.min.lef /home/serdar/pdks/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef |& tee /dev/null"
 
-Fri May 27 08:35:07 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.max.lef -i /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__max.tlef /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef |& tee /dev/null"
+Fri May 27 13:55:52 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.max.lef -i /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/techlef/sky130_fd_sc_hd__max.tlef /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lef/sky130_fd_sc_hd.lef |& tee /dev/null"
 
-Fri May 27 08:35:08 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.max.lef -i /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.max.lef /home/serdar/pdks/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef |& tee /dev/null"
+Fri May 27 13:55:52 UTC 2022 - Executing "/openlane/scripts/mergeLef.py -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.max.lef -i /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.unpadded.max.lef /home/serdar/pdks/sky130A/libs.ref/sky130_sram_macros/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef |& tee /dev/null"
 
-Fri May 27 08:35:08 UTC 2022 - Executing "openroad -python /openlane/scripts/mergeLib.py --output /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/merged.lib --name sky130A_merged /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+Fri May 27 13:55:52 UTC 2022 - Executing "openroad -python /openlane/scripts/mergeLib.py --output /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/merged.lib --name sky130A_merged /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
 
-Fri May 27 08:35:08 UTC 2022 - Executing "openroad -python /openlane/scripts/libtrim.py --cell-file /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/trimmed.lib.exclude.list --output /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/trimmed.lib /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/merged.lib"
+Fri May 27 13:55:53 UTC 2022 - Executing "openroad -python /openlane/scripts/libtrim.py --cell-file /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/trimmed.lib.exclude.list --output /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/trimmed.lib /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/merged.lib"
 
-Fri May 27 08:35:08 UTC 2022 - Executing "echo {openlane 601eede636e95858e55f9d532bb7f40a7ba9ebbd} > /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION"
+Fri May 27 13:55:53 UTC 2022 - Executing "echo {openlane 601eede636e95858e55f9d532bb7f40a7ba9ebbd} > /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/OPENLANE_VERSION"
 
-Fri May 27 08:35:08 UTC 2022 - Executing "openroad -python /openlane/scripts/new_tracks.py -i /home/serdar/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/config.tracks"
+Fri May 27 13:55:53 UTC 2022 - Executing "openroad -python /openlane/scripts/new_tracks.py -i /home/serdar/pdks/sky130A/libs.tech/openlane/sky130_fd_sc_hd/tracks.info -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/config.tracks"
 
-Fri May 27 08:35:09 UTC 2022 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/1-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
+Fri May 27 13:55:54 UTC 2022 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/1-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
 
-Fri May 27 08:35:09 UTC 2022 - Executing "yosys -c /openlane/scripts/yosys/synth.tcl -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log |& tee /dev/null"
+Fri May 27 13:55:54 UTC 2022 - Executing "yosys -c /openlane/scripts/yosys/synth.tcl -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log |& tee /dev/null"
 
-Fri May 27 08:35:10 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:55:55 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:35:10 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:55:55 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 0/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:35:10 UTC 2022 - Executing "sed -i /defparam/d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.v"
+Fri May 27 13:55:55 UTC 2022 - Executing "sed -i /defparam/d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis/user_project_wrapper.v"
 
-Fri May 27 08:35:10 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-sta.log"
+Fri May 27 13:55:55 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-sta.log"
 
-Fri May 27 08:35:11 UTC 2022 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/2-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
+Fri May 27 13:55:56 UTC 2022 - Executing "sed -E {s/^([[:space:]]+)pg_pin(.*)/\1pin\2\n\1    direction : "inout";/g} /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib > /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/2-sky130_fd_sc_hd__tt_025C_1v80.no_pg.lib"
 
-Fri May 27 08:35:11 UTC 2022 - Executing "yosys -c /openlane/scripts/yosys/synth.tcl -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-synthesis.log |& tee /dev/null"
+Fri May 27 13:55:56 UTC 2022 - Executing "yosys -c /openlane/scripts/yosys/synth.tcl -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-synthesis.log |& tee /dev/null"
 
-Fri May 27 08:35:12 UTC 2022 - Executing "sed -i /defparam/d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/pg_define.v"
+Fri May 27 13:55:57 UTC 2022 - Executing "sed -i /defparam/d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/pg_define.v"
 
-Fri May 27 08:35:12 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/floorplan.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/3-initial_fp.log"
+Fri May 27 13:55:57 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/floorplan.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/3-initial_fp.log"
 
-Fri May 27 08:35:13 UTC 2022 - Executing "openroad -python /openlane/scripts/defutil.py extract_core_dims --output-data /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/dimensions.txt --input-lef /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/3-initial_fp.def"
+Fri May 27 13:55:58 UTC 2022 - Executing "openroad -python /openlane/scripts/defutil.py extract_core_dims --output-data /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/dimensions.txt --input-lef /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/3-initial_fp.def"
 
-Fri May 27 08:35:13 UTC 2022 - Executing "openroad -python /openlane/scripts/io_place.py --input-lef /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef --config /home/serdar/Desktop/openram_demo/openram_openmpw/caravel/openlane/user_project_wrapper/pin_order.cfg --hor-layer met3 --ver-layer met2 --ver-width-mult 4 --hor-width-mult 4 --hor-extension 4.8 --ver-extension 4.8 --length 2.4 --unmatched-error -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/3-initial_fp.def |& tee /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-place_io_ol.log /dev/null"
+Fri May 27 13:55:58 UTC 2022 - Executing "openroad -python /openlane/scripts/io_place.py --input-lef /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef --config /home/serdar/Desktop/openram_demo/openram_openmpw/caravel/openlane/user_project_wrapper/pin_order.cfg --hor-layer met3 --ver-layer met2 --ver-width-mult 4 --hor-width-mult 4 --hor-extension 4.8 --ver-extension 4.8 --length 2.4 --unmatched-error -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/3-initial_fp.def |& tee /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/4-place_io_ol.log /dev/null"
 
-Fri May 27 08:35:14 UTC 2022 - Executing "openroad -python /openlane/scripts/manual_macro_place.py -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef -id /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.macro_placement.def -c /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/macro_placement.cfg -f |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/5-macro_placement.log"
+Fri May 27 13:55:59 UTC 2022 - Executing "openroad -python /openlane/scripts/manual_macro_place.py -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef -id /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.def -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/floorplan/4-io.macro_placement.def -c /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/macro_placement.cfg -f |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/5-macro_placement.log"
 
-Fri May 27 08:35:14 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/tapcell.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/6-tap.log"
+Fri May 27 13:55:59 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/tapcell.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/6-tap.log"
 
-Fri May 27 08:35:15 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/pdn.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/7-pdn.log"
+Fri May 27 13:56:00 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/pdn.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/7-pdn.log"
 
-Fri May 27 08:35:30 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/replace.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-global.log"
+Fri May 27 13:56:16 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/replace.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-global.log"
 
-Fri May 27 08:37:05 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/resizer.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/9-resizer.log"
+Fri May 27 13:57:45 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/resizer.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/9-resizer.log"
 
-Fri May 27 08:37:14 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/10-write_verilog.log"
+Fri May 27 13:57:54 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/10-write_verilog.log"
 
-Fri May 27 08:37:15 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/placement\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:57:55 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/placement\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:37:15 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:57:55 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/synthesis\/user_project_wrapper.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:37:15 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/opendp.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/11-detailed.log"
+Fri May 27 13:57:55 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/opendp.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/11-detailed.log"
 
-Fri May 27 08:37:36 UTC 2022 - Executing "openroad -python /openlane/scripts/libtrim.py --cell-file /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cts/cts.lib.exclude.list --output /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cts/cts.lib /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
+Fri May 27 13:58:14 UTC 2022 - Executing "openroad -python /openlane/scripts/libtrim.py --cell-file /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cts/cts.lib.exclude.list --output /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cts/cts.lib /home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__tt_025C_1v80.lib"
 
-Fri May 27 08:37:36 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/cts.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/12-cts.log"
+Fri May 27 13:58:14 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/cts.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/12-cts.log"
 
-Fri May 27 08:37:58 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/13-write_verilog.log"
+Fri May 27 13:58:35 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/13-write_verilog.log"
 
-Fri May 27 08:37:59 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/cts\/user_project_wrapper.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:58:36 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/cts\/user_project_wrapper.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:37:59 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/placement\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:58:36 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/placement\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:37:59 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/resizer_timing.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/14-resizer.log"
+Fri May 27 13:58:36 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/resizer_timing.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/14-resizer.log"
 
-Fri May 27 08:38:08 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/15-write_verilog.log"
+Fri May 27 13:58:44 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/15-write_verilog.log"
 
-Fri May 27 08:38:09 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/cts\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:58:46 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/cts\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:38:09 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/cts\/user_project_wrapper.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:58:46 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/cts\/user_project_wrapper.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:38:09 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/resizer_routing_timing.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/16-resizer.log"
+Fri May 27 13:58:46 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/resizer_routing_timing.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/16-resizer.log"
 
-Fri May 27 08:38:19 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/17-write_verilog.log"
+Fri May 27 13:58:55 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/17-write_verilog.log"
 
-Fri May 27 08:38:20 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/routing\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:58:56 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/routing\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:38:20 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/cts\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 13:58:56 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/cts\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:38:20 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/opendp.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/18-diode_legalization.log"
+Fri May 27 13:58:56 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/opendp.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/18-diode_legalization.log"
 
-Fri May 27 08:38:41 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/groute.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global.log"
+Fri May 27 13:59:15 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/groute.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global.log"
 
-Fri May 27 08:40:50 UTC 2022 - Executing "openroad -python /openlane/scripts/replace_prefix_from_def_instances.py -op ANTENNA -np INSDIODE2 -d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/19-global.def"
+Fri May 27 14:01:18 UTC 2022 - Executing "openroad -python /openlane/scripts/replace_prefix_from_def_instances.py -op ANTENNA -np INSDIODE2 -d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/19-global.def"
 
-Fri May 27 08:40:54 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/groute.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global_2.log"
+Fri May 27 14:01:22 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/groute.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global_2.log"
 
-Fri May 27 08:41:57 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/fill.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/20-fill.log"
+Fri May 27 14:02:20 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/fill.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/20-fill.log"
 
-Fri May 27 08:42:03 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/21-write_verilog_global.log"
+Fri May 27 14:02:26 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/21-write_verilog_global.log"
 
-Fri May 27 08:42:08 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/routing\/20-global.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 14:02:32 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/routing\/20-global.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:42:08 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/routing\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 14:02:32 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/results\/routing\/user_project_wrapper.resized.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:42:08 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/droute.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/22-detailed.log"
+Fri May 27 14:02:32 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/droute.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/22-detailed.log"
 
-Fri May 27 08:49:59 UTC 2022 - Executing "openroad -python /openlane/scripts/tr_drc_to_klayout_drc.py -i /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/detailed.drc -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/detailed.klayout.xml --design-name user_project_wrapper"
+Fri May 27 14:08:30 UTC 2022 - Executing "openroad -python /openlane/scripts/tr_drc_to_klayout_drc.py -i /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/detailed.drc -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/routing/detailed.klayout.xml --design-name user_project_wrapper"
 
-Fri May 27 08:49:59 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/23-write_verilog_detailed.log"
+Fri May 27 14:08:31 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/23-write_verilog_detailed.log"
 
-Fri May 27 08:50:06 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/routing\/22-detailed.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 14:08:37 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/routing\/22-detailed.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:50:06 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/routing\/20-global.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 14:08:37 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/routing\/20-global.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 08:50:06 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/24-parasitics_extraction.min.log"
+Fri May 27 14:08:37 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/24-parasitics_extraction.min.log"
 
-Fri May 27 08:50:13 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta_multi_corner.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/25-parasitics_multi_corner_sta.min.log"
+Fri May 27 14:08:44 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta_multi_corner.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/25-parasitics_multi_corner_sta.min.log"
 
-Fri May 27 08:50:30 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/26-parasitics_extraction.max.log"
+Fri May 27 14:09:01 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/26-parasitics_extraction.max.log"
 
-Fri May 27 08:50:36 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta_multi_corner.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/27-parasitics_multi_corner_sta.max.log"
+Fri May 27 14:09:07 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta_multi_corner.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/27-parasitics_multi_corner_sta.max.log"
 
-Fri May 27 08:50:53 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/28-parasitics_extraction.nom.log"
+Fri May 27 14:09:23 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/rcx.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/28-parasitics_extraction.nom.log"
 
-Fri May 27 08:50:59 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/29-parasitics_sta.log"
+Fri May 27 14:09:30 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/29-parasitics_sta.log"
 
-Fri May 27 08:51:11 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta_multi_corner.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/30-parasitics_multi_corner_sta.log"
+Fri May 27 14:09:41 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/sta_multi_corner.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/30-parasitics_multi_corner_sta.log"
 
-Fri May 27 08:51:27 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openlane/scripts/magic/mag_gds.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/31-gdsii.log"
+Fri May 27 14:09:57 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openlane/scripts/magic/mag_gds.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/31-gdsii.log"
 
-Fri May 27 08:52:38 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openlane/scripts/magic/gds_pointers.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/31-gds_ptrs.log"
+Fri May 27 14:11:07 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openlane/scripts/magic/gds_pointers.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/31-gds_ptrs.log"
 
-Fri May 27 08:54:05 UTC 2022 - Executing "sed -i -n {/^<< properties >>/,/^<< end >>/p} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/gds_ptrs.mag"
+Fri May 27 14:12:33 UTC 2022 - Executing "sed -i -n {/^<< properties >>/,/^<< end >>/p} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/gds_ptrs.mag"
 
-Fri May 27 08:54:06 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openlane/scripts/magic/lef.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/31-lef.log"
+Fri May 27 14:12:33 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openlane/scripts/magic/lef.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/31-lef.log"
 
-Fri May 27 09:01:46 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openlane/scripts/magic/maglef.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/31-maglef.log"
+Fri May 27 14:20:02 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /openlane/scripts/magic/maglef.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/31-maglef.log"
 
-Fri May 27 09:01:46 UTC 2022 - Executing "klayout -b -rm /openlane/scripts/klayout/def2gds.py -rd out_gds=/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.klayout.gds -rd tech_file=/home/serdar/pdks/sky130A/libs.tech/klayout/sky130A.lyt -rd design_name=user_project_wrapper -rd in_def=/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def -rd {in_gds=/home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds  /home/serdar/pdks/sky130A/libs.ref/sky130_sram_macros/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds} -rd config_file= -rd seal_gds= -rd lef_file=/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/32-gdsii-klayout.log"
+Fri May 27 14:20:03 UTC 2022 - Executing "klayout -b -rm /openlane/scripts/klayout/def2gds.py -rd out_gds=/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.klayout.gds -rd tech_file=/home/serdar/pdks/sky130A/libs.tech/klayout/sky130A.lyt -rd design_name=user_project_wrapper -rd in_def=/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def -rd {in_gds=/home/serdar/pdks/sky130A/libs.ref/sky130_fd_sc_hd/gds/sky130_fd_sc_hd.gds  /home/serdar/pdks/sky130A/libs.ref/sky130_sram_macros/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds} -rd config_file= -rd seal_gds= -rd lef_file=/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/32-gdsii-klayout.log"
 
-Fri May 27 09:02:01 UTC 2022 - Executing "bash /openlane/scripts/klayout/xor.sh /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.gds /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.klayout.gds user_project_wrapper /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.gds |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log"
+Fri May 27 14:20:17 UTC 2022 - Executing "bash /openlane/scripts/klayout/xor.sh /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.gds /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.klayout.gds user_project_wrapper /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.gds |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log"
 
-Fri May 27 09:11:34 UTC 2022 - Executing "openroad -python /openlane/scripts/parse_klayout_xor_log.py -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/33-xor.rpt"
+Fri May 27 14:29:39 UTC 2022 - Executing "openroad -python /openlane/scripts/parse_klayout_xor_log.py -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/33-xor.rpt"
 
-Fri May 27 09:11:34 UTC 2022 - Executing "bash /openlane/scripts/klayout/xor.sh /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.gds /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.klayout.gds user_project_wrapper /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.xml |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log"
+Fri May 27 14:29:39 UTC 2022 - Executing "bash /openlane/scripts/klayout/xor.sh /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.gds /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/signoff/user_project_wrapper.klayout.gds user_project_wrapper /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.xml |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log"
 
-Fri May 27 09:21:15 UTC 2022 - Executing "openroad -python /openlane/scripts/parse_klayout_xor_log.py -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/33-xor.rpt"
+Fri May 27 14:39:03 UTC 2022 - Executing "openroad -python /openlane/scripts/parse_klayout_xor_log.py -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/33-xor.rpt"
 
-Fri May 27 09:21:15 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/gds.spice.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/34-gds.spice.log"
+Fri May 27 14:39:03 UTC 2022 - Executing "magic -noconsole -dnull -rcfile /home/serdar/pdks/sky130A/libs.tech/magic/sky130A.magicrc /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/gds.spice.tcl </dev/null |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/34-gds.spice.log"
 
-Fri May 27 10:06:37 UTC 2022 - Executing "openroad -python /openlane/scripts/write_powered_def.py -d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef --power-port vccd1 --ground-port vssd1 --powered-netlist /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/pg_define.v -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/34-powered_def.def |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/35-write_powered_def.log"
+Fri May 27 15:20:08 UTC 2022 - Executing "openroad -python /openlane/scripts/write_powered_def.py -d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def -l /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef --power-port vccd1 --ground-port vssd1 --powered-netlist /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/pg_define.v -o /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/34-powered_def.def |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/35-write_powered_def.log"
 
-Fri May 27 10:06:55 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /dev/null"
+Fri May 27 15:20:27 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/write_verilog.tcl |& tee /dev/null /dev/null"
 
-Fri May 27 10:07:01 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/signoff\/34-powered_netlist.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 15:20:34 UTC 2022 - Executing "sed -i -e {s/\(set ::env(CURRENT_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/signoff\/34-powered_netlist.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 10:07:01 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/routing\/22-detailed.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
+Fri May 27 15:20:34 UTC 2022 - Executing "sed -i -e {s/\(set ::env(PREV_NETLIST)\).*/\1 \/home\/serdar\/Desktop\/openram_demo\/openram_openmpw\/openlane\/user_project_wrapper\/runs\/user_project_wrapper\/tmp\/routing\/22-detailed.v/} /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl"
 
-Fri May 27 10:07:01 UTC 2022 - Executing "netgen -batch source /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/37-setup_file.gds.lvs |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/37-gds.log"
+Fri May 27 15:20:34 UTC 2022 - Executing "netgen -batch source /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/37-setup_file.gds.lvs |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/37-gds.log"
 
-Fri May 27 10:08:54 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/antenna_check.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/38-antenna.log"
+Fri May 27 15:22:23 UTC 2022 - Executing "openroad -exit /openlane/scripts/openroad/antenna_check.tcl |& tee /dev/null /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/38-antenna.log"
 
-Fri May 27 10:09:01 UTC 2022 - Executing "openroad -python /openlane/scripts/generate_reports.py -d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper --design_name user_project_wrapper --tag user_project_wrapper --output_file /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/metrics.csv --man_report /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/manufacturability.rpt --run_path /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper"
+Fri May 27 15:22:29 UTC 2022 - Executing "openroad -python /openlane/scripts/generate_reports.py -d /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper --design_name user_project_wrapper --tag user_project_wrapper --output_file /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/metrics.csv --man_report /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/manufacturability.rpt --run_path /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper"
 
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl b/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl
index c819e67..ec29544 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/config.tcl
@@ -200,7 +200,7 @@
 set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) {70}
 set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}
 set ::env(PL_RESIZER_MAX_CAP_MARGIN) {20}
-set ::env(PL_RESIZER_MAX_SLEW_MARGIN) {30}
+set ::env(PL_RESIZER_MAX_SLEW_MARGIN) {35}
 set ::env(PL_RESIZER_MAX_WIRE_LENGTH) {200}
 set ::env(PL_RESIZER_REPAIR_TIE_FANOUT) {1}
 set ::env(PL_RESIZER_SETUP_MAX_BUFFER_PERCENT) {30}
@@ -234,7 +234,7 @@
 set ::env(ROUTING_CORES) {8}
 set ::env(RT_MAX_LAYER) {met4}
 set ::env(RT_MIN_LAYER) {met1}
-set ::env(RUN_CVC) {1}
+set ::env(RUN_CVC) {0}
 set ::env(RUN_DIR) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper}
 set ::env(RUN_KLAYOUT) {1}
 set ::env(RUN_KLAYOUT_DRC) {0}
@@ -250,7 +250,7 @@
 set ::env(SPEF_EDGE_CAP_FACTOR) {1}
 set ::env(SPEF_EXTRACTOR) {openrcx}
 set ::env(SPEF_WIRE_MODEL) {L}
-set ::env(START_TIME) {2022.05.27_08.35.06}
+set ::env(START_TIME) {2022.05.27_13.55.51}
 set ::env(STA_REPORT_POWER) {1}
 set ::env(STD_CELL_GROUND_PINS) {VGND VNB}
 set ::env(STD_CELL_LIBRARY) {sky130_fd_sc_hd}
@@ -504,7 +504,7 @@
 set ::env(GPIO_PADS_LEF_CORE_SIDE) { /home/serdar/pdks/sky130A/libs.tech/openlane/custom_cells/lef/sky130_fd_io_core.lef /home/serdar/pdks/sky130A/libs.tech/openlane/custom_cells/lef/sky130_ef_io_core.lef }
 set ::env(GPIO_PADS_VERILOG) { /home/serdar/pdks/sky130A/libs.ref/sky130_fd_io/verilog/sky130_ef_io.v /home/serdar/pdks/sky130A/libs.ref/sky130_fd_io/verilog/sky130_ef_io__gpiov2_pad_wrapped.v }
 set ::env(HOME) {/}
-set ::env(HOSTNAME) {468f9f2d72d1}
+set ::env(HOSTNAME) {fe824ed0108e}
 set ::env(INPUT_DEF) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/signoff/34-powered_def.def}
 set ::env(IO_PCT) {0.2}
 set ::env(KLAYOUT_DRC_KLAYOUT_GDS) {0}
@@ -603,7 +603,7 @@
 set ::env(PL_RESIZER_HOLD_MAX_BUFFER_PERCENT) {70}
 set ::env(PL_RESIZER_HOLD_SLACK_MARGIN) {0.1}
 set ::env(PL_RESIZER_MAX_CAP_MARGIN) {20}
-set ::env(PL_RESIZER_MAX_SLEW_MARGIN) {30}
+set ::env(PL_RESIZER_MAX_SLEW_MARGIN) {35}
 set ::env(PL_RESIZER_MAX_WIRE_LENGTH) {200}
 set ::env(PL_RESIZER_REPAIR_TIE_FANOUT) {1}
 set ::env(PL_RESIZER_SETUP_MAX_BUFFER_PERCENT) {30}
@@ -643,7 +643,7 @@
 set ::env(ROUTING_CURRENT_DEF) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cts/14-resizer_timing.def}
 set ::env(RT_MAX_LAYER) {met4}
 set ::env(RT_MIN_LAYER) {met1}
-set ::env(RUN_CVC) {1}
+set ::env(RUN_CVC) {0}
 set ::env(RUN_DIR) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper}
 set ::env(RUN_KLAYOUT) {1}
 set ::env(RUN_KLAYOUT_DRC) {0}
@@ -669,7 +669,7 @@
 set ::env(SPEF_EXTRACTOR) {openrcx}
 set ::env(SPEF_PREFIX) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper}
 set ::env(SPEF_WIRE_MODEL) {L}
-set ::env(START_TIME) {2022.05.27_08.35.06}
+set ::env(START_TIME) {2022.05.27_13.55.51}
 set ::env(STA_LEF) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/merged.nom.lef}
 set ::env(STA_PRE_CTS) {0}
 set ::env(STA_REPORT_POWER) {1}
@@ -767,6 +767,6 @@
 set ::env(synthesis_reports) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/synthesis}
 set ::env(synthesis_results) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/synthesis}
 set ::env(synthesis_tmpfiles) {/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis}
-set ::env(timer_end) {1653646141}
-set ::env(timer_routed) {1653641406}
-set ::env(timer_start) {1653640506}
+set ::env(timer_end) {1653664948}
+set ::env(timer_routed) {1653660517}
+set ::env(timer_start) {1653659751}
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/12-cts.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/12-cts.log
index 7b40052..d9533d6 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/12-cts.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/12-cts.log
@@ -16,7 +16,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/placement/user_project_wrapper.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:37:13 2022
+# Fri May 27 13:57:53 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/14-resizer.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/14-resizer.log
index d7c638f..32ee4ed 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/14-resizer.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/cts/14-resizer.log
@@ -16,7 +16,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/cts/user_project_wrapper.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:37:55 2022
+# Fri May 27 13:58:32 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/7-pdn.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/7-pdn.log
index 93216e8..8f770e8 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/7-pdn.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/floorplan/7-pdn.log
@@ -18,14 +18,14 @@
 [INFO PDN-0001] Inserting grid: macro - SRAM1
 [INFO PDN-0001] Inserting grid: macro - SRAM2
 [INFO PDN-0001] Inserting grid: macro - SRAM3
-[WARNING PDN-0110] No via inserted between met4 and met5 at (638.9700, 826.5400) - (642.0700, 827.4300) on vdda2
-[WARNING PDN-0110] No via inserted between met4 and met5 at (818.9700, 826.5400) - (822.0700, 827.4300) on vdda2
-[WARNING PDN-0110] No via inserted between met4 and met5 at (998.9700, 826.5400) - (1002.0700, 827.4300) on vdda2
-[WARNING PDN-0110] No via inserted between met4 and met5 at (1178.9700, 826.5400) - (1182.0700, 827.4300) on vdda2
-[WARNING PDN-0110] No via inserted between met4 and met5 at (1718.9700, 826.5400) - (1722.0700, 827.4300) on vdda2
-[WARNING PDN-0110] No via inserted between met4 and met5 at (1898.9700, 826.5400) - (1902.0700, 827.4300) on vdda2
-[WARNING PDN-0110] No via inserted between met4 and met5 at (2078.9700, 826.5400) - (2082.0700, 827.4300) on vdda2
-[WARNING PDN-0110] No via inserted between met4 and met5 at (2258.9700, 826.5400) - (2262.0700, 827.4300) on vdda2
+[WARNING PDN-0110] No via inserted between met4 and met5 at (638.9700, 826.5400) - (642.0700, 827.4300) on vdda1
+[WARNING PDN-0110] No via inserted between met4 and met5 at (818.9700, 826.5400) - (822.0700, 827.4300) on vdda1
+[WARNING PDN-0110] No via inserted between met4 and met5 at (998.9700, 826.5400) - (1002.0700, 827.4300) on vdda1
+[WARNING PDN-0110] No via inserted between met4 and met5 at (1178.9700, 826.5400) - (1182.0700, 827.4300) on vdda1
+[WARNING PDN-0110] No via inserted between met4 and met5 at (1718.9700, 826.5400) - (1722.0700, 827.4300) on vdda1
+[WARNING PDN-0110] No via inserted between met4 and met5 at (1898.9700, 826.5400) - (1902.0700, 827.4300) on vdda1
+[WARNING PDN-0110] No via inserted between met4 and met5 at (2078.9700, 826.5400) - (2082.0700, 827.4300) on vdda1
+[WARNING PDN-0110] No via inserted between met4 and met5 at (2258.9700, 826.5400) - (2262.0700, 827.4300) on vdda1
 [WARNING PSM-0016] Voltage pad location (VSRC) file not specified, defaulting pad location to checkerboard pattern on core area.
 [WARNING PSM-0017] X direction bump pitch is not specified, defaulting to 140um.
 [WARNING PSM-0018] Y direction bump pitch is not specified, defaulting to 140um.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-global.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-global.log
index cc4300d..f1a8fa0 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-global.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/8-global.log
@@ -143,7 +143,7 @@
 [NesterovSolve] Finished with Overflow: 0.098672
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:35:13 2022
+# Fri May 27 13:55:58 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/9-resizer.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/9-resizer.log
index ff496e0..6a0447c 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/9-resizer.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/placement/9-resizer.log
@@ -16,7 +16,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/placement/8-global.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:35:13 2022
+# Fri May 27 13:55:58 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/16-resizer.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/16-resizer.log
index e1ba86b..9de3f5a 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/16-resizer.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/16-resizer.log
@@ -16,7 +16,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/cts/14-resizer_timing.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:38:07 2022
+# Fri May 27 13:58:44 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
@@ -2123,7 +2123,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global.log
index de787d0..c0952d7 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global.log
@@ -16,7 +16,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/16-resizer_timing.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:38:18 2022
+# Fri May 27 13:58:54 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
@@ -2123,7 +2123,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
@@ -2894,7 +2894,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
@@ -3517,7 +3517,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
@@ -4152,7 +4152,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
@@ -4788,7 +4788,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global_2.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global_2.log
index cc5b5c8..4806d77 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global_2.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/19-global_2.log
@@ -16,7 +16,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/routing/19-global.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:38:18 2022
+# Fri May 27 13:58:54 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
@@ -2123,7 +2123,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
@@ -2789,7 +2789,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
@@ -3443,7 +3443,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
@@ -4097,7 +4097,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
@@ -4750,7 +4750,7 @@
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
 [WARNING GRT-0040] Net vdda2 has wires outside die area.
-[WARNING GRT-0040] Net vdda2 has wires outside die area.
+[WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
 [WARNING GRT-0040] Net vssa1 has wires outside die area.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/22-detailed.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/22-detailed.log
index 57e446e..7ada482 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/22-detailed.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/routing/22-detailed.log
@@ -141,7 +141,7 @@
 #macroValidViaAp       = 0
 #macroNoAp             = 0
 [INFO DRT-0166] Complete pin access.
-[INFO DRT-0267] cpu time = 00:00:03, elapsed time = 00:00:01, memory = 3029.29 (MB), peak = 3455.97 (MB)
+[INFO DRT-0267] cpu time = 00:00:03, elapsed time = 00:00:01, memory = 3030.55 (MB), peak = 3457.94 (MB)
 [INFO DRT-0151] Reading guide.
 
 Number of guides:     13750
@@ -196,33 +196,33 @@
 [INFO DRT-0184] Done with 7790 vertical wires in 9 frboxes and 5240 horizontal wires in 11 frboxes.
 [INFO DRT-0186] Done with 548 vertical wires in 9 frboxes and 1911 horizontal wires in 11 frboxes.
 [INFO DRT-0182] Complete track assignment.
-[INFO DRT-0267] cpu time = 00:01:25, elapsed time = 00:00:16, memory = 3309.45 (MB), peak = 5589.45 (MB)
+[INFO DRT-0267] cpu time = 00:01:22, elapsed time = 00:00:16, memory = 3309.82 (MB), peak = 5590.90 (MB)
 [INFO DRT-0187] Start routing data preparation.
-[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 3309.65 (MB), peak = 5589.45 (MB)
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 3309.93 (MB), peak = 5590.90 (MB)
 [INFO DRT-0194] Start detail routing.
 [INFO DRT-0195] Start 0th optimization iteration.
     Completing 10% with 0 violations.
-    elapsed time = 00:00:20, memory = 12734.55 (MB).
+    elapsed time = 00:00:19, memory = 12709.98 (MB).
     Completing 20% with 0 violations.
-    elapsed time = 00:00:45, memory = 21605.88 (MB).
+    elapsed time = 00:00:39, memory = 21615.95 (MB).
     Completing 30% with 1814 violations.
-    elapsed time = 00:01:42, memory = 11087.17 (MB).
+    elapsed time = 00:01:03, memory = 11444.50 (MB).
     Completing 40% with 1814 violations.
-    elapsed time = 00:01:54, memory = 18026.91 (MB).
+    elapsed time = 00:01:14, memory = 17723.48 (MB).
     Completing 50% with 3671 violations.
-    elapsed time = 00:02:50, memory = 11883.17 (MB).
+    elapsed time = 00:01:49, memory = 11260.85 (MB).
     Completing 60% with 3671 violations.
-    elapsed time = 00:03:01, memory = 14708.20 (MB).
+    elapsed time = 00:01:59, memory = 14753.77 (MB).
     Completing 70% with 3671 violations.
-    elapsed time = 00:03:10, memory = 21700.44 (MB).
+    elapsed time = 00:02:07, memory = 21699.63 (MB).
     Completing 80% with 5245 violations.
-    elapsed time = 00:03:45, memory = 16449.47 (MB).
+    elapsed time = 00:02:25, memory = 17313.14 (MB).
     Completing 90% with 5245 violations.
-    elapsed time = 00:03:54, memory = 18552.79 (MB).
+    elapsed time = 00:02:33, memory = 19388.76 (MB).
     Completing 100% with 6599 violations.
-    elapsed time = 00:04:24, memory = 17376.21 (MB).
+    elapsed time = 00:02:51, memory = 18869.26 (MB).
 [INFO DRT-0199]   Number of violations = 6787.
-[INFO DRT-0267] cpu time = 00:15:50, elapsed time = 00:04:24, memory = 17376.27 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:13:14, elapsed time = 00:02:52, memory = 18869.26 (MB), peak = 25474.23 (MB)
 Total wire length = 447057 um.
 Total wire length on LAYER li1 = 0 um.
 Total wire length on LAYER met1 = 239551 um.
@@ -246,32 +246,32 @@
 
 [INFO DRT-0195] Start 1st optimization iteration.
     Completing 10% with 6787 violations.
-    elapsed time = 00:00:09, memory = 17552.88 (MB).
+    elapsed time = 00:00:08, memory = 18963.38 (MB).
     Completing 20% with 6787 violations.
-    elapsed time = 00:00:17, memory = 22759.95 (MB).
+    elapsed time = 00:00:16, memory = 22923.98 (MB).
     Completing 30% with 5306 violations.
-    elapsed time = 00:00:35, memory = 17376.71 (MB).
+    elapsed time = 00:00:33, memory = 18869.82 (MB).
     Completing 40% with 5306 violations.
-    elapsed time = 00:00:42, memory = 19248.15 (MB).
+    elapsed time = 00:00:41, memory = 19575.01 (MB).
     Completing 50% with 4251 violations.
-    elapsed time = 00:01:01, memory = 17376.63 (MB).
+    elapsed time = 00:00:58, memory = 18869.94 (MB).
     Completing 60% with 4251 violations.
-    elapsed time = 00:01:11, memory = 18018.28 (MB).
+    elapsed time = 00:01:08, memory = 18870.07 (MB).
     Completing 70% with 4251 violations.
-    elapsed time = 00:01:20, memory = 22000.66 (MB).
+    elapsed time = 00:01:16, memory = 21884.66 (MB).
     Completing 80% with 2528 violations.
-    elapsed time = 00:01:41, memory = 17550.72 (MB).
+    elapsed time = 00:01:33, memory = 19061.98 (MB).
     Completing 90% with 2528 violations.
-    elapsed time = 00:01:49, memory = 19832.60 (MB).
+    elapsed time = 00:01:41, memory = 19743.05 (MB).
     Completing 100% with 1084 violations.
-    elapsed time = 00:02:10, memory = 17519.30 (MB).
+    elapsed time = 00:01:59, memory = 19061.82 (MB).
 [INFO DRT-0199]   Number of violations = 1084.
-[INFO DRT-0267] cpu time = 00:11:15, elapsed time = 00:02:10, memory = 17519.30 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:10:32, elapsed time = 00:01:59, memory = 19061.82 (MB), peak = 25474.23 (MB)
 Total wire length = 447338 um.
 Total wire length on LAYER li1 = 0 um.
-Total wire length on LAYER met1 = 237239 um.
+Total wire length on LAYER met1 = 237237 um.
 Total wire length on LAYER met2 = 177244 um.
-Total wire length on LAYER met3 = 24647 um.
+Total wire length on LAYER met3 = 24648 um.
 Total wire length on LAYER met4 = 8207 um.
 Total wire length on LAYER met5 = 0 um.
 Total number of vias = 15987.
@@ -290,27 +290,27 @@
 
 [INFO DRT-0195] Start 2nd optimization iteration.
     Completing 10% with 1084 violations.
-    elapsed time = 00:00:00, memory = 17519.30 (MB).
+    elapsed time = 00:00:00, memory = 19061.82 (MB).
     Completing 20% with 1084 violations.
-    elapsed time = 00:00:01, memory = 17519.39 (MB).
+    elapsed time = 00:00:01, memory = 19061.88 (MB).
     Completing 30% with 1039 violations.
-    elapsed time = 00:00:02, memory = 17519.39 (MB).
+    elapsed time = 00:00:02, memory = 19062.04 (MB).
     Completing 40% with 1039 violations.
-    elapsed time = 00:00:03, memory = 17519.42 (MB).
+    elapsed time = 00:00:03, memory = 19062.04 (MB).
     Completing 50% with 946 violations.
-    elapsed time = 00:00:04, memory = 17519.42 (MB).
+    elapsed time = 00:00:04, memory = 19062.07 (MB).
     Completing 60% with 946 violations.
-    elapsed time = 00:00:06, memory = 17519.42 (MB).
+    elapsed time = 00:00:05, memory = 19062.07 (MB).
     Completing 70% with 946 violations.
-    elapsed time = 00:00:06, memory = 17519.48 (MB).
+    elapsed time = 00:00:06, memory = 19062.07 (MB).
     Completing 80% with 897 violations.
-    elapsed time = 00:00:08, memory = 17519.66 (MB).
+    elapsed time = 00:00:08, memory = 19062.07 (MB).
     Completing 90% with 897 violations.
-    elapsed time = 00:00:10, memory = 17519.66 (MB).
+    elapsed time = 00:00:10, memory = 19062.07 (MB).
     Completing 100% with 775 violations.
-    elapsed time = 00:00:13, memory = 17519.66 (MB).
+    elapsed time = 00:00:12, memory = 19062.12 (MB).
 [INFO DRT-0199]   Number of violations = 775.
-[INFO DRT-0267] cpu time = 00:01:19, elapsed time = 00:00:13, memory = 17519.66 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:01:16, elapsed time = 00:00:12, memory = 19062.12 (MB), peak = 25474.23 (MB)
 Total wire length = 447763 um.
 Total wire length on LAYER li1 = 0 um.
 Total wire length on LAYER met1 = 237107 um.
@@ -334,27 +334,27 @@
 
 [INFO DRT-0195] Start 3rd optimization iteration.
     Completing 10% with 775 violations.
-    elapsed time = 00:00:00, memory = 17519.66 (MB).
+    elapsed time = 00:00:00, memory = 19062.12 (MB).
     Completing 20% with 775 violations.
-    elapsed time = 00:00:00, memory = 17519.66 (MB).
+    elapsed time = 00:00:00, memory = 19062.12 (MB).
     Completing 30% with 566 violations.
-    elapsed time = 00:00:00, memory = 17519.66 (MB).
+    elapsed time = 00:00:00, memory = 19062.12 (MB).
     Completing 40% with 566 violations.
-    elapsed time = 00:00:01, memory = 17519.66 (MB).
+    elapsed time = 00:00:01, memory = 19062.25 (MB).
     Completing 50% with 351 violations.
-    elapsed time = 00:00:02, memory = 17519.66 (MB).
+    elapsed time = 00:00:02, memory = 19062.25 (MB).
     Completing 60% with 351 violations.
-    elapsed time = 00:00:02, memory = 17519.77 (MB).
+    elapsed time = 00:00:02, memory = 19062.25 (MB).
     Completing 70% with 351 violations.
-    elapsed time = 00:00:02, memory = 17519.77 (MB).
+    elapsed time = 00:00:02, memory = 19062.47 (MB).
     Completing 80% with 222 violations.
-    elapsed time = 00:00:05, memory = 17519.77 (MB).
+    elapsed time = 00:00:05, memory = 19062.47 (MB).
     Completing 90% with 222 violations.
-    elapsed time = 00:00:06, memory = 17519.77 (MB).
+    elapsed time = 00:00:05, memory = 19062.47 (MB).
     Completing 100% with 22 violations.
-    elapsed time = 00:00:08, memory = 17519.88 (MB).
+    elapsed time = 00:00:08, memory = 19062.47 (MB).
 [INFO DRT-0199]   Number of violations = 22.
-[INFO DRT-0267] cpu time = 00:00:27, elapsed time = 00:00:08, memory = 17519.88 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:00:26, elapsed time = 00:00:08, memory = 19062.47 (MB), peak = 25474.23 (MB)
 Total wire length = 447890 um.
 Total wire length on LAYER li1 = 0 um.
 Total wire length on LAYER met1 = 233389 um.
@@ -378,27 +378,27 @@
 
 [INFO DRT-0195] Start 4th optimization iteration.
     Completing 10% with 22 violations.
-    elapsed time = 00:00:00, memory = 17519.88 (MB).
+    elapsed time = 00:00:00, memory = 19062.47 (MB).
     Completing 20% with 22 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.47 (MB).
     Completing 30% with 16 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.47 (MB).
     Completing 40% with 16 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 50% with 14 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 60% with 14 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 70% with 14 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 80% with 7 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 90% with 7 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 100% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
 [INFO DRT-0199]   Number of violations = 0.
-[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:00, memory = 17520.00 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:00:01, elapsed time = 00:00:00, memory = 19062.71 (MB), peak = 25474.23 (MB)
 Total wire length = 447910 um.
 Total wire length on LAYER li1 = 0 um.
 Total wire length on LAYER met1 = 233287 um.
@@ -422,27 +422,27 @@
 
 [INFO DRT-0195] Start 17th optimization iteration.
     Completing 10% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 20% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 30% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 40% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 50% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 60% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 70% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 80% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 90% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 100% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
 [INFO DRT-0199]   Number of violations = 0.
-[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 17520.00 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 19062.71 (MB), peak = 25474.23 (MB)
 Total wire length = 447910 um.
 Total wire length on LAYER li1 = 0 um.
 Total wire length on LAYER met1 = 233287 um.
@@ -466,27 +466,27 @@
 
 [INFO DRT-0195] Start 25th optimization iteration.
     Completing 10% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 20% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 30% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 40% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 50% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 60% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 70% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 80% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 90% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 100% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
 [INFO DRT-0199]   Number of violations = 0.
-[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 17520.00 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 19062.71 (MB), peak = 25474.23 (MB)
 Total wire length = 447910 um.
 Total wire length on LAYER li1 = 0 um.
 Total wire length on LAYER met1 = 233287 um.
@@ -510,27 +510,27 @@
 
 [INFO DRT-0195] Start 33rd optimization iteration.
     Completing 10% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 20% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 30% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 40% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 50% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 60% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 70% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 80% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 90% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 100% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
 [INFO DRT-0199]   Number of violations = 0.
-[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 17520.00 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 19062.71 (MB), peak = 25474.23 (MB)
 Total wire length = 447910 um.
 Total wire length on LAYER li1 = 0 um.
 Total wire length on LAYER met1 = 233287 um.
@@ -554,27 +554,27 @@
 
 [INFO DRT-0195] Start 41st optimization iteration.
     Completing 10% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 20% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 30% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 40% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 50% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 60% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 70% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 80% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 90% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
     Completing 100% with 0 violations.
-    elapsed time = 00:00:00, memory = 17520.00 (MB).
+    elapsed time = 00:00:00, memory = 19062.71 (MB).
 [INFO DRT-0199]   Number of violations = 0.
-[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 17520.00 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:00:00, elapsed time = 00:00:00, memory = 19062.71 (MB), peak = 25474.23 (MB)
 Total wire length = 447910 um.
 Total wire length on LAYER li1 = 0 um.
 Total wire length on LAYER met1 = 233287 um.
@@ -618,7 +618,7 @@
                    16525
 
 
-[INFO DRT-0267] cpu time = 00:28:55, elapsed time = 00:06:57, memory = 17520.00 (MB), peak = 25471.87 (MB)
+[INFO DRT-0267] cpu time = 00:25:33, elapsed time = 00:05:13, memory = 19062.71 (MB), peak = 25474.23 (MB)
 
 [INFO DRT-0180] Post processing.
 Saving to /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/25-parasitics_multi_corner_sta.min.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/25-parasitics_multi_corner_sta.min.log
index 8ed380b..da1e60a 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/25-parasitics_multi_corner_sta.min.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/25-parasitics_multi_corner_sta.min.log
@@ -23,7 +23,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:38:18 2022
+# Fri May 27 13:58:54 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/27-parasitics_multi_corner_sta.max.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/27-parasitics_multi_corner_sta.max.log
index 64b1720..a84a525 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/27-parasitics_multi_corner_sta.max.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/27-parasitics_multi_corner_sta.max.log
@@ -23,7 +23,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:38:18 2022
+# Fri May 27 13:58:54 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/29-parasitics_sta.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/29-parasitics_sta.log
index 78cbf21..29ecdc8 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/29-parasitics_sta.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/29-parasitics_sta.log
@@ -23,7 +23,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:38:18 2022
+# Fri May 27 13:58:54 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/30-parasitics_multi_corner_sta.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/30-parasitics_multi_corner_sta.log
index 667135d..90c7e85 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/30-parasitics_multi_corner_sta.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/30-parasitics_multi_corner_sta.log
@@ -23,7 +23,7 @@
 [INFO ODB-0134] Finished DEF file: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/routing/user_project_wrapper.def
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:38:18 2022
+# Fri May 27 13:58:54 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log
index 90b72c1..6cac118 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/33-xor.log
@@ -7,41 +7,41 @@
 --- Running XOR for 122/16 ---
 "input" in: xor.drc:38
     Polygons (raw): 771674 (flat)  42 (hierarchical)
-    Elapsed: 0.000s  Memory: 1668.00M
+    Elapsed: 0.010s  Memory: 1668.00M
 "input" in: xor.drc:38
     Polygons (raw): 771674 (flat)  42 (hierarchical)
     Elapsed: 0.000s  Memory: 1668.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 7.350s  Memory: 1670.00M
+    Elapsed: 7.400s  Memory: 1670.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1670.00M
+    Elapsed: 0.000s  Memory: 1670.00M
 --- Running XOR for 22/21 ---
 "input" in: xor.drc:38
     Polygons (raw): 939184 (flat)  35 (hierarchical)
-    Elapsed: 0.000s  Memory: 1670.00M
+    Elapsed: 0.010s  Memory: 1670.00M
 "input" in: xor.drc:38
     Polygons (raw): 939184 (flat)  35 (hierarchical)
-    Elapsed: 0.010s  Memory: 1670.00M
+    Elapsed: 0.000s  Memory: 1670.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 5.720s  Memory: 1699.00M
+    Elapsed: 5.660s  Memory: 1699.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1699.00M
+    Elapsed: 0.000s  Memory: 1699.00M
 --- Running XOR for 22/22 ---
 "input" in: xor.drc:38
     Polygons (raw): 270400 (flat)  12 (hierarchical)
-    Elapsed: 0.000s  Memory: 1699.00M
+    Elapsed: 0.010s  Memory: 1699.00M
 "input" in: xor.drc:38
     Polygons (raw): 270400 (flat)  12 (hierarchical)
-    Elapsed: 0.000s  Memory: 1699.00M
+    Elapsed: 0.010s  Memory: 1699.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 1.910s  Memory: 1699.00M
+    Elapsed: 1.890s  Memory: 1699.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -55,11 +55,11 @@
     Elapsed: 0.010s  Memory: 1699.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 3.260s  Memory: 1679.00M
+    Elapsed: 3.270s  Memory: 1679.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 1679.00M
+    Elapsed: 0.000s  Memory: 1679.00M
 --- Running XOR for 235/4 ---
 "input" in: xor.drc:38
     Polygons (raw): 230313 (flat)  201 (hierarchical)
@@ -69,7 +69,7 @@
     Elapsed: 0.000s  Memory: 1679.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 4.630s  Memory: 1722.00M
+    Elapsed: 4.580s  Memory: 1722.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -83,7 +83,7 @@
     Elapsed: 0.000s  Memory: 1722.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 6.470s  Memory: 1741.00M
+    Elapsed: 6.380s  Memory: 1741.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -94,10 +94,10 @@
     Elapsed: 0.000s  Memory: 1741.00M
 "input" in: xor.drc:38
     Polygons (raw): 3256240 (flat)  155 (hierarchical)
-    Elapsed: 0.000s  Memory: 1741.00M
+    Elapsed: 0.010s  Memory: 1741.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 30.550s  Memory: 2368.00M
+    Elapsed: 30.000s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -108,10 +108,10 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 4004000 (flat)  192 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 30.630s  Memory: 2368.00M
+    Elapsed: 30.170s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -119,13 +119,13 @@
 --- Running XOR for 64/16 ---
 "input" in: xor.drc:38
     Polygons (raw): 771674 (flat)  42 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 771674 (flat)  42 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 7.440s  Memory: 2368.00M
+    Elapsed: 7.270s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -139,7 +139,7 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.470s  Memory: 2368.00M
+    Elapsed: 0.450s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -150,10 +150,10 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 1002660 (flat)  114 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 12.350s  Memory: 2368.00M
+    Elapsed: 12.070s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -161,13 +161,13 @@
 --- Running XOR for 64/5 ---
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 2.270s  Memory: 2368.00M
+    Elapsed: 2.200s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -175,13 +175,13 @@
 --- Running XOR for 64/59 ---
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 2.240s  Memory: 2368.00M
+    Elapsed: 2.190s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -192,10 +192,10 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 1873064 (flat)  190 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 13.620s  Memory: 2368.00M
+    Elapsed: 13.390s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -206,14 +206,14 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 476808 (flat)  31 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 3.830s  Memory: 2368.00M
+    Elapsed: 3.710s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 --- Running XOR for 65/5 ---
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -237,7 +237,7 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.690s  Memory: 2368.00M
+    Elapsed: 0.680s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -251,7 +251,7 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 23.440s  Memory: 2368.00M
+    Elapsed: 22.970s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -265,7 +265,7 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 63.520s  Memory: 2368.00M
+    Elapsed: 62.260s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -276,18 +276,18 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.600s  Memory: 2368.00M
+    Elapsed: 0.580s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 --- Running XOR for 66/83 ---
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 2368.00M
@@ -297,7 +297,7 @@
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 --- Running XOR for 67/16 ---
 "input" in: xor.drc:38
     Polygons (raw): 19290 (flat)  231 (hierarchical)
@@ -307,49 +307,49 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.830s  Memory: 2368.00M
+    Elapsed: 0.860s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 --- Running XOR for 67/20 ---
 "input" in: xor.drc:38
     Polygons (raw): 4449338 (flat)  10350 (hierarchical)
     Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 4449338 (flat)  5264 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 46.880s  Memory: 2368.00M
+    Elapsed: 43.980s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.020s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 --- Running XOR for 67/44 ---
 "input" in: xor.drc:38
     Polygons (raw): 16031577 (flat)  5777 (hierarchical)
-    Elapsed: 0.070s  Memory: 2368.00M
+    Elapsed: 0.060s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 16031577 (flat)  691 (hierarchical)
-    Elapsed: 0.000s  Memory: 2368.00M
+    Elapsed: 0.010s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 81.410s  Memory: 2368.00M
+    Elapsed: 79.860s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 --- Running XOR for 67/5 ---
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.750s  Memory: 2368.00M
+    Elapsed: 0.740s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -357,17 +357,17 @@
 --- Running XOR for 68/16 ---
 "input" in: xor.drc:38
     Polygons (raw): 2212584 (flat)  110 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 "input" in: xor.drc:38
     Polygons (raw): 2212584 (flat)  110 (hierarchical)
     Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 16.320s  Memory: 2368.00M
+    Elapsed: 16.260s  Memory: 2368.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 2368.00M
+    Elapsed: 0.000s  Memory: 2368.00M
 --- Running XOR for 68/20 ---
 "input" in: xor.drc:38
     Polygons (raw): 4463749 (flat)  65760 (hierarchical)
@@ -377,7 +377,7 @@
     Elapsed: 0.000s  Memory: 2368.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 74.440s  Memory: 6979.00M
+    Elapsed: 69.900s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -385,13 +385,13 @@
 --- Running XOR for 68/44 ---
 "input" in: xor.drc:38
     Polygons (raw): 1017721 (flat)  182612 (hierarchical)
-    Elapsed: 0.050s  Memory: 6979.00M
+    Elapsed: 0.040s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 1017721 (flat)  73 (hierarchical)
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 6.210s  Memory: 6979.00M
+    Elapsed: 6.220s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -405,11 +405,11 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 10.780s  Memory: 6979.00M
+    Elapsed: 10.500s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 --- Running XOR for 69/16 ---
 "input" in: xor.drc:38
     Polygons (raw): 276130 (flat)  547 (hierarchical)
@@ -419,21 +419,21 @@
     Elapsed: 0.010s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 2.530s  Memory: 6979.00M
+    Elapsed: 2.490s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 --- Running XOR for 69/20 ---
 "input" in: xor.drc:38
     Polygons (raw): 1934694 (flat)  68655 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 1972915 (flat)  57360 (hierarchical)
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 530 (flat)  530 (hierarchical)
-    Elapsed: 18.460s  Memory: 6979.00M
+    Elapsed: 18.080s  Memory: 6979.00M
 XOR differences: 530
 "output" in: xor.drc:40
     Polygons (raw): 530 (flat)  530 (hierarchical)
@@ -444,24 +444,24 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 157431 (flat)  19 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 1.530s  Memory: 6979.00M
+    Elapsed: 1.500s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 --- Running XOR for 69/5 ---
 "input" in: xor.drc:38
     Polygons (raw): 388188 (flat)  27454 (hierarchical)
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 388188 (flat)  27454 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 3.130s  Memory: 6979.00M
+    Elapsed: 3.060s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -472,24 +472,24 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 107 (flat)  107 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.700s  Memory: 6979.00M
+    Elapsed: 0.680s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 --- Running XOR for 70/20 ---
 "input" in: xor.drc:38
     Polygons (raw): 171734 (flat)  52273 (hierarchical)
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 207899 (flat)  47302 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 107 (flat)  107 (hierarchical)
-    Elapsed: 2.490s  Memory: 6979.00M
+    Elapsed: 2.450s  Memory: 6979.00M
 XOR differences: 107
 "output" in: xor.drc:40
     Polygons (raw): 107 (flat)  107 (hierarchical)
@@ -500,10 +500,10 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 150883 (flat)  16 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 1.410s  Memory: 6979.00M
+    Elapsed: 1.380s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -517,21 +517,21 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.840s  Memory: 6979.00M
+    Elapsed: 0.830s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 --- Running XOR for 71/16 ---
 "input" in: xor.drc:38
     Polygons (raw): 255 (flat)  255 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 255 (flat)  255 (hierarchical)
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.700s  Memory: 6979.00M
+    Elapsed: 0.690s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -539,27 +539,27 @@
 --- Running XOR for 71/20 ---
 "input" in: xor.drc:38
     Polygons (raw): 33345 (flat)  5842 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 55479 (flat)  5281 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 1.230s  Memory: 6979.00M
+    Elapsed: 1.220s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 --- Running XOR for 71/44 ---
 "input" in: xor.drc:38
     Polygons (raw): 11332 (flat)  11332 (hierarchical)
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 11332 (flat)  6 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.750s  Memory: 6979.00M
+    Elapsed: 0.730s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -573,11 +573,11 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.590s  Memory: 6979.00M
+    Elapsed: 0.580s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 --- Running XOR for 72/16 ---
 "input" in: xor.drc:38
     Polygons (raw): 172 (flat)  172 (hierarchical)
@@ -587,25 +587,25 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.700s  Memory: 6979.00M
+    Elapsed: 0.690s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 --- Running XOR for 72/20 ---
 "input" in: xor.drc:38
     Polygons (raw): 172 (flat)  172 (hierarchical)
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 3023 (flat)  174 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.700s  Memory: 6979.00M
+    Elapsed: 0.710s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 --- Running XOR for 72/5 ---
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -623,13 +623,13 @@
 --- Running XOR for 78/44 ---
 "input" in: xor.drc:38
     Polygons (raw): 966864 (flat)  46 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 966864 (flat)  46 (hierarchical)
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 9.190s  Memory: 6979.00M
+    Elapsed: 8.970s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -657,7 +657,7 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 1.370s  Memory: 6979.00M
+    Elapsed: 1.350s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -668,7 +668,7 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 416 (flat)  1 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
     Elapsed: 0.680s  Memory: 6979.00M
@@ -682,10 +682,10 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 1058488 (flat)  234 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 12.090s  Memory: 6979.00M
+    Elapsed: 11.780s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -696,10 +696,10 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "input" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 3.080s  Memory: 6979.00M
+    Elapsed: 3.000s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -713,11 +713,11 @@
     Elapsed: 0.010s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 14.260s  Memory: 6979.00M
+    Elapsed: 13.910s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.010s  Memory: 6979.00M
+    Elapsed: 0.000s  Memory: 6979.00M
 --- Running XOR for 94/20 ---
 "input" in: xor.drc:38
     Polygons (raw): 1265012 (flat)  137 (hierarchical)
@@ -727,7 +727,7 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 12.990s  Memory: 6979.00M
+    Elapsed: 12.680s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
@@ -741,10 +741,10 @@
     Elapsed: 0.000s  Memory: 6979.00M
 "^" in: xor.drc:38
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 9.540s  Memory: 6979.00M
+    Elapsed: 9.480s  Memory: 6979.00M
 XOR differences: 0
 "output" in: xor.drc:40
     Polygons (raw): 0 (flat)  0 (hierarchical)
-    Elapsed: 0.000s  Memory: 6979.00M
+    Elapsed: 0.010s  Memory: 6979.00M
 Writing report database: /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.xml ..
-Total elapsed: 576.450s  Memory: 6979.00M
+Total elapsed: 561.410s  Memory: 6979.00M
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/34-gds.spice.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/34-gds.spice.log
index ddba815..a079dd4 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/34-gds.spice.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/signoff/34-gds.spice.log
@@ -667,7 +667,7 @@
 Error:  Asymmetric device with multiple terminals!
 sky130_fd_bd_sram__openram_dp_cell: 2 warnings
 Extracting sky130_sram_2kbyte_1rw1r_32x512_8_bitcell_array into sky130_sram_2kbyte_1rw1r_32x512_8_bitcell_array.ext:
-Created database crash recovery file /tmp//MAG931.bKYYK1
+Created database crash recovery file /tmp//MAG931.vtn1PX
 Extracting sky130_sram_2kbyte_1rw1r_32x512_8_replica_column into sky130_sram_2kbyte_1rw1r_32x512_8_replica_column.ext:
 Extracting sky130_fd_bd_sram__openram_dp_cell_replica into sky130_fd_bd_sram__openram_dp_cell_replica.ext:
 Warning:  Ports "BR1" and "br1" are electrically shorted.
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log
index 18aebc2..4ed6f3b 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/1-synthesis.log
@@ -723,14 +723,14 @@
 
 20. Executing ABC pass (technology mapping using ABC).
 
-20.1. Extracting gate netlist of module `\user_project_wrapper' to `/tmp/yosys-abc-YMcTnN/input.blif'..
+20.1. Extracting gate netlist of module `\user_project_wrapper' to `/tmp/yosys-abc-PVSVGV/input.blif'..
 Extracted 219 gates and 360 wires to a netlist network with 140 inputs and 42 outputs.
 
 20.1.1. Executing ABC.
-Running ABC command: /build/bin/yosys-abc -s -f /tmp/yosys-abc-YMcTnN/abc.script 2>&1
-ABC: ABC command line: "source /tmp/yosys-abc-YMcTnN/abc.script".
+Running ABC command: /build/bin/yosys-abc -s -f /tmp/yosys-abc-PVSVGV/abc.script 2>&1
+ABC: ABC command line: "source /tmp/yosys-abc-PVSVGV/abc.script".
 ABC: 
-ABC: + read_blif /tmp/yosys-abc-YMcTnN/input.blif 
+ABC: + read_blif /tmp/yosys-abc-PVSVGV/input.blif 
 ABC: + read_lib -w /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/trimmed.lib 
 ABC: Parsing finished successfully.  Parsing time =     0.08 sec
 ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfbbn_2".
@@ -871,7 +871,7 @@
 ABC: Start-point = pi1 (\wbs_adr_i [11]).  End-point = po2 ($abc$563$indirect$\csb0$/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v:146$11).
 ABC: + print_stats -m 
 ABC: netlist                       : i/o =  140/   42  lat =    0  nd =   163  edge =    448  area =1241.10  delay = 6.00  lev = 6
-ABC: + write_blif /tmp/yosys-abc-YMcTnN/output.blif 
+ABC: + write_blif /tmp/yosys-abc-PVSVGV/output.blif 
 
 20.1.2. Re-integrating ABC results.
 ABC RESULTS:   sky130_fd_sc_hd__a22o_2 cells:       32
@@ -1280,6 +1280,6 @@
 Dumping module `\user_project_wrapper'.
 
 Warnings: 270 unique messages, 273 total
-End of script. Logfile hash: b58532c585, CPU: user 0.76s system 0.06s, MEM: 47.30 MB peak
+End of script. Logfile hash: ea41bfa499, CPU: user 0.71s system 0.04s, MEM: 47.19 MB peak
 Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os)
-Time spent: 37% 2x abc (0 sec), 23% 4x stat (0 sec), ...
+Time spent: 36% 2x abc (0 sec), 24% 4x stat (0 sec), ...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-synthesis.log b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-synthesis.log
index a99a973..afddd9d 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-synthesis.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/logs/synthesis/2-synthesis.log
@@ -724,14 +724,14 @@
 
 20. Executing ABC pass (technology mapping using ABC).
 
-20.1. Extracting gate netlist of module `\user_project_wrapper' to `/tmp/yosys-abc-xLMfXh/input.blif'..
+20.1. Extracting gate netlist of module `\user_project_wrapper' to `/tmp/yosys-abc-ORP8En/input.blif'..
 Extracted 219 gates and 360 wires to a netlist network with 140 inputs and 42 outputs.
 
 20.1.1. Executing ABC.
-Running ABC command: /build/bin/yosys-abc -s -f /tmp/yosys-abc-xLMfXh/abc.script 2>&1
-ABC: ABC command line: "source /tmp/yosys-abc-xLMfXh/abc.script".
+Running ABC command: /build/bin/yosys-abc -s -f /tmp/yosys-abc-ORP8En/abc.script 2>&1
+ABC: ABC command line: "source /tmp/yosys-abc-ORP8En/abc.script".
 ABC: 
-ABC: + read_blif /tmp/yosys-abc-xLMfXh/input.blif 
+ABC: + read_blif /tmp/yosys-abc-ORP8En/input.blif 
 ABC: + read_lib -w /home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/tmp/synthesis/trimmed.lib 
 ABC: Parsing finished successfully.  Parsing time =     0.08 sec
 ABC: Scl_LibertyReadGenlib() skipped sequential cell "sky130_fd_sc_hd__dfbbn_2".
@@ -872,7 +872,7 @@
 ABC: Start-point = pi1 (\wbs_adr_i [11]).  End-point = po2 ($abc$563$indirect$\csb0$/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/../../verilog/rtl/user_project_wrapper.v:146$11).
 ABC: + print_stats -m 
 ABC: netlist                       : i/o =  140/   42  lat =    0  nd =   163  edge =    448  area =1241.10  delay = 6.00  lev = 6
-ABC: + write_blif /tmp/yosys-abc-xLMfXh/output.blif 
+ABC: + write_blif /tmp/yosys-abc-ORP8En/output.blif 
 
 20.1.2. Re-integrating ABC results.
 ABC RESULTS:   sky130_fd_sc_hd__a22o_2 cells:       32
@@ -1281,6 +1281,6 @@
 Dumping module `\user_project_wrapper'.
 
 Warnings: 270 unique messages, 273 total
-End of script. Logfile hash: f8cf1dd02d, CPU: user 0.79s system 0.03s, MEM: 47.24 MB peak
+End of script. Logfile hash: 8ea8f86205, CPU: user 0.74s system 0.02s, MEM: 47.35 MB peak
 Yosys 0.12+45 (git sha1 UNKNOWN, gcc 8.3.1 -fPIC -Os)
-Time spent: 35% 2x abc (0 sec), 25% 4x stat (0 sec), ...
+Time spent: 36% 2x abc (0 sec), 24% 4x stat (0 sec), ...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/openlane.log b/openlane/user_project_wrapper/runs/user_project_wrapper/openlane.log
index 69c0869..c3b1b51 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/openlane.log
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/openlane.log
@@ -54,7 +54,7 @@
 [INFO]: Running GDS LVS...
 [INFO]: Running Antenna Checks...
 [INFO]: Running OpenROAD Antenna Rule Checker...
-[INFO]: Your design contains macros, which is not supported by the current integration of CVC. So CVC won't run, however CVC is just a check so it's not critical to your design.
+[INFO]: Skipping CVC...
 [INFO]: Saving final set of views in '/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper/runs/user_project_wrapper/results/final'...
 [INFO]: Saving final set of views in '/home/serdar/Desktop/openram_demo/openram_openmpw'...
 [INFO]: Saving runtime environment...
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/metrics.csv b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/metrics.csv
index 4343186..60648b2 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/metrics.csv
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/metrics.csv
@@ -1,2 +1,2 @@
 design,design_name,config,flow_status,total_runtime,routed_runtime,(Cell/mm^2)/Core_Util,DIEAREA_mm^2,CellPer_mm^2,OpenDP_Util,Peak_Memory_Usage_MB,cell_count,tritonRoute_violations,Short_violations,MetSpc_violations,OffGrid_violations,MinHole_violations,Other_violations,Magic_violations,antenna_violations,lvs_total_errors,cvc_total_errors,klayout_violations,wire_length,vias,wns,pl_wns,optimized_wns,fastroute_wns,spef_wns,tns,pl_tns,optimized_tns,fastroute_tns,spef_tns,HPWL,routing_layer1_pct,routing_layer2_pct,routing_layer3_pct,routing_layer4_pct,routing_layer5_pct,routing_layer6_pct,wires_count,wire_bits,public_wires_count,public_wire_bits,memories_count,memory_bits,processes_count,cells_pre_abc,AND,DFF,NAND,NOR,OR,XOR,XNOR,MUX,inputs,outputs,level,EndCaps,TapCells,Diodes,Total_Physical_Cells,suggested_clock_period,suggested_clock_frequency,CLOCK_PERIOD,SYNTH_STRATEGY,SYNTH_MAX_FANOUT,FP_CORE_UTIL,FP_ASPECT_RATIO,FP_PDN_VPITCH,FP_PDN_HPITCH,PL_TARGET_DENSITY,GLB_RT_ADJUSTMENT,STD_CELL_LIBRARY,CELL_PAD,DIODE_INSERTION_STRATEGY

-/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,1h33m55s0ms,0h15m0s0ms,-5.555555555555555,10.2784,-1,0.03,25471.87,-1,0,0,0,0,0,0,-1,38,-1,-1,-1,447910,16525,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,294842065.0,0.0,1.4,1.23,0.5,0.33,-1,227,1164,43,980,0,0,0,223,0,0,2,2,81,0,0,34,140,42,6,3864,127584,0,131448,21.0,47.61904761904762,20,AREA 1,5,18,1,180,180,0.18,0.3,sky130_fd_sc_hd,6,3

+/home/serdar/Desktop/openram_demo/openram_openmpw/openlane/user_project_wrapper,user_project_wrapper,user_project_wrapper,flow completed,1h26m37s0ms,0h12m46s0ms,-5.555555555555555,10.2784,-1,0.03,25474.23,-1,0,0,0,0,0,0,-1,38,-1,-1,-1,447910,16525,0.0,0.0,0.0,0.0,-1,0.0,0.0,0.0,0.0,-1,294842065.0,0.0,1.4,1.23,0.5,0.33,-1,227,1164,43,980,0,0,0,223,0,0,2,2,81,0,0,34,140,42,6,3864,127584,0,131448,21.0,47.61904761904762,20,AREA 1,5,18,1,180,180,0.18,0.3,sky130_fd_sc_hd,6,3

diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.gds b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.gds
index de0439c..e4da6c9 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.gds
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.gds
Binary files differ
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.xml b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.xml
index 35b2533..1cc35d6 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.xml
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/reports/signoff/user_project_wrapper.xor.xml
@@ -7372,7 +7372,7 @@
    <multiplicity>1</multiplicity>
    <image/>
    <values>
-    <value>label: ('vdda1',r0 1459.81,-19.07)</value>
+    <value>label: ('vdda1',r0 1459.81,-23.87)</value>
    </values>
   </item>
   <item>
@@ -7383,7 +7383,7 @@
    <multiplicity>1</multiplicity>
    <image/>
    <values>
-    <value>label: ('vdda2',r0 1459.81,-23.87)</value>
+    <value>label: ('vdda2',r0 1459.81,-33.47)</value>
    </values>
   </item>
   <item>
@@ -7394,7 +7394,7 @@
    <multiplicity>1</multiplicity>
    <image/>
    <values>
-    <value>label: ('vssa1',r0 1459.81,-33.47)</value>
+    <value>label: ('vssa1',r0 1459.81,-28.67)</value>
    </values>
   </item>
   <item>
@@ -7427,7 +7427,7 @@
    <multiplicity>1</multiplicity>
    <image/>
    <values>
-    <value>label: ('vssd2',r0 1459.81,-28.67)</value>
+    <value>label: ('vssd2',r0 1459.81,-19.07)</value>
    </values>
   </item>
   <item>
diff --git a/openlane/user_project_wrapper/runs/user_project_wrapper/runtime.yaml b/openlane/user_project_wrapper/runs/user_project_wrapper/runtime.yaml
index 670acaa..6721144 100644
--- a/openlane/user_project_wrapper/runs/user_project_wrapper/runtime.yaml
+++ b/openlane/user_project_wrapper/runs/user_project_wrapper/runtime.yaml
@@ -1,121 +1,121 @@
 - status: 0 - openlane design prep
-  runtime_s: 3.01
-  runtime_ts: 0h0m3s5ms
+  runtime_s: 2.84
+  runtime_ts: 0h0m2s836ms
 - status: 1 - synthesis - yosys
-  runtime_s: 1.51
-  runtime_ts: 0h0m1s511ms
+  runtime_s: 1.5
+  runtime_ts: 0h0m1s504ms
 - status: 2 - sta - openroad
-  runtime_s: 0.56
-  runtime_ts: 0h0m0s561ms
+  runtime_s: 0.52
+  runtime_ts: 0h0m0s522ms
 - status: 3 - floorplan initialization - openroad
-  runtime_s: 0.58
-  runtime_ts: 0h0m0s579ms
+  runtime_s: 0.51
+  runtime_ts: 0h0m0s511ms
 - status: 4 - ioplace - io_place.py
-  runtime_s: 0.39
-  runtime_ts: 0h0m0s393ms
+  runtime_s: 0.41
+  runtime_ts: 0h0m0s405ms
 - status: 6 - tap/decap insertion - openroad
-  runtime_s: 0.74
-  runtime_ts: 0h0m0s741ms
+  runtime_s: 0.76
+  runtime_ts: 0h0m0s758ms
 - status: 7 - pdn generation - openroad
-  runtime_s: 15.49
-  runtime_ts: 0h0m15s490ms
+  runtime_s: 15.85
+  runtime_ts: 0h0m15s853ms
 - status: 8 - global placement - openroad
-  runtime_s: 94.04
-  runtime_ts: 0h1m34s43ms
+  runtime_s: 89.32
+  runtime_ts: 0h1m29s322ms
 - status: 9 - resizer design optimizations - openroad
-  runtime_s: 9.31
-  runtime_ts: 0h0m9s308ms
+  runtime_s: 8.68
+  runtime_ts: 0h0m8s679ms
 - status: 10 - write verilog - openroad
-  runtime_s: 1.1
-  runtime_ts: 0h0m1s102ms
+  runtime_s: 1.12
+  runtime_ts: 0h0m1s117ms
 - status: 11 - detailed placement - openroad
-  runtime_s: 20.59
-  runtime_ts: 0h0m20s585ms
+  runtime_s: 18.8
+  runtime_ts: 0h0m18s800ms
 - status: 12 - cts
-  runtime_s: 21.89
-  runtime_ts: 0h0m21s888ms
+  runtime_s: 20.81
+  runtime_ts: 0h0m20s806ms
 - status: 13 - write verilog - openroad
   runtime_s: 1.11
-  runtime_ts: 0h0m1s106ms
+  runtime_ts: 0h0m1s114ms
 - status: 14 - resizer timing optimizations - openroad
-  runtime_s: 8.78
-  runtime_ts: 0h0m8s778ms
+  runtime_s: 8.31
+  runtime_ts: 0h0m8s305ms
 - status: 15 - write verilog - openroad
-  runtime_s: 1.1
-  runtime_ts: 0h0m1s100ms
+  runtime_s: 1.13
+  runtime_ts: 0h0m1s128ms
 - status: 16 - resizer timing optimizations - openroad
-  runtime_s: 9.72
-  runtime_ts: 0h0m9s721ms
+  runtime_s: 9.24
+  runtime_ts: 0h0m9s240ms
 - status: 17 - write verilog - openroad
-  runtime_s: 1.11
-  runtime_ts: 0h0m1s106ms
+  runtime_s: 1.14
+  runtime_ts: 0h0m1s143ms
 - status: 18 - detailed placement - openroad
-  runtime_s: 20.45
-  runtime_ts: 0h0m20s454ms
+  runtime_s: 18.73
+  runtime_ts: 0h0m18s725ms
 - status: 19 - global routing - openroad
-  runtime_s: 195.64
-  runtime_ts: 0h3m15s643ms
+  runtime_s: 184.98
+  runtime_ts: 0h3m4s984ms
 - status: 20 - fill insertion - openroad
+  runtime_s: 5.96
+  runtime_ts: 0h0m5s958ms
+- status: 21 - write verilog - openroad
+  runtime_s: 5.86
+  runtime_ts: 0h0m5s857ms
+- status: 22 - detailed_routing - openroad
+  runtime_s: 358.24
+  runtime_ts: 0h5m58s240ms
+- status: 23 - write verilog - openroad
+  runtime_s: 6.49
+  runtime_ts: 0h0m6s493ms
+- status: 24 - parasitics extraction - openroad
+  runtime_s: 6.7
+  runtime_ts: 0h0m6s698ms
+- status: 25 - sta - openroad
+  runtime_s: 16.98
+  runtime_ts: 0h0m16s975ms
+- status: 26 - parasitics extraction - openroad
+  runtime_s: 6.25
+  runtime_ts: 0h0m6s251ms
+- status: 27 - sta - openroad
+  runtime_s: 15.92
+  runtime_ts: 0h0m15s916ms
+- status: 28 - parasitics extraction - openroad
   runtime_s: 6.03
   runtime_ts: 0h0m6s25ms
-- status: 21 - write verilog - openroad
-  runtime_s: 5.36
-  runtime_ts: 0h0m5s357ms
-- status: 22 - detailed_routing - openroad
-  runtime_s: 471.11
-  runtime_ts: 0h7m51s105ms
-- status: 23 - write verilog - openroad
-  runtime_s: 6.24
-  runtime_ts: 0h0m6s240ms
-- status: 24 - parasitics extraction - openroad
-  runtime_s: 6.6
-  runtime_ts: 0h0m6s601ms
-- status: 25 - sta - openroad
-  runtime_s: 17.27
-  runtime_ts: 0h0m17s266ms
-- status: 26 - parasitics extraction - openroad
-  runtime_s: 6.32
-  runtime_ts: 0h0m6s315ms
-- status: 27 - sta - openroad
-  runtime_s: 16.16
-  runtime_ts: 0h0m16s161ms
-- status: 28 - parasitics extraction - openroad
-  runtime_s: 6.17
-  runtime_ts: 0h0m6s174ms
 - status: 29 - sta - openroad
-  runtime_s: 11.71
-  runtime_ts: 0h0m11s714ms
+  runtime_s: 11.64
+  runtime_ts: 0h0m11s637ms
 - status: 30 - sta - openroad
-  runtime_s: 15.82
-  runtime_ts: 0h0m15s818ms
+  runtime_s: 15.67
+  runtime_ts: 0h0m15s669ms
 - status: 31 - gdsii - magic
-  runtime_s: 619.81
-  runtime_ts: 0h10m19s812ms
+  runtime_s: 605.5
+  runtime_ts: 0h10m5s503ms
 - status: 32 - gdsii - klayout
-  runtime_s: 14.3
-  runtime_ts: 0h0m14s298ms
+  runtime_s: 14.51
+  runtime_ts: 0h0m14s509ms
 - status: 33 - xor - klayout
-  runtime_s: 1153.81
-  runtime_ts: 0h19m13s810ms
+  runtime_s: 1125.67
+  runtime_ts: 0h18m45s674ms
 - status: 34 - gds.spice extraction - magic
-  runtime_s: 2722.3
-  runtime_ts: 0h45m22s297ms
+  runtime_s: 2465.23
+  runtime_ts: 0h41m5s228ms
 - status: 36 - write verilog - openroad
-  runtime_s: 5.59
-  runtime_ts: 0h0m5s591ms
+  runtime_s: 6.14
+  runtime_ts: 0h0m6s142ms
 - status: 36 - write powered verilog - openlane
-  runtime_s: 5.7
-  runtime_ts: 0h0m5s697ms
+  runtime_s: 6.25
+  runtime_ts: 0h0m6s248ms
 - status: 37 - lvs - netgen
-  runtime_s: 113.21
-  runtime_ts: 0h1m53s210ms
+  runtime_s: 109.19
+  runtime_ts: 0h1m49s194ms
 - status: 38 - antenna check - openroad
   runtime_s: 4.37
-  runtime_ts: 0h0m4s365ms
+  runtime_ts: 0h0m4s368ms
 ---
 - status: routed
-  runtime_s: 900.0
-  runtime_ts: 0h15m0s0ms
+  runtime_s: 766.0
+  runtime_ts: 0h12m46s0ms
 - status: flow completed
-  runtime_s: 5635.0
-  runtime_ts: 1h33m55s0ms
+  runtime_s: 5197.0
+  runtime_ts: 1h26m37s0ms
diff --git a/sdc/user_project_wrapper.sdc b/sdc/user_project_wrapper.sdc
index d3078f5..f085c60 100644
--- a/sdc/user_project_wrapper.sdc
+++ b/sdc/user_project_wrapper.sdc
@@ -1,6 +1,6 @@
 ###############################################################################
 # Created by write_sdc
-# Fri May 27 08:38:18 2022
+# Fri May 27 13:58:54 2022
 ###############################################################################
 current_design user_project_wrapper
 ###############################################################################
diff --git a/sdf/user_project_wrapper.sdf b/sdf/user_project_wrapper.sdf
index 718d6bd..da855b4 100644
--- a/sdf/user_project_wrapper.sdf
+++ b/sdf/user_project_wrapper.sdf
@@ -1,7 +1,7 @@
 (DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "user_project_wrapper")
- (DATE "Fri May 27 08:51:10 2022")
+ (DATE "Fri May 27 14:09:40 2022")
  (VENDOR "Parallax")
  (PROGRAM "STA")
  (VERSION "2.3.1")