add test files
diff --git a/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds b/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds
new file mode 100644
index 0000000..2d0cdbb
--- /dev/null
+++ b/gds/sky130_sram_2kbyte_1rw1r_32x512_8.gds
Binary files differ
diff --git a/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef b/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef
new file mode 100644
index 0000000..42ecbfb
--- /dev/null
+++ b/lef/sky130_sram_2kbyte_1rw1r_32x512_8.lef
@@ -0,0 +1,1116 @@
+VERSION 5.4 ;
+NAMESCASESENSITIVE ON ;
+BUSBITCHARS "[]" ;
+DIVIDERCHAR "/" ;
+UNITS
+  DATABASE MICRONS 1000 ;
+END UNITS
+MACRO sky130_sram_2kbyte_1rw1r_32x512_8
+   CLASS BLOCK ;
+   SIZE 683.1 BY 416.54 ;
+   SYMMETRY X Y R90 ;
+   PIN din0[0]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  115.6 0.0 115.98 1.06 ;
+      END
+   END din0[0]
+   PIN din0[1]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  121.04 0.0 121.42 1.06 ;
+      END
+   END din0[1]
+   PIN din0[2]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  127.16 0.0 127.54 1.06 ;
+      END
+   END din0[2]
+   PIN din0[3]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  132.6 0.0 132.98 1.06 ;
+      END
+   END din0[3]
+   PIN din0[4]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  138.04 0.0 138.42 1.06 ;
+      END
+   END din0[4]
+   PIN din0[5]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  143.48 0.0 143.86 1.06 ;
+      END
+   END din0[5]
+   PIN din0[6]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  150.28 0.0 150.66 1.06 ;
+      END
+   END din0[6]
+   PIN din0[7]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  156.4 0.0 156.78 1.06 ;
+      END
+   END din0[7]
+   PIN din0[8]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  161.84 0.0 162.22 1.06 ;
+      END
+   END din0[8]
+   PIN din0[9]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  167.28 0.0 167.66 1.06 ;
+      END
+   END din0[9]
+   PIN din0[10]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  172.72 0.0 173.1 1.06 ;
+      END
+   END din0[10]
+   PIN din0[11]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  179.52 0.0 179.9 1.06 ;
+      END
+   END din0[11]
+   PIN din0[12]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  184.96 0.0 185.34 1.06 ;
+      END
+   END din0[12]
+   PIN din0[13]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  190.4 0.0 190.78 1.06 ;
+      END
+   END din0[13]
+   PIN din0[14]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  197.2 0.0 197.58 1.06 ;
+      END
+   END din0[14]
+   PIN din0[15]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  203.32 0.0 203.7 1.06 ;
+      END
+   END din0[15]
+   PIN din0[16]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  208.76 0.0 209.14 1.06 ;
+      END
+   END din0[16]
+   PIN din0[17]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  214.2 0.0 214.58 1.06 ;
+      END
+   END din0[17]
+   PIN din0[18]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  219.64 0.0 220.02 1.06 ;
+      END
+   END din0[18]
+   PIN din0[19]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  226.44 0.0 226.82 1.06 ;
+      END
+   END din0[19]
+   PIN din0[20]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  231.88 0.0 232.26 1.06 ;
+      END
+   END din0[20]
+   PIN din0[21]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  238.0 0.0 238.38 1.06 ;
+      END
+   END din0[21]
+   PIN din0[22]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  243.44 0.0 243.82 1.06 ;
+      END
+   END din0[22]
+   PIN din0[23]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  248.88 0.0 249.26 1.06 ;
+      END
+   END din0[23]
+   PIN din0[24]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  255.68 0.0 256.06 1.06 ;
+      END
+   END din0[24]
+   PIN din0[25]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  261.12 0.0 261.5 1.06 ;
+      END
+   END din0[25]
+   PIN din0[26]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  266.56 0.0 266.94 1.06 ;
+      END
+   END din0[26]
+   PIN din0[27]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  272.0 0.0 272.38 1.06 ;
+      END
+   END din0[27]
+   PIN din0[28]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  278.8 0.0 279.18 1.06 ;
+      END
+   END din0[28]
+   PIN din0[29]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  284.92 0.0 285.3 1.06 ;
+      END
+   END din0[29]
+   PIN din0[30]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  290.36 0.0 290.74 1.06 ;
+      END
+   END din0[30]
+   PIN din0[31]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  295.8 0.0 296.18 1.06 ;
+      END
+   END din0[31]
+   PIN addr0[0]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  80.24 0.0 80.62 1.06 ;
+      END
+   END addr0[0]
+   PIN addr0[1]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  85.68 0.0 86.06 1.06 ;
+      END
+   END addr0[1]
+   PIN addr0[2]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 140.76 1.06 141.14 ;
+      END
+   END addr0[2]
+   PIN addr0[3]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 149.6 1.06 149.98 ;
+      END
+   END addr0[3]
+   PIN addr0[4]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 155.04 1.06 155.42 ;
+      END
+   END addr0[4]
+   PIN addr0[5]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 163.88 1.06 164.26 ;
+      END
+   END addr0[5]
+   PIN addr0[6]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 168.64 1.06 169.02 ;
+      END
+   END addr0[6]
+   PIN addr0[7]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 179.52 1.06 179.9 ;
+      END
+   END addr0[7]
+   PIN addr0[8]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 184.28 1.06 184.66 ;
+      END
+   END addr0[8]
+   PIN addr1[0]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  598.4 415.48 598.78 416.54 ;
+      END
+   END addr1[0]
+   PIN addr1[1]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  592.28 415.48 592.66 416.54 ;
+      END
+   END addr1[1]
+   PIN addr1[2]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  682.04 96.56 683.1 96.94 ;
+      END
+   END addr1[2]
+   PIN addr1[3]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  682.04 88.4 683.1 88.78 ;
+      END
+   END addr1[3]
+   PIN addr1[4]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  682.04 81.6 683.1 81.98 ;
+      END
+   END addr1[4]
+   PIN addr1[5]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  682.04 74.12 683.1 74.5 ;
+      END
+   END addr1[5]
+   PIN addr1[6]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  682.04 68.0 683.1 68.38 ;
+      END
+   END addr1[6]
+   PIN addr1[7]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  616.08 0.0 616.46 1.06 ;
+      END
+   END addr1[7]
+   PIN addr1[8]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  616.76 0.0 617.14 1.06 ;
+      END
+   END addr1[8]
+   PIN csb0
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 40.12 1.06 40.5 ;
+      END
+   END csb0
+   PIN csb1
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  682.04 395.76 683.1 396.14 ;
+      END
+   END csb1
+   PIN web0
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 49.64 1.06 50.02 ;
+      END
+   END web0
+   PIN clk0
+      DIRECTION INPUT ;
+      PORT
+         LAYER met3 ;
+         RECT  0.0 41.48 1.06 41.86 ;
+      END
+   END clk0
+   PIN clk1
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  654.16 415.48 654.54 416.54 ;
+      END
+   END clk1
+   PIN wmask0[0]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  91.12 0.0 91.5 1.06 ;
+      END
+   END wmask0[0]
+   PIN wmask0[1]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  97.92 0.0 98.3 1.06 ;
+      END
+   END wmask0[1]
+   PIN wmask0[2]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  102.68 0.0 103.06 1.06 ;
+      END
+   END wmask0[2]
+   PIN wmask0[3]
+      DIRECTION INPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  108.8 0.0 109.18 1.06 ;
+      END
+   END wmask0[3]
+   PIN dout0[0]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  141.44 0.0 141.82 1.06 ;
+      END
+   END dout0[0]
+   PIN dout0[1]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  153.68 0.0 154.06 1.06 ;
+      END
+   END dout0[1]
+   PIN dout0[2]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  167.96 0.0 168.34 1.06 ;
+      END
+   END dout0[2]
+   PIN dout0[3]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  180.2 0.0 180.58 1.06 ;
+      END
+   END dout0[3]
+   PIN dout0[4]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  192.44 0.0 192.82 1.06 ;
+      END
+   END dout0[4]
+   PIN dout0[5]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  205.36 0.0 205.74 1.06 ;
+      END
+   END dout0[5]
+   PIN dout0[6]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  217.6 0.0 217.98 1.06 ;
+      END
+   END dout0[6]
+   PIN dout0[7]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  229.84 0.0 230.22 1.06 ;
+      END
+   END dout0[7]
+   PIN dout0[8]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  241.4 0.0 241.78 1.06 ;
+      END
+   END dout0[8]
+   PIN dout0[9]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  255.0 0.0 255.38 1.06 ;
+      END
+   END dout0[9]
+   PIN dout0[10]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  267.92 0.0 268.3 1.06 ;
+      END
+   END dout0[10]
+   PIN dout0[11]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  280.16 0.0 280.54 1.06 ;
+      END
+   END dout0[11]
+   PIN dout0[12]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  292.4 0.0 292.78 1.06 ;
+      END
+   END dout0[12]
+   PIN dout0[13]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  304.64 0.0 305.02 1.06 ;
+      END
+   END dout0[13]
+   PIN dout0[14]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  317.56 0.0 317.94 1.06 ;
+      END
+   END dout0[14]
+   PIN dout0[15]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  329.8 0.0 330.18 1.06 ;
+      END
+   END dout0[15]
+   PIN dout0[16]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  341.36 0.0 341.74 1.06 ;
+      END
+   END dout0[16]
+   PIN dout0[17]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  354.96 0.0 355.34 1.06 ;
+      END
+   END dout0[17]
+   PIN dout0[18]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  367.2 0.0 367.58 1.06 ;
+      END
+   END dout0[18]
+   PIN dout0[19]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  379.44 0.0 379.82 1.06 ;
+      END
+   END dout0[19]
+   PIN dout0[20]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  392.36 0.0 392.74 1.06 ;
+      END
+   END dout0[20]
+   PIN dout0[21]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  404.6 0.0 404.98 1.06 ;
+      END
+   END dout0[21]
+   PIN dout0[22]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  417.52 0.0 417.9 1.06 ;
+      END
+   END dout0[22]
+   PIN dout0[23]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  429.76 0.0 430.14 1.06 ;
+      END
+   END dout0[23]
+   PIN dout0[24]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  442.68 0.0 443.06 1.06 ;
+      END
+   END dout0[24]
+   PIN dout0[25]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  454.92 0.0 455.3 1.06 ;
+      END
+   END dout0[25]
+   PIN dout0[26]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  467.16 0.0 467.54 1.06 ;
+      END
+   END dout0[26]
+   PIN dout0[27]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  479.4 0.0 479.78 1.06 ;
+      END
+   END dout0[27]
+   PIN dout0[28]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  492.32 0.0 492.7 1.06 ;
+      END
+   END dout0[28]
+   PIN dout0[29]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  504.56 0.0 504.94 1.06 ;
+      END
+   END dout0[29]
+   PIN dout0[30]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  516.8 0.0 517.18 1.06 ;
+      END
+   END dout0[30]
+   PIN dout0[31]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  529.72 0.0 530.1 1.06 ;
+      END
+   END dout0[31]
+   PIN dout1[0]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  143.48 415.48 143.86 416.54 ;
+      END
+   END dout1[0]
+   PIN dout1[1]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  155.04 415.48 155.42 416.54 ;
+      END
+   END dout1[1]
+   PIN dout1[2]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  167.28 415.48 167.66 416.54 ;
+      END
+   END dout1[2]
+   PIN dout1[3]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  180.88 415.48 181.26 416.54 ;
+      END
+   END dout1[3]
+   PIN dout1[4]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  192.44 415.48 192.82 416.54 ;
+      END
+   END dout1[4]
+   PIN dout1[5]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  205.36 415.48 205.74 416.54 ;
+      END
+   END dout1[5]
+   PIN dout1[6]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  217.6 415.48 217.98 416.54 ;
+      END
+   END dout1[6]
+   PIN dout1[7]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  230.52 415.48 230.9 416.54 ;
+      END
+   END dout1[7]
+   PIN dout1[8]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  242.76 415.48 243.14 416.54 ;
+      END
+   END dout1[8]
+   PIN dout1[9]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  255.68 415.48 256.06 416.54 ;
+      END
+   END dout1[9]
+   PIN dout1[10]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  267.92 415.48 268.3 416.54 ;
+      END
+   END dout1[10]
+   PIN dout1[11]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  280.84 415.48 281.22 416.54 ;
+      END
+   END dout1[11]
+   PIN dout1[12]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  292.4 415.48 292.78 416.54 ;
+      END
+   END dout1[12]
+   PIN dout1[13]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  304.64 415.48 305.02 416.54 ;
+      END
+   END dout1[13]
+   PIN dout1[14]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  318.24 415.48 318.62 416.54 ;
+      END
+   END dout1[14]
+   PIN dout1[15]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  330.48 415.48 330.86 416.54 ;
+      END
+   END dout1[15]
+   PIN dout1[16]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  342.72 415.48 343.1 416.54 ;
+      END
+   END dout1[16]
+   PIN dout1[17]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  354.96 415.48 355.34 416.54 ;
+      END
+   END dout1[17]
+   PIN dout1[18]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  367.88 415.48 368.26 416.54 ;
+      END
+   END dout1[18]
+   PIN dout1[19]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  379.44 415.48 379.82 416.54 ;
+      END
+   END dout1[19]
+   PIN dout1[20]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  393.04 415.48 393.42 416.54 ;
+      END
+   END dout1[20]
+   PIN dout1[21]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  405.28 415.48 405.66 416.54 ;
+      END
+   END dout1[21]
+   PIN dout1[22]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  417.52 415.48 417.9 416.54 ;
+      END
+   END dout1[22]
+   PIN dout1[23]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  429.76 415.48 430.14 416.54 ;
+      END
+   END dout1[23]
+   PIN dout1[24]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  442.0 415.48 442.38 416.54 ;
+      END
+   END dout1[24]
+   PIN dout1[25]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  454.92 415.48 455.3 416.54 ;
+      END
+   END dout1[25]
+   PIN dout1[26]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  467.84 415.48 468.22 416.54 ;
+      END
+   END dout1[26]
+   PIN dout1[27]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  480.08 415.48 480.46 416.54 ;
+      END
+   END dout1[27]
+   PIN dout1[28]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  492.32 415.48 492.7 416.54 ;
+      END
+   END dout1[28]
+   PIN dout1[29]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  505.24 415.48 505.62 416.54 ;
+      END
+   END dout1[29]
+   PIN dout1[30]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  516.8 415.48 517.18 416.54 ;
+      END
+   END dout1[30]
+   PIN dout1[31]
+      DIRECTION OUTPUT ;
+      PORT
+         LAYER met4 ;
+         RECT  530.4 415.48 530.78 416.54 ;
+      END
+   END dout1[31]
+   PIN vccd1
+      DIRECTION INOUT ;
+      USE POWER ; 
+      SHAPE ABUTMENT ; 
+      PORT
+         LAYER met4 ;
+         RECT  4.76 4.76 6.5 411.78 ;
+         LAYER met4 ;
+         RECT  676.6 4.76 678.34 411.78 ;
+         LAYER met3 ;
+         RECT  4.76 410.04 678.34 411.78 ;
+         LAYER met3 ;
+         RECT  4.76 4.76 678.34 6.5 ;
+      END
+   END vccd1
+   PIN vssd1
+      DIRECTION INOUT ;
+      USE GROUND ; 
+      SHAPE ABUTMENT ; 
+      PORT
+         LAYER met4 ;
+         RECT  680.0 1.36 681.74 415.18 ;
+         LAYER met4 ;
+         RECT  1.36 1.36 3.1 415.18 ;
+         LAYER met3 ;
+         RECT  1.36 1.36 681.74 3.1 ;
+         LAYER met3 ;
+         RECT  1.36 413.44 681.74 415.18 ;
+      END
+   END vssd1
+   OBS
+   LAYER  met1 ;
+      RECT  0.62 0.62 682.48 415.92 ;
+   LAYER  met2 ;
+      RECT  0.62 0.62 682.48 415.92 ;
+   LAYER  met3 ;
+      RECT  1.66 140.16 682.48 141.74 ;
+      RECT  0.62 141.74 1.66 149.0 ;
+      RECT  0.62 150.58 1.66 154.44 ;
+      RECT  0.62 156.02 1.66 163.28 ;
+      RECT  0.62 164.86 1.66 168.04 ;
+      RECT  0.62 169.62 1.66 178.92 ;
+      RECT  0.62 180.5 1.66 183.68 ;
+      RECT  1.66 95.96 681.44 97.54 ;
+      RECT  1.66 97.54 681.44 140.16 ;
+      RECT  681.44 97.54 682.48 140.16 ;
+      RECT  681.44 89.38 682.48 95.96 ;
+      RECT  681.44 82.58 682.48 87.8 ;
+      RECT  681.44 75.1 682.48 81.0 ;
+      RECT  681.44 68.98 682.48 73.52 ;
+      RECT  1.66 141.74 681.44 395.16 ;
+      RECT  1.66 395.16 681.44 396.74 ;
+      RECT  681.44 141.74 682.48 395.16 ;
+      RECT  0.62 50.62 1.66 140.16 ;
+      RECT  0.62 42.46 1.66 49.04 ;
+      RECT  1.66 396.74 4.16 409.44 ;
+      RECT  1.66 409.44 4.16 412.38 ;
+      RECT  4.16 396.74 678.94 409.44 ;
+      RECT  678.94 396.74 681.44 409.44 ;
+      RECT  678.94 409.44 681.44 412.38 ;
+      RECT  1.66 4.16 4.16 7.1 ;
+      RECT  1.66 7.1 4.16 95.96 ;
+      RECT  4.16 7.1 678.94 95.96 ;
+      RECT  678.94 4.16 681.44 7.1 ;
+      RECT  678.94 7.1 681.44 95.96 ;
+      RECT  681.44 0.62 682.34 0.76 ;
+      RECT  681.44 3.7 682.34 67.4 ;
+      RECT  682.34 0.62 682.48 0.76 ;
+      RECT  682.34 0.76 682.48 3.7 ;
+      RECT  682.34 3.7 682.48 67.4 ;
+      RECT  0.62 0.62 0.76 0.76 ;
+      RECT  0.62 0.76 0.76 3.7 ;
+      RECT  0.62 3.7 0.76 39.52 ;
+      RECT  0.76 0.62 1.66 0.76 ;
+      RECT  0.76 3.7 1.66 39.52 ;
+      RECT  1.66 0.62 4.16 0.76 ;
+      RECT  1.66 3.7 4.16 4.16 ;
+      RECT  4.16 0.62 678.94 0.76 ;
+      RECT  4.16 3.7 678.94 4.16 ;
+      RECT  678.94 0.62 681.44 0.76 ;
+      RECT  678.94 3.7 681.44 4.16 ;
+      RECT  0.62 185.26 0.76 412.84 ;
+      RECT  0.62 412.84 0.76 415.78 ;
+      RECT  0.62 415.78 0.76 415.92 ;
+      RECT  0.76 185.26 1.66 412.84 ;
+      RECT  0.76 415.78 1.66 415.92 ;
+      RECT  681.44 396.74 682.34 412.84 ;
+      RECT  681.44 415.78 682.34 415.92 ;
+      RECT  682.34 396.74 682.48 412.84 ;
+      RECT  682.34 412.84 682.48 415.78 ;
+      RECT  682.34 415.78 682.48 415.92 ;
+      RECT  1.66 412.38 4.16 412.84 ;
+      RECT  1.66 415.78 4.16 415.92 ;
+      RECT  4.16 412.38 678.94 412.84 ;
+      RECT  4.16 415.78 678.94 415.92 ;
+      RECT  678.94 412.38 681.44 412.84 ;
+      RECT  678.94 415.78 681.44 415.92 ;
+   LAYER  met4 ;
+      RECT  115.0 1.66 116.58 415.92 ;
+      RECT  116.58 0.62 120.44 1.66 ;
+      RECT  122.02 0.62 126.56 1.66 ;
+      RECT  128.14 0.62 132.0 1.66 ;
+      RECT  133.58 0.62 137.44 1.66 ;
+      RECT  144.46 0.62 149.68 1.66 ;
+      RECT  157.38 0.62 161.24 1.66 ;
+      RECT  162.82 0.62 166.68 1.66 ;
+      RECT  173.7 0.62 178.92 1.66 ;
+      RECT  185.94 0.62 189.8 1.66 ;
+      RECT  198.18 0.62 202.72 1.66 ;
+      RECT  209.74 0.62 213.6 1.66 ;
+      RECT  220.62 0.62 225.84 1.66 ;
+      RECT  232.86 0.62 237.4 1.66 ;
+      RECT  244.42 0.62 248.28 1.66 ;
+      RECT  256.66 0.62 260.52 1.66 ;
+      RECT  262.1 0.62 265.96 1.66 ;
+      RECT  272.98 0.62 278.2 1.66 ;
+      RECT  285.9 0.62 289.76 1.66 ;
+      RECT  81.22 0.62 85.08 1.66 ;
+      RECT  116.58 1.66 597.8 414.88 ;
+      RECT  597.8 1.66 599.38 414.88 ;
+      RECT  593.26 414.88 597.8 415.92 ;
+      RECT  599.38 414.88 653.56 415.92 ;
+      RECT  86.66 0.62 90.52 1.66 ;
+      RECT  92.1 0.62 97.32 1.66 ;
+      RECT  98.9 0.62 102.08 1.66 ;
+      RECT  103.66 0.62 108.2 1.66 ;
+      RECT  109.78 0.62 115.0 1.66 ;
+      RECT  139.02 0.62 140.84 1.66 ;
+      RECT  142.42 0.62 142.88 1.66 ;
+      RECT  151.26 0.62 153.08 1.66 ;
+      RECT  154.66 0.62 155.8 1.66 ;
+      RECT  168.94 0.62 172.12 1.66 ;
+      RECT  181.18 0.62 184.36 1.66 ;
+      RECT  191.38 0.62 191.84 1.66 ;
+      RECT  193.42 0.62 196.6 1.66 ;
+      RECT  204.3 0.62 204.76 1.66 ;
+      RECT  206.34 0.62 208.16 1.66 ;
+      RECT  215.18 0.62 217.0 1.66 ;
+      RECT  218.58 0.62 219.04 1.66 ;
+      RECT  227.42 0.62 229.24 1.66 ;
+      RECT  230.82 0.62 231.28 1.66 ;
+      RECT  238.98 0.62 240.8 1.66 ;
+      RECT  242.38 0.62 242.84 1.66 ;
+      RECT  249.86 0.62 254.4 1.66 ;
+      RECT  268.9 0.62 271.4 1.66 ;
+      RECT  281.14 0.62 284.32 1.66 ;
+      RECT  291.34 0.62 291.8 1.66 ;
+      RECT  293.38 0.62 295.2 1.66 ;
+      RECT  296.78 0.62 304.04 1.66 ;
+      RECT  305.62 0.62 316.96 1.66 ;
+      RECT  318.54 0.62 329.2 1.66 ;
+      RECT  330.78 0.62 340.76 1.66 ;
+      RECT  342.34 0.62 354.36 1.66 ;
+      RECT  355.94 0.62 366.6 1.66 ;
+      RECT  368.18 0.62 378.84 1.66 ;
+      RECT  380.42 0.62 391.76 1.66 ;
+      RECT  393.34 0.62 404.0 1.66 ;
+      RECT  405.58 0.62 416.92 1.66 ;
+      RECT  418.5 0.62 429.16 1.66 ;
+      RECT  430.74 0.62 442.08 1.66 ;
+      RECT  443.66 0.62 454.32 1.66 ;
+      RECT  455.9 0.62 466.56 1.66 ;
+      RECT  468.14 0.62 478.8 1.66 ;
+      RECT  480.38 0.62 491.72 1.66 ;
+      RECT  493.3 0.62 503.96 1.66 ;
+      RECT  505.54 0.62 516.2 1.66 ;
+      RECT  517.78 0.62 529.12 1.66 ;
+      RECT  530.7 0.62 615.48 1.66 ;
+      RECT  116.58 414.88 142.88 415.92 ;
+      RECT  144.46 414.88 154.44 415.92 ;
+      RECT  156.02 414.88 166.68 415.92 ;
+      RECT  168.26 414.88 180.28 415.92 ;
+      RECT  181.86 414.88 191.84 415.92 ;
+      RECT  193.42 414.88 204.76 415.92 ;
+      RECT  206.34 414.88 217.0 415.92 ;
+      RECT  218.58 414.88 229.92 415.92 ;
+      RECT  231.5 414.88 242.16 415.92 ;
+      RECT  243.74 414.88 255.08 415.92 ;
+      RECT  256.66 414.88 267.32 415.92 ;
+      RECT  268.9 414.88 280.24 415.92 ;
+      RECT  281.82 414.88 291.8 415.92 ;
+      RECT  293.38 414.88 304.04 415.92 ;
+      RECT  305.62 414.88 317.64 415.92 ;
+      RECT  319.22 414.88 329.88 415.92 ;
+      RECT  331.46 414.88 342.12 415.92 ;
+      RECT  343.7 414.88 354.36 415.92 ;
+      RECT  355.94 414.88 367.28 415.92 ;
+      RECT  368.86 414.88 378.84 415.92 ;
+      RECT  380.42 414.88 392.44 415.92 ;
+      RECT  394.02 414.88 404.68 415.92 ;
+      RECT  406.26 414.88 416.92 415.92 ;
+      RECT  418.5 414.88 429.16 415.92 ;
+      RECT  430.74 414.88 441.4 415.92 ;
+      RECT  442.98 414.88 454.32 415.92 ;
+      RECT  455.9 414.88 467.24 415.92 ;
+      RECT  468.82 414.88 479.48 415.92 ;
+      RECT  481.06 414.88 491.72 415.92 ;
+      RECT  493.3 414.88 504.64 415.92 ;
+      RECT  506.22 414.88 516.2 415.92 ;
+      RECT  517.78 414.88 529.8 415.92 ;
+      RECT  531.38 414.88 591.68 415.92 ;
+      RECT  4.16 1.66 7.1 4.16 ;
+      RECT  4.16 412.38 7.1 415.92 ;
+      RECT  7.1 1.66 115.0 4.16 ;
+      RECT  7.1 4.16 115.0 412.38 ;
+      RECT  7.1 412.38 115.0 415.92 ;
+      RECT  599.38 1.66 676.0 4.16 ;
+      RECT  599.38 4.16 676.0 412.38 ;
+      RECT  599.38 412.38 676.0 414.88 ;
+      RECT  676.0 1.66 678.94 4.16 ;
+      RECT  676.0 412.38 678.94 414.88 ;
+      RECT  617.74 0.62 679.4 0.76 ;
+      RECT  617.74 0.76 679.4 1.66 ;
+      RECT  679.4 0.62 682.34 0.76 ;
+      RECT  682.34 0.62 682.48 0.76 ;
+      RECT  682.34 0.76 682.48 1.66 ;
+      RECT  655.14 414.88 679.4 415.78 ;
+      RECT  655.14 415.78 679.4 415.92 ;
+      RECT  679.4 415.78 682.34 415.92 ;
+      RECT  682.34 414.88 682.48 415.78 ;
+      RECT  682.34 415.78 682.48 415.92 ;
+      RECT  678.94 1.66 679.4 4.16 ;
+      RECT  682.34 1.66 682.48 4.16 ;
+      RECT  678.94 4.16 679.4 412.38 ;
+      RECT  682.34 4.16 682.48 412.38 ;
+      RECT  678.94 412.38 679.4 414.88 ;
+      RECT  682.34 412.38 682.48 414.88 ;
+      RECT  0.62 0.62 0.76 0.76 ;
+      RECT  0.62 0.76 0.76 1.66 ;
+      RECT  0.76 0.62 3.7 0.76 ;
+      RECT  3.7 0.62 79.64 0.76 ;
+      RECT  3.7 0.76 79.64 1.66 ;
+      RECT  0.62 1.66 0.76 4.16 ;
+      RECT  3.7 1.66 4.16 4.16 ;
+      RECT  0.62 4.16 0.76 412.38 ;
+      RECT  3.7 4.16 4.16 412.38 ;
+      RECT  0.62 412.38 0.76 415.78 ;
+      RECT  0.62 415.78 0.76 415.92 ;
+      RECT  0.76 415.78 3.7 415.92 ;
+      RECT  3.7 412.38 4.16 415.78 ;
+      RECT  3.7 415.78 4.16 415.92 ;
+   END
+END    sky130_sram_2kbyte_1rw1r_32x512_8
+END    LIBRARY
diff --git a/verilog/dv/io_ports/io_ports.c b/verilog/dv/io_ports/io_ports.c
deleted file mode 100644
index d204e4a..0000000
--- a/verilog/dv/io_ports/io_ports.c
+++ /dev/null
@@ -1,75 +0,0 @@
-/*
- * SPDX-FileCopyrightText: 2020 Efabless Corporation
- *
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- *      http://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- * SPDX-License-Identifier: Apache-2.0
- */
-
-// This include is relative to $CARAVEL_PATH (see Makefile)
-#include <defs.h>
-#include <stub.c>
-
-/*
-	IO Test:
-		- Configures MPRJ lower 8-IO pins as outputs
-		- Observes counter value through the MPRJ lower 8 IO pins (in the testbench)
-*/
-
-void main()
-{
-	/* 
-	IO Control Registers
-	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
-	| 3-bits | 1-bit | 1-bit | 1-bit  | 1-bit  | 1-bit | 1-bit   | 1-bit   | 1-bit | 1-bit | 1-bit   |
-
-	Output: 0000_0110_0000_1110  (0x1808) = GPIO_MODE_USER_STD_OUTPUT
-	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
-	| 110    | 0     | 0     | 0      | 0      | 0     | 0       | 1       | 0     | 0     | 0       |
-	
-	 
-	Input: 0000_0001_0000_1111 (0x0402) = GPIO_MODE_USER_STD_INPUT_NOPULL
-	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
-	| 001    | 0     | 0     | 0      | 0      | 0     | 0       | 0       | 0     | 1     | 0       |
-
-	*/
-
-	/* Set up the housekeeping SPI to be connected internally so	*/
-	/* that external pin changes don't affect it.			*/
-
-	// reg_spi_enable = 1;
-	// reg_spimaster_cs = 0x10001;
-	// reg_spimaster_control = 0x0801;
-
-	// reg_spimaster_control = 0xa002;	// Enable, prescaler = 2,
-                                        // connect to housekeeping SPI
-
-	// Connect the housekeeping SPI to the SPI master
-	// so that the CSB line is not left floating.  This allows
-	// all of the GPIO pins to be used for user functions.
-
-	// Configure lower 8-IOs as user output
-	// Observe counter value in the testbench
-	reg_mprj_io_0 =  GPIO_MODE_USER_STD_OUTPUT;
-	reg_mprj_io_1 =  GPIO_MODE_USER_STD_OUTPUT;
-	reg_mprj_io_2 =  GPIO_MODE_USER_STD_OUTPUT;
-	reg_mprj_io_3 =  GPIO_MODE_USER_STD_OUTPUT;
-	reg_mprj_io_4 =  GPIO_MODE_USER_STD_OUTPUT;
-	reg_mprj_io_5 =  GPIO_MODE_USER_STD_OUTPUT;
-	reg_mprj_io_6 =  GPIO_MODE_USER_STD_OUTPUT;
-	reg_mprj_io_7 =  GPIO_MODE_USER_STD_OUTPUT;
-
-	/* Apply configuration */
-	reg_mprj_xfer = 1;
-	while (reg_mprj_xfer == 1);
-}
-
diff --git a/verilog/dv/io_ports/io_ports_tb.v b/verilog/dv/io_ports/io_ports_tb.v
deleted file mode 100644
index cf66d3f..0000000
--- a/verilog/dv/io_ports/io_ports_tb.v
+++ /dev/null
@@ -1,171 +0,0 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
-// Licensed under the Apache License, Version 2.0 (the "License");
-// you may not use this file except in compliance with the License.
-// You may obtain a copy of the License at
-//
-//      http://www.apache.org/licenses/LICENSE-2.0
-//
-// Unless required by applicable law or agreed to in writing, software
-// distributed under the License is distributed on an "AS IS" BASIS,
-// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-// See the License for the specific language governing permissions and
-// limitations under the License.
-// SPDX-License-Identifier: Apache-2.0
-
-`default_nettype none
-
-`timescale 1 ns / 1 ps
-
-module io_ports_tb;
-	reg clock;
-	reg RSTB;
-	reg CSB;
-	reg power1, power2;
-	reg power3, power4;
-
-	wire gpio;
-	wire [37:0] mprj_io;
-	wire [7:0] mprj_io_0;
-
-	assign mprj_io_0 = mprj_io[7:0];
-	// assign mprj_io_0 = {mprj_io[8:4],mprj_io[2:0]};
-
-	assign mprj_io[3] = (CSB == 1'b1) ? 1'b1 : 1'bz;
-	// assign mprj_io[3] = 1'b1;
-
-	// External clock is used by default.  Make this artificially fast for the
-	// simulation.  Normally this would be a slow clock and the digital PLL
-	// would be the fast clock.
-
-	always #12.5 clock <= (clock === 1'b0);
-
-	initial begin
-		clock = 0;
-	end
-
-	initial begin
-		$dumpfile("io_ports.vcd");
-		$dumpvars(0, io_ports_tb);
-
-		// Repeat cycles of 1000 clock edges as needed to complete testbench
-		repeat (25) begin
-			repeat (1000) @(posedge clock);
-			// $display("+1000 cycles");
-		end
-		$display("%c[1;31m",27);
-		`ifdef GL
-			$display ("Monitor: Timeout, Test Mega-Project IO Ports (GL) Failed");
-		`else
-			$display ("Monitor: Timeout, Test Mega-Project IO Ports (RTL) Failed");
-		`endif
-		$display("%c[0m",27);
-		$finish;
-	end
-
-	initial begin
-	    // Observe Output pins [7:0]
-		wait(mprj_io_0 == 8'h01);
-		wait(mprj_io_0 == 8'h02);
-		wait(mprj_io_0 == 8'h03);
-		wait(mprj_io_0 == 8'h04);
-		wait(mprj_io_0 == 8'h05);
-		wait(mprj_io_0 == 8'h06);
-		wait(mprj_io_0 == 8'h07);
-		wait(mprj_io_0 == 8'h08);
-		wait(mprj_io_0 == 8'h09);
-		wait(mprj_io_0 == 8'h0A);   
-		wait(mprj_io_0 == 8'hFF);
-		wait(mprj_io_0 == 8'h00);
-		
-		`ifdef GL
-	    	$display("Monitor: Test 1 Mega-Project IO (GL) Passed");
-		`else
-		    $display("Monitor: Test 1 Mega-Project IO (RTL) Passed");
-		`endif
-	    $finish;
-	end
-
-	initial begin
-		RSTB <= 1'b0;
-		CSB  <= 1'b1;		// Force CSB high
-		#2000;
-		RSTB <= 1'b1;	    	// Release reset
-		#300000;
-		CSB = 1'b0;		// CSB can be released
-	end
-
-	initial begin		// Power-up sequence
-		power1 <= 1'b0;
-		power2 <= 1'b0;
-		power3 <= 1'b0;
-		power4 <= 1'b0;
-		#100;
-		power1 <= 1'b1;
-		#100;
-		power2 <= 1'b1;
-		#100;
-		power3 <= 1'b1;
-		#100;
-		power4 <= 1'b1;
-	end
-
-	always @(mprj_io) begin
-		#1 $display("MPRJ-IO state = %b ", mprj_io[7:0]);
-	end
-
-	wire flash_csb;
-	wire flash_clk;
-	wire flash_io0;
-	wire flash_io1;
-
-	wire VDD3V3;
-	wire VDD1V8;
-	wire VSS;
-	
-	assign VDD3V3 = power1;
-	assign VDD1V8 = power2;
-	assign VSS = 1'b0;
-
-	caravel uut (
-		.vddio	  (VDD3V3),
-		.vddio_2  (VDD3V3),
-		.vssio	  (VSS),
-		.vssio_2  (VSS),
-		.vdda	  (VDD3V3),
-		.vssa	  (VSS),
-		.vccd	  (VDD1V8),
-		.vssd	  (VSS),
-		.vdda1    (VDD3V3),
-		.vdda1_2  (VDD3V3),
-		.vdda2    (VDD3V3),
-		.vssa1	  (VSS),
-		.vssa1_2  (VSS),
-		.vssa2	  (VSS),
-		.vccd1	  (VDD1V8),
-		.vccd2	  (VDD1V8),
-		.vssd1	  (VSS),
-		.vssd2	  (VSS),
-		.clock    (clock),
-		.gpio     (gpio),
-		.mprj_io  (mprj_io),
-		.flash_csb(flash_csb),
-		.flash_clk(flash_clk),
-		.flash_io0(flash_io0),
-		.flash_io1(flash_io1),
-		.resetb	  (RSTB)
-	);
-
-	spiflash #(
-		.FILENAME("io_ports.hex")
-	) spiflash (
-		.csb(flash_csb),
-		.clk(flash_clk),
-		.io0(flash_io0),
-		.io1(flash_io1),
-		.io2(),			// not used
-		.io3()			// not used
-	);
-
-endmodule
-`default_nettype wire
diff --git a/verilog/dv/la_test1/Makefile b/verilog/dv/la_test1/Makefile
deleted file mode 100644
index 3fd0b56..0000000
--- a/verilog/dv/la_test1/Makefile
+++ /dev/null
@@ -1,32 +0,0 @@
-# SPDX-FileCopyrightText: 2020 Efabless Corporation
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#      http://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-
- 
-PWDD := $(shell pwd)
-BLOCKS := $(shell basename $(PWDD))
-
-# ---- Include Partitioned Makefiles ----
-
-CONFIG = caravel_user_project
-
-
-include $(MCW_ROOT)/verilog/dv/make/env.makefile
-include $(MCW_ROOT)/verilog/dv/make/var.makefile
-include $(MCW_ROOT)/verilog/dv/make/cpu.makefile
-include $(MCW_ROOT)/verilog/dv/make/sim.makefile
-
-
diff --git a/verilog/dv/la_test1/la_test1.c b/verilog/dv/la_test1/la_test1.c
deleted file mode 100644
index cad69d1..0000000
--- a/verilog/dv/la_test1/la_test1.c
+++ /dev/null
@@ -1,130 +0,0 @@
-/*
- * SPDX-FileCopyrightText: 2020 Efabless Corporation
- *
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- *      http://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- * SPDX-License-Identifier: Apache-2.0
- */
-
-// This include is relative to $CARAVEL_PATH (see Makefile)
-#include <defs.h>
-#include <stub.c>
-
-// --------------------------------------------------------
-
-/*
-	MPRJ Logic Analyzer Test:
-		- Observes counter value through LA probes [31:0] 
-		- Sets counter initial value through LA probes [63:32]
-		- Flags when counter value exceeds 500 through the management SoC gpio
-		- Outputs message to the UART when the test concludes successfuly
-*/
-
-void main()
-{
-	int j;
-
-	/* Set up the housekeeping SPI to be connected internally so	*/
-	/* that external pin changes don't affect it.			*/
-
-	// reg_spi_enable = 1;
-	// reg_spimaster_cs = 0x00000;
-
-	// reg_spimaster_control = 0x0801;
-
-	// reg_spimaster_control = 0xa002;	// Enable, prescaler = 2,
-                                        // connect to housekeeping SPI
-
-	// Connect the housekeeping SPI to the SPI master
-	// so that the CSB line is not left floating.  This allows
-	// all of the GPIO pins to be used for user functions.
-
-	// The upper GPIO pins are configured to be output
-	// and accessble to the management SoC.
-	// Used to flad the start/end of a test 
-	// The lower GPIO pins are configured to be output
-	// and accessible to the user project.  They show
-	// the project count value, although this test is
-	// designed to read the project count through the
-	// logic analyzer probes.
-	// I/O 6 is configured for the UART Tx line
-
-        reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
-
-        reg_mprj_io_15 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_14 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_13 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_12 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_11 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_10 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_9  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_8  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_7  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_5  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_4  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_3  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_2  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_1  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_0  = GPIO_MODE_USER_STD_OUTPUT;
-
-        reg_mprj_io_6  = GPIO_MODE_MGMT_STD_OUTPUT;
-
-	// Set UART clock to 64 kbaud (enable before I/O configuration)
-	// reg_uart_clkdiv = 625;
-	reg_uart_enable = 1;
-
-    // Now, apply the configuration
-    reg_mprj_xfer = 1;
-    while (reg_mprj_xfer == 1);
-
-    // Configure LA probes [31:0], [127:64] as inputs to the cpu 
-	// Configure LA probes [63:32] as outputs from the cpu
-	reg_la0_oenb = reg_la0_iena = 0x00000000;    // [31:0]
-	reg_la1_oenb = reg_la1_iena = 0xFFFFFFFF;    // [63:32]
-	reg_la2_oenb = reg_la2_iena = 0x00000000;    // [95:64]
-	reg_la3_oenb = reg_la3_iena = 0x00000000;    // [127:96]
-
-	// Flag start of the test 
-	reg_mprj_datal = 0xAB400000;
-
-	// Set Counter value to zero through LA probes [63:32]
-	reg_la1_data = 0x00000000;
-
-	// Configure LA probes from [63:32] as inputs to disable counter write
-	reg_la1_oenb = reg_la1_iena = 0x00000000;    
-
-	while (1) {
-		if (reg_la0_data_in > 0x1F4) {
-			reg_mprj_datal = 0xAB410000;
-			break;
-		}
-	}
-	print("\n");
-	print("Monitor: Test 1 Passed\n\n");	// Makes simulation very long!
-	reg_mprj_datal = 0xAB510000;
-}
-
diff --git a/verilog/dv/la_test1/la_test1_tb.v b/verilog/dv/la_test1/la_test1_tb.v
deleted file mode 100644
index e0fff24..0000000
--- a/verilog/dv/la_test1/la_test1_tb.v
+++ /dev/null
@@ -1,152 +0,0 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
-// Licensed under the Apache License, Version 2.0 (the "License");
-// you may not use this file except in compliance with the License.
-// You may obtain a copy of the License at
-//
-//      http://www.apache.org/licenses/LICENSE-2.0
-//
-// Unless required by applicable law or agreed to in writing, software
-// distributed under the License is distributed on an "AS IS" BASIS,
-// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-// See the License for the specific language governing permissions and
-// limitations under the License.
-// SPDX-License-Identifier: Apache-2.0
-
-`default_nettype none
-
-`timescale 1 ns / 1 ps
-
-module la_test1_tb;
-	reg clock;
-    reg RSTB;
-	reg CSB;
-
-	reg power1, power2;
-
-	wire gpio;
-	wire uart_tx;
-	wire [37:0] mprj_io;
-	wire [15:0] checkbits;
-
-	assign checkbits  = mprj_io[31:16];
-	assign uart_tx = mprj_io[6];
-
-	always #12.5 clock <= (clock === 1'b0);
-
-	initial begin
-		clock = 0;
-	end
-
-	// assign mprj_io[3] = 1'b1;
-
-	initial begin
-		$dumpfile("la_test1.vcd");
-		$dumpvars(0, la_test1_tb);
-
-		// Repeat cycles of 1000 clock edges as needed to complete testbench
-		repeat (250) begin
-			repeat (1000) @(posedge clock);
-			// $display("+1000 cycles");
-		end
-		$display("%c[1;31m",27);
-		`ifdef GL
-			$display ("Monitor: Timeout, Test LA (GL) Failed");
-		`else
-			$display ("Monitor: Timeout, Test LA (RTL) Failed");
-		`endif
-		$display("%c[0m",27);
-		$finish;
-	end
-
-	initial begin
-		wait(checkbits == 16'hAB40);
-		$display("LA Test 1 started");
-		wait(checkbits == 16'hAB41);
-		wait(checkbits == 16'hAB51);
-		$display("LA Test 2 passed");
-		#10000;
-		$finish;
-	end
-
-	initial begin
-		RSTB <= 1'b0;
-		CSB  <= 1'b1;		// Force CSB high
-		#2000;
-		RSTB <= 1'b1;	    	// Release reset
-		#170000;
-		CSB = 1'b0;		// CSB can be released
-	end
-
-	initial begin		// Power-up sequence
-		power1 <= 1'b0;
-		power2 <= 1'b0;
-		#200;
-		power1 <= 1'b1;
-		#200;
-		power2 <= 1'b1;
-	end
-
-	wire flash_csb;
-	wire flash_clk;
-	wire flash_io0;
-	wire flash_io1;
-
-	wire VDD1V8;
-	wire VDD3V3;
-	wire VSS;
-    
-	assign VDD3V3 = power1;
-	assign VDD1V8 = power2;
-	assign VSS = 1'b0;
-
-	assign mprj_io[3] = 1;  // Force CSB high.
-	assign mprj_io[0] = 0;  // Disable debug mode
-
-	caravel uut (
-		.vddio	  (VDD3V3),
-		.vddio_2  (VDD3V3),
-		.vssio	  (VSS),
-		.vssio_2  (VSS),
-		.vdda	  (VDD3V3),
-		.vssa	  (VSS),
-		.vccd	  (VDD1V8),
-		.vssd	  (VSS),
-		.vdda1    (VDD3V3),
-		.vdda1_2  (VDD3V3),
-		.vdda2    (VDD3V3),
-		.vssa1	  (VSS),
-		.vssa1_2  (VSS),
-		.vssa2	  (VSS),
-		.vccd1	  (VDD1V8),
-		.vccd2	  (VDD1V8),
-		.vssd1	  (VSS),
-		.vssd2	  (VSS),
-		.clock    (clock),
-		.gpio     (gpio),
-		.mprj_io  (mprj_io),
-		.flash_csb(flash_csb),
-		.flash_clk(flash_clk),
-		.flash_io0(flash_io0),
-		.flash_io1(flash_io1),
-		.resetb	  (RSTB)
-	);
-
-	spiflash #(
-		.FILENAME("la_test1.hex")
-	) spiflash (
-		.csb(flash_csb),
-		.clk(flash_clk),
-		.io0(flash_io0),
-		.io1(flash_io1),
-		.io2(),			// not used
-		.io3()			// not used
-	);
-
-	// Testbench UART
-	tbuart tbuart (
-		.ser_rx(uart_tx)
-	);
-
-endmodule
-`default_nettype wire
diff --git a/verilog/dv/la_test2/Makefile b/verilog/dv/la_test2/Makefile
deleted file mode 100644
index 3fd0b56..0000000
--- a/verilog/dv/la_test2/Makefile
+++ /dev/null
@@ -1,32 +0,0 @@
-# SPDX-FileCopyrightText: 2020 Efabless Corporation
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#      http://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-
- 
-PWDD := $(shell pwd)
-BLOCKS := $(shell basename $(PWDD))
-
-# ---- Include Partitioned Makefiles ----
-
-CONFIG = caravel_user_project
-
-
-include $(MCW_ROOT)/verilog/dv/make/env.makefile
-include $(MCW_ROOT)/verilog/dv/make/var.makefile
-include $(MCW_ROOT)/verilog/dv/make/cpu.makefile
-include $(MCW_ROOT)/verilog/dv/make/sim.makefile
-
-
diff --git a/verilog/dv/la_test2/la_test2.c b/verilog/dv/la_test2/la_test2.c
deleted file mode 100644
index 25fad48..0000000
--- a/verilog/dv/la_test2/la_test2.c
+++ /dev/null
@@ -1,120 +0,0 @@
-/*
- * SPDX-FileCopyrightText: 2020 Efabless Corporation
- *
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- *      http://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- * SPDX-License-Identifier: Apache-2.0
- */
-
-// This include is relative to $CARAVEL_PATH (see Makefile)
-#include <defs.h>
-#include <stub.c>
-
-/*
-	MPRJ LA Test:
-		- Sets counter clk through LA[64]
-		- Sets counter rst through LA[65] 
-		- Observes count value for five clk cycle through LA[31:0]
-*/
-
-int clk = 0;
-int i;
-
-void main()
-{
-        /* Set up the housekeeping SPI to be connected internally so	*/
-	/* that external pin changes don't affect it.			*/
-
-	// reg_spimaster_config = 0xa002;	// Enable, prescaler = 2,
-        reg_spi_enable = 1;
-                                        // connect to housekeeping SPI
-
-	// Connect the housekeeping SPI to the SPI master
-	// so that the CSB line is not left floating.  This allows
-	// all of the GPIO pins to be used for user functions.
-
-
-	// All GPIO pins are configured to be output
-	// Used to flad the start/end of a test 
-
-        reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
-        reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
-
-        reg_mprj_io_15 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_14 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_13 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_12 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_11 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_10 = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_9  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_8  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_7  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_5  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_4  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_3  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_2  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_1  = GPIO_MODE_USER_STD_OUTPUT;
-        reg_mprj_io_0  = GPIO_MODE_USER_STD_OUTPUT;
-
-        /* Apply configuration */
-        reg_mprj_xfer = 1;
-        while (reg_mprj_xfer == 1);
-
-	// Configure All LA probes as inputs to the cpu 
-	reg_la0_oenb = reg_la0_iena = 0x00000000;    // [31:0]
-	reg_la1_oenb = reg_la1_iena = 0x00000000;    // [63:32]
-	reg_la2_oenb = reg_la2_iena = 0x00000000;    // [95:64]
-	reg_la3_oenb = reg_la3_iena = 0x00000000;    // [127:96]
-
-	// Flag start of the test
-	reg_mprj_datal = 0xAB600000;
-
-	// Configure LA[64] LA[65] as outputs from the cpu
-	reg_la2_oenb = reg_la2_iena = 0x00000003; 
-
-	// Set clk & reset to one
-	reg_la2_data = 0x00000003;
-
-        // DELAY
-        for (i=0; i<5; i=i+1) {}
-
-	// Toggle clk & de-assert reset
-	for (i=0; i<11; i=i+1) {
-		clk = !clk;
-		reg_la2_data = 0x00000000 | clk;
-	}
-
-        // reg_mprj_datal = 0xAB610000;
-
-        while (1){
-                if (reg_la0_data_in >= 0x05) {
-                        reg_mprj_datal = 0xAB610000;
-                        break;
-                }
-                
-        }
-
-}
diff --git a/verilog/dv/la_test2/la_test2_tb.v b/verilog/dv/la_test2/la_test2_tb.v
deleted file mode 100644
index 6ef965d..0000000
--- a/verilog/dv/la_test2/la_test2_tb.v
+++ /dev/null
@@ -1,139 +0,0 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
-// Licensed under the Apache License, Version 2.0 (the "License");
-// you may not use this file except in compliance with the License.
-// You may obtain a copy of the License at
-//
-//      http://www.apache.org/licenses/LICENSE-2.0
-//
-// Unless required by applicable law or agreed to in writing, software
-// distributed under the License is distributed on an "AS IS" BASIS,
-// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-// See the License for the specific language governing permissions and
-// limitations under the License.
-// SPDX-License-Identifier: Apache-2.0
-
-`default_nettype none
-
-`timescale 1 ns / 1 ps
-
-module la_test2_tb;
-	reg clock;
-	reg RSTB;
-	reg CSB;
-
-	reg power1, power2;
-
-	wire gpio;
-	wire [37:0] mprj_io;
-	wire [15:0] checkbits;
-
-	assign checkbits = mprj_io[31:16];
-
-	always #12.5 clock <= (clock === 1'b0);
-
-	initial begin
-		clock = 0;
-	end
-
-	initial begin
-		$dumpfile("la_test2.vcd");
-		$dumpvars(0, la_test2_tb);
-
-		// Repeat cycles of 1000 clock edges as needed to complete testbench
-		repeat (75) begin
-			repeat (1000) @(posedge clock);
-			// $display("+1000 cycles");
-		end
-		$display("%c[1;31m",27);
-		`ifdef GL
-			$display ("Monitor: Timeout, Test Mega-Project IO (GL) Failed");
-		`else
-			$display ("Monitor: Timeout, Test Mega-Project IO (RTL) Failed");
-		`endif
-		$display("%c[0m",27);
-		$finish;
-	end
-
-	initial begin
-		wait(checkbits == 16'hAB60);
-		$display("Monitor: Test 2 MPRJ-Logic Analyzer Started");
-		wait(checkbits == 16'hAB61);
-		$display("Monitor: Test 2 MPRJ-Logic Analyzer Passed");
-		$finish;
-	end
-
-	initial begin
-		RSTB <= 1'b0;
-		#1000;
-		RSTB <= 1'b1;	    // Release reset
-		#2000;
-	end
-
-	initial begin		// Power-up sequence
-		power1 <= 1'b0;
-		power2 <= 1'b0;
-		#200;
-		power1 <= 1'b1;
-		#200;
-		power2 <= 1'b1;
-	end
-
-    	wire flash_csb;
-	wire flash_clk;
-	wire flash_io0;
-	wire flash_io1;
-
-	wire VDD1V8;
-    	wire VDD3V3;
-	wire VSS;
-    
-	assign VDD3V3 = power1;
-	assign VDD1V8 = power2;
-	assign VSS = 1'b0;
-
-	assign mprj_io[3] = 1;  // Force CSB high.
-	assign mprj_io[0] = 0;  // Disable debug mode
-
-	caravel uut (
-		.vddio	  (VDD3V3),
-		.vddio_2  (VDD3V3),
-		.vssio	  (VSS),
-		.vssio_2  (VSS),
-		.vdda	  (VDD3V3),
-		.vssa	  (VSS),
-		.vccd	  (VDD1V8),
-		.vssd	  (VSS),
-		.vdda1    (VDD3V3),
-		.vdda1_2  (VDD3V3),
-		.vdda2    (VDD3V3),
-		.vssa1	  (VSS),
-		.vssa1_2  (VSS),
-		.vssa2	  (VSS),
-		.vccd1	  (VDD1V8),
-		.vccd2	  (VDD1V8),
-		.vssd1	  (VSS),
-		.vssd2	  (VSS),
-		.clock    (clock),
-		.gpio     (gpio),
-		.mprj_io  (mprj_io),
-		.flash_csb(flash_csb),
-		.flash_clk(flash_clk),
-		.flash_io0(flash_io0),
-		.flash_io1(flash_io1),
-		.resetb	  (RSTB)
-	);
-
-	spiflash #(
-		.FILENAME("la_test2.hex")
-	) spiflash (
-		.csb(flash_csb),
-		.clk(flash_clk),
-		.io0(flash_io0),
-		.io1(flash_io1),
-		.io2(),
-		.io3()
-	);
-
-endmodule
-`default_nettype wire
diff --git a/verilog/dv/mprj_stimulus/Makefile b/verilog/dv/mprj_stimulus/Makefile
deleted file mode 100644
index 3fd0b56..0000000
--- a/verilog/dv/mprj_stimulus/Makefile
+++ /dev/null
@@ -1,32 +0,0 @@
-# SPDX-FileCopyrightText: 2020 Efabless Corporation
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#      http://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-
- 
-PWDD := $(shell pwd)
-BLOCKS := $(shell basename $(PWDD))
-
-# ---- Include Partitioned Makefiles ----
-
-CONFIG = caravel_user_project
-
-
-include $(MCW_ROOT)/verilog/dv/make/env.makefile
-include $(MCW_ROOT)/verilog/dv/make/var.makefile
-include $(MCW_ROOT)/verilog/dv/make/cpu.makefile
-include $(MCW_ROOT)/verilog/dv/make/sim.makefile
-
-
diff --git a/verilog/dv/mprj_stimulus/mprj_stimulus.c b/verilog/dv/mprj_stimulus/mprj_stimulus.c
deleted file mode 100644
index d049848..0000000
--- a/verilog/dv/mprj_stimulus/mprj_stimulus.c
+++ /dev/null
@@ -1,134 +0,0 @@
-/*
- * SPDX-FileCopyrightText: 2020 Efabless Corporation
- *
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- *      http://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- * SPDX-License-Identifier: Apache-2.0
- */
-
-// This include is relative to $CARAVEL_PATH (see Makefile)
-#include <defs.h>
-
-// --------------------------------------------------------
-
-void main()
-{
-    // The upper GPIO pins are configured to be output
-    // and accessble to the management SoC.
-    // Used to flag the start/end of a test
-    // The lower GPIO pins are configured to be output
-    // and accessible to the user project.  They show
-    // the project count value, although this test is
-    // designed to read the project count through the
-    // logic analyzer probes.
-    // I/O 6 is configured for the UART Tx line
-
-    uint32_t testval;
-
-    reg_mprj_datal = 0x00000000;
-    reg_mprj_datah = 0x00000000;
-
-    reg_mprj_io_37 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_36 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_35 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_34 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_33 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_32 = GPIO_MODE_MGMT_STD_OUTPUT;
-
-    reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT; 
-    reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
-
-    reg_mprj_io_15 = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_14 = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_13 = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_12 = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_11 = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_10 = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_9  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_8  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_7  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_6  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_5  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_4  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_3  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_2  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_1  = GPIO_MODE_USER_STD_OUT_MONITORED;
-    reg_mprj_io_0  = GPIO_MODE_USER_STD_OUT_MONITORED;
-
-    /* Apply configuration */
-    reg_mprj_xfer = 1;
-    while (reg_mprj_xfer == 1);
-
-    /* TEST:  Recast channels 35 to 32 to allow input to user project	*/
-    /* This is done locally only:  Do not run reg_mprj_xfer!		*/
-    reg_mprj_io_35 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_34 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_33 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_32 = GPIO_MODE_MGMT_STD_OUTPUT;
-
-    // Configure LA probes [31:0], [127:64] as inputs to the cpu
-    // Configure LA probes [63:32] as outputs from the cpu
-    reg_la0_oenb = reg_la0_iena = 0x00000000;    // [31:0]
-	reg_la1_oenb = reg_la1_iena = 0xFFFFFFFF;    // [63:32]
-	reg_la2_oenb = reg_la2_iena = 0x00000000;    // [95:64]
-	reg_la3_oenb = reg_la3_iena = 0x00000000;    // [127:96]
-
-    // Flag start of the test
-    reg_mprj_datal = 0xAB400000;
-
-    // Set Counter value to zero through LA probes [63:32]
-    reg_la1_data = 0x00000000;
-
-    // Configure LA probes from [63:32] as inputs to disable counter write
-    reg_la1_oenb = reg_la1_iena = 0x00000000; 
-
-    reg_mprj_datal = 0xAB410000;
-    reg_mprj_datah = 0x00000000;
-
-    // Test ability to force data on channel 37
-    // NOTE:  Only the low 6 bits of reg_mprj_datah are meaningful
-
-    reg_mprj_datah = 0x0f0f0fc0;
-    reg_mprj_datah = 0x00000000;
-    reg_mprj_datah = 0x0f0f0fca;
-    reg_mprj_datah = 0x0000000a;
-    reg_mprj_datah = 0x0f0f0fc0;
-    reg_mprj_datah = 0x00000000;
-    reg_mprj_datah = 0x0f0f0fc5;
-    reg_mprj_datah = 0x00000005;
-
-    // Test ability to read back data generated by the user project
-    // on the "monitored" outputs.  Read from the lower 16 bits and
-    // copy the value to the upper 16 bits.
-
-    testval = reg_mprj_datal;
-    reg_mprj_datal = (testval << 16);
-    testval = reg_mprj_datal;
-    reg_mprj_datal = (testval << 16);
-
-    // Flag end of the test
-    reg_mprj_datal = 0xAB510000;
-}
diff --git a/verilog/dv/mprj_stimulus/mprj_stimulus_tb.v b/verilog/dv/mprj_stimulus/mprj_stimulus_tb.v
deleted file mode 100644
index 68addd0..0000000
--- a/verilog/dv/mprj_stimulus/mprj_stimulus_tb.v
+++ /dev/null
@@ -1,148 +0,0 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
-// Licensed under the Apache License, Version 2.0 (the "License");
-// you may not use this file except in compliance with the License.
-// You may obtain a copy of the License at
-//
-//      http://www.apache.org/licenses/LICENSE-2.0
-//
-// Unless required by applicable law or agreed to in writing, software
-// distributed under the License is distributed on an "AS IS" BASIS,
-// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-// See the License for the specific language governing permissions and
-// limitations under the License.
-// SPDX-License-Identifier: Apache-2.0
-
-`default_nettype wire
-
-`timescale 1 ns / 1 ps
-
-module mprj_stimulus_tb;
-    // Signals declaration
-    reg clock;
-    reg RSTB;
-    reg power1, power2;
-    reg CSB;
-    wire gpio;
-    wire [37:0] mprj_io;
-    wire [15:0] checkbits;
-    wire [3:0] status;
-
-    // Signals Assignment
-    assign checkbits  = mprj_io[31:16];
-    assign status = mprj_io[35:32];
-
-    assign mprj_io[3] = (CSB == 1'b1) ? 1'b1 : 1'bz;
-
-    always #12.5 clock <= (clock === 1'b0);
-
-    initial begin
-        clock = 0;
-    end
-
-    initial begin
-        $dumpfile("mprj_stimulus.vcd");
-        $dumpvars(0, mprj_stimulus_tb);
-
-        // Repeat cycles of 1000 clock edges as needed to complete testbench
-        repeat (100) begin
-            repeat (1000) @(posedge clock);
-        end
-        $display("%c[1;31m",27);
-        `ifdef GL
-			$display ("Monitor: Timeout, Test Project IO Stimulus (GL) Failed");
-		`else
-			$display ("Monitor: Timeout, Test Project IO Stimulus (RTL) Failed");
-		`endif
-        $display("%c[0m",27);
-        $finish;
-    end
-
-    initial begin
-        wait(checkbits == 16'hAB40);
-        $display("Monitor: mprj_stimulus test started");
-        wait(status == 4'ha);
-        wait(status == 4'h5);
-
-	// Values reflect copying user-controlled outputs to memory and back
-	// to management-controlled outputs.
-        wait(checkbits == 16'h1968 || checkbits == 16'h1969); // They're off because the difference between GL and RTL
-        wait(checkbits == 16'h1DCD || checkbits == 16'h1DCE); // They're off because the difference between GL and RTL
-
-        wait(checkbits == 16'hAB51);
-        $display("Monitor: mprj_stimulus test Passed");
-        #10000;
-        $finish;
-    end
-
-    // Reset Operation
-    initial begin
-        CSB <= 1'b1;		
-        RSTB <= 1'b0;
-        #2000;
-        RSTB <= 1'b1;       	// Release reset
-        #1000000;
-        CSB <= 1'b0;		// Stop driving CSB
-    end
-
-    initial begin		// Power-up sequence
-        power1 <= 1'b0;
-        power2 <= 1'b0;
-        #200;
-        power1 <= 1'b1;
-        #200;
-        power2 <= 1'b1;
-    end
-
-    wire flash_csb;
-    wire flash_clk;
-    wire flash_io0;
-    wire flash_io1;
-
-    wire VDD3V3 = power1;
-    wire VDD1V8 = power2;
-    wire VSS = 1'b0;
-
-    caravel uut (
-        .vddio	  (VDD3V3),
-		.vddio_2  (VDD3V3),
-		.vssio	  (VSS),
-		.vssio_2  (VSS),
-		.vdda	  (VDD3V3),
-		.vssa	  (VSS),
-		.vccd	  (VDD1V8),
-		.vssd	  (VSS),
-		.vdda1    (VDD3V3),
-		.vdda1_2  (VDD3V3),
-		.vdda2    (VDD3V3),
-		.vssa1	  (VSS),
-		.vssa1_2  (VSS),
-		.vssa2	  (VSS),
-		.vccd1	  (VDD1V8),
-		.vccd2	  (VDD1V8),
-		.vssd1	  (VSS),
-		.vssd2	  (VSS),
-		.clock    (clock),
-		.gpio     (gpio),
-		.mprj_io  (mprj_io),
-		.flash_csb(flash_csb),
-		.flash_clk(flash_clk),
-		.flash_io0(flash_io0),
-		.flash_io1(flash_io1),
-		.resetb	  (RSTB)
-    );
-
-
-    spiflash #(
-        .FILENAME("mprj_stimulus.hex")
-    ) spiflash (
-        .csb(flash_csb),
-        .clk(flash_clk),
-        .io0(flash_io0),
-        .io1(flash_io1),
-        .io2(),         // not used
-        .io3()          // not used
-    );
-
-endmodule
-`default_nettype wire
\ No newline at end of file
diff --git a/verilog/dv/io_ports/Makefile b/verilog/dv/test_c0/Makefile
similarity index 100%
rename from verilog/dv/io_ports/Makefile
rename to verilog/dv/test_c0/Makefile
diff --git a/verilog/dv/test_c0/check_res.py b/verilog/dv/test_c0/check_res.py
new file mode 100644
index 0000000..13e562c
--- /dev/null
+++ b/verilog/dv/test_c0/check_res.py
@@ -0,0 +1,54 @@
+import argparse
+import os
+
+def check_result(sim_model_out, ps_dump_out):
+    sim_outfile = open(sim_model_out)
+    internal_outfile = open(ps_dump_out)
+
+    sim_out = [int(ps, 16) for ps in sim_outfile.readlines()][:-1]
+    internal_out = [int(ps.partition("_")[2], 16) for ps in internal_outfile.readlines() if int(ps.partition("_")[2], 16) >= 0x10000]
+
+    passed = True
+
+    if(len(sim_out) >= len(internal_out)):
+        passed = False
+    else:
+        last_inst = sim_out[-1] + 4
+        for i in range(len(internal_out)):
+            if(i < len(sim_out)):
+                if(sim_out[i] != internal_out[i]):
+                    passed = False
+                    break
+            else:
+                if(internal_out[i] != last_inst):
+                    passed = False
+                    break
+    return passed
+
+    
+
+parser = argparse.ArgumentParser(description='Checks if openlane simulation is accurate with simulation model.')
+
+parser.add_argument('sim_type', help='Type of the simulation.')
+
+args = parser.parse_args()
+sim_type = args.sim_type
+
+pwd = os.getcwd() + "/verilog/dv/test_c0"
+
+if(sim_type == "RTL"):
+    if(check_result(pwd + "/sim_ps.txt", pwd + "/ps_dump_internal.txt")):
+        print("Internal dump passed.")
+    else:
+        print("Internal dump failed.")
+
+if(check_result(pwd + "/sim_ps.txt", pwd + "/ps_dump_io.txt")):
+    print("IO dump passed.")
+else:
+    print("IO dump failed.")
+
+if(sim_type == "RTL"):
+    os.system("mv " + pwd + "/ps_dump_internal.txt " + pwd + "/RTL-ps_dump_internal.txt")
+    os.system("mv " + pwd + "/ps_dump_io.txt " + pwd + "/RTL-ps_dump_io.txt")
+elif(sim_type == "GL"):
+    os.system("mv " + pwd + "/ps_dump_io.txt " + pwd + "/GL-ps_dump_io.txt")
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/add/cekirdek_dy.txt b/verilog/dv/test_c0/coe/add/cekirdek_dy.txt
new file mode 100644
index 0000000..b6f7065
--- /dev/null
+++ b/verilog/dv/test_c0/coe/add/cekirdek_dy.txt
@@ -0,0 +1,707 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001100011101110001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001101001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001111001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000001110110111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001001001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001101001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000001110110111_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001101001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001101001100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000111000001110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001100001100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001000001110110111_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001100001100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111000001110110111_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001100001100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001110001100011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001000001100011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001010001100011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000100110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011101110001011001100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000000010110011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100001001101001100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000000100110011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100010001111001100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010110011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100001001010001100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100011100110001111001100011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001011001100011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011100110001110001100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001100001100011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001001001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001101001100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001010001100011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001110001100011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001010001100011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001000001100011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001101001100011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001001001100011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001110001100011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000001110010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001010001100011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011100110011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000001110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100110011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001001001100011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000010010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000001110010011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000000000000110010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001100001100011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010110011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010100000000000110010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000100010011_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000000000110011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011000000000000110010011_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011010000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011010000100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/add/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/add/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..55225af
--- /dev/null
+++ b/verilog/dv/test_c0/coe/add/cekirdek_ps_bin.txt
@@ -0,0 +1,496 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011000111100
+00000000000000010000011001000000
+00000000000000010000011001000100
+00000000000000010000011001001000
+00000000000000010000011001001100
+00000000000000010000011001010000
+00000000000000010000011001110000
+00000000000000010000011001110100
+00000000000000010000011001111000
+00000000000000010000011001111100
+00000000000000010000011001111100
diff --git a/verilog/dv/test_c0/coe/add/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/add/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..75163f1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/add/cekirdek_ps_hex.txt
@@ -0,0 +1,496 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+0001063c
+00010640
+00010644
+00010648
+0001064c
+00010650
+00010670
+00010674
+00010678
+0001067c
+0001067c
diff --git a/verilog/dv/test_c0/coe/add/cekirdek_yo.txt b/verilog/dv/test_c0/coe/add/cekirdek_yo.txt
new file mode 100644
index 0000000..bd90ef6
--- /dev/null
+++ b/verilog/dv/test_c0/coe/add/cekirdek_yo.txt
@@ -0,0 +1,409 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000000000000
+00000000000000010000000110000000_00111_00000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00000000000000000000000000000001
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_00000000000000000000000000000010
+00000000000000010000000110011000_00111_00000000000000000000000000000010
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000000011
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_00000000000000000000000000001010
+00000000000000010000000110110000_00111_00000000000000000000000000001010
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_00000000000000000000000000000000
+00000000000000010000000111000000_00010_11111111111111111000000000000000
+00000000000000010000000111000100_01110_11111111111111111000000000000000
+00000000000000010000000111001000_00111_11111111111111111000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00010_00000000000000000000000000000000
+00000000000000010000000111011100_01110_10000000000000000000000000000000
+00000000000000010000000111100000_00111_10000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_11111111111111111000000000000000
+00000000000000010000000111110100_01110_01111111111111111000000000000000
+00000000000000010000000111111000_00111_01111111111111111000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_00000000000000000000000000000000
+00000000000000010000001000001000_00010_00000000000000001000000000000000
+00000000000000010000001000001100_00010_00000000000000000111111111111111
+00000000000000010000001000010000_01110_00000000000000000111111111111111
+00000000000000010000001000010100_00111_00000000000000001000000000000000
+00000000000000010000001000011000_00111_00000000000000000111111111111111
+00000000000000010000001000011100_00011_00000000000000000000000000001000
+00000000000000010000001000100100_00001_10000000000000000000000000000000
+00000000000000010000001000101000_00001_01111111111111111111111111111111
+00000000000000010000001000101100_00010_00000000000000000000000000000000
+00000000000000010000001000110000_01110_01111111111111111111111111111111
+00000000000000010000001000110100_00111_10000000000000000000000000000000
+00000000000000010000001000111000_00111_01111111111111111111111111111111
+00000000000000010000001000111100_00011_00000000000000000000000000001001
+00000000000000010000001001000100_00001_10000000000000000000000000000000
+00000000000000010000001001001000_00001_01111111111111111111111111111111
+00000000000000010000001001001100_00010_00000000000000001000000000000000
+00000000000000010000001001010000_00010_00000000000000000111111111111111
+00000000000000010000001001010100_01110_10000000000000000111111111111110
+00000000000000010000001001011000_00111_10000000000000001000000000000000
+00000000000000010000001001011100_00111_10000000000000000111111111111110
+00000000000000010000001001100000_00011_00000000000000000000000000001010
+00000000000000010000001001101000_00001_10000000000000000000000000000000
+00000000000000010000001001101100_00010_00000000000000001000000000000000
+00000000000000010000001001110000_00010_00000000000000000111111111111111
+00000000000000010000001001110100_01110_10000000000000000111111111111111
+00000000000000010000001001111000_00111_10000000000000001000000000000000
+00000000000000010000001001111100_00111_10000000000000000111111111111111
+00000000000000010000001010000000_00011_00000000000000000000000000001011
+00000000000000010000001010001000_00001_10000000000000000000000000000000
+00000000000000010000001010001100_00001_01111111111111111111111111111111
+00000000000000010000001010010000_00010_11111111111111111000000000000000
+00000000000000010000001010010100_01110_01111111111111110111111111111111
+00000000000000010000001010011000_00111_01111111111111111000000000000000
+00000000000000010000001010011100_00111_01111111111111110111111111111111
+00000000000000010000001010100000_00011_00000000000000000000000000001100
+00000000000000010000001010101000_00001_00000000000000000000000000000000
+00000000000000010000001010101100_00010_11111111111111111111111111111111
+00000000000000010000001010110000_01110_11111111111111111111111111111111
+00000000000000010000001010110100_00111_11111111111111111111111111111111
+00000000000000010000001010111000_00011_00000000000000000000000000001101
+00000000000000010000001011000000_00001_11111111111111111111111111111111
+00000000000000010000001011000100_00010_00000000000000000000000000000001
+00000000000000010000001011001000_01110_00000000000000000000000000000000
+00000000000000010000001011001100_00111_00000000000000000000000000000000
+00000000000000010000001011010000_00011_00000000000000000000000000001110
+00000000000000010000001011011000_00001_11111111111111111111111111111111
+00000000000000010000001011011100_00010_11111111111111111111111111111111
+00000000000000010000001011100000_01110_11111111111111111111111111111110
+00000000000000010000001011100100_00111_11111111111111111111111111111110
+00000000000000010000001011101000_00011_00000000000000000000000000001111
+00000000000000010000001011110000_00001_00000000000000000000000000000001
+00000000000000010000001011110100_00010_10000000000000000000000000000000
+00000000000000010000001011111000_00010_01111111111111111111111111111111
+00000000000000010000001011111100_01110_10000000000000000000000000000000
+00000000000000010000001100000000_00111_10000000000000000000000000000000
+00000000000000010000001100000100_00011_00000000000000000000000000010000
+00000000000000010000001100001100_00001_00000000000000000000000000001101
+00000000000000010000001100010000_00010_00000000000000000000000000001011
+00000000000000010000001100010100_00001_00000000000000000000000000011000
+00000000000000010000001100011000_00111_00000000000000000000000000011000
+00000000000000010000001100011100_00011_00000000000000000000000000010001
+00000000000000010000001100100100_00001_00000000000000000000000000001110
+00000000000000010000001100101000_00010_00000000000000000000000000001011
+00000000000000010000001100101100_00010_00000000000000000000000000011001
+00000000000000010000001100110000_00111_00000000000000000000000000011001
+00000000000000010000001100110100_00011_00000000000000000000000000010010
+00000000000000010000001100111100_00001_00000000000000000000000000001101
+00000000000000010000001101000000_00001_00000000000000000000000000011010
+00000000000000010000001101000100_00111_00000000000000000000000000011010
+00000000000000010000001101001000_00011_00000000000000000000000000010011
+00000000000000010000001101010000_00100_00000000000000000000000000000000
+00000000000000010000001101010100_00001_00000000000000000000000000001101
+00000000000000010000001101011000_00010_00000000000000000000000000001011
+00000000000000010000001101011100_01110_00000000000000000000000000011000
+00000000000000010000001101100000_00110_00000000000000000000000000011000
+00000000000000010000001101100100_00100_00000000000000000000000000000001
+00000000000000010000001101101000_00101_00000000000000000000000000000010
+00000000000000010000001101010100_00001_00000000000000000000000000001101
+00000000000000010000001101011000_00010_00000000000000000000000000001011
+00000000000000010000001101011100_01110_00000000000000000000000000011000
+00000000000000010000001101100000_00110_00000000000000000000000000011000
+00000000000000010000001101100100_00100_00000000000000000000000000000010
+00000000000000010000001101101000_00101_00000000000000000000000000000010
+00000000000000010000001101110000_00111_00000000000000000000000000011000
+00000000000000010000001101110100_00011_00000000000000000000000000010100
+00000000000000010000001101111100_00100_00000000000000000000000000000000
+00000000000000010000001110000000_00001_00000000000000000000000000001110
+00000000000000010000001110000100_00010_00000000000000000000000000001011
+00000000000000010000001110001000_01110_00000000000000000000000000011001
+00000000000000010000001110001100_00000_00000000000000000000000000000000
+00000000000000010000001110010000_00110_00000000000000000000000000011001
+00000000000000010000001110010100_00100_00000000000000000000000000000001
+00000000000000010000001110011000_00101_00000000000000000000000000000010
+00000000000000010000001110000000_00001_00000000000000000000000000001110
+00000000000000010000001110000100_00010_00000000000000000000000000001011
+00000000000000010000001110001000_01110_00000000000000000000000000011001
+00000000000000010000001110001100_00000_00000000000000000000000000000000
+00000000000000010000001110010000_00110_00000000000000000000000000011001
+00000000000000010000001110010100_00100_00000000000000000000000000000010
+00000000000000010000001110011000_00101_00000000000000000000000000000010
+00000000000000010000001110100000_00111_00000000000000000000000000011001
+00000000000000010000001110100100_00011_00000000000000000000000000010101
+00000000000000010000001110101100_00100_00000000000000000000000000000000
+00000000000000010000001110110000_00001_00000000000000000000000000001111
+00000000000000010000001110110100_00010_00000000000000000000000000001011
+00000000000000010000001110111000_01110_00000000000000000000000000011010
+00000000000000010000001110111100_00000_00000000000000000000000000000000
+00000000000000010000001111000000_00000_00000000000000000000000000000000
+00000000000000010000001111000100_00110_00000000000000000000000000011010
+00000000000000010000001111001000_00100_00000000000000000000000000000001
+00000000000000010000001111001100_00101_00000000000000000000000000000010
+00000000000000010000001110110000_00001_00000000000000000000000000001111
+00000000000000010000001110110100_00010_00000000000000000000000000001011
+00000000000000010000001110111000_01110_00000000000000000000000000011010
+00000000000000010000001110111100_00000_00000000000000000000000000000000
+00000000000000010000001111000000_00000_00000000000000000000000000000000
+00000000000000010000001111000100_00110_00000000000000000000000000011010
+00000000000000010000001111001000_00100_00000000000000000000000000000010
+00000000000000010000001111001100_00101_00000000000000000000000000000010
+00000000000000010000001111010100_00111_00000000000000000000000000011010
+00000000000000010000001111011000_00011_00000000000000000000000000010110
+00000000000000010000001111100000_00100_00000000000000000000000000000000
+00000000000000010000001111100100_00001_00000000000000000000000000001101
+00000000000000010000001111101000_00010_00000000000000000000000000001011
+00000000000000010000001111101100_01110_00000000000000000000000000011000
+00000000000000010000001111110000_00100_00000000000000000000000000000001
+00000000000000010000001111110100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00001_00000000000000000000000000001101
+00000000000000010000001111101000_00010_00000000000000000000000000001011
+00000000000000010000001111101100_01110_00000000000000000000000000011000
+00000000000000010000001111110000_00100_00000000000000000000000000000010
+00000000000000010000001111110100_00101_00000000000000000000000000000010
+00000000000000010000001111111100_00111_00000000000000000000000000011000
+00000000000000010000010000000000_00011_00000000000000000000000000010111
+00000000000000010000010000001000_00100_00000000000000000000000000000000
+00000000000000010000010000001100_00001_00000000000000000000000000001110
+00000000000000010000010000010000_00010_00000000000000000000000000001011
+00000000000000010000010000010100_00000_00000000000000000000000000000000
+00000000000000010000010000011000_01110_00000000000000000000000000011001
+00000000000000010000010000011100_00100_00000000000000000000000000000001
+00000000000000010000010000100000_00101_00000000000000000000000000000010
+00000000000000010000010000001100_00001_00000000000000000000000000001110
+00000000000000010000010000010000_00010_00000000000000000000000000001011
+00000000000000010000010000010100_00000_00000000000000000000000000000000
+00000000000000010000010000011000_01110_00000000000000000000000000011001
+00000000000000010000010000011100_00100_00000000000000000000000000000010
+00000000000000010000010000100000_00101_00000000000000000000000000000010
+00000000000000010000010000101000_00111_00000000000000000000000000011001
+00000000000000010000010000101100_00011_00000000000000000000000000011000
+00000000000000010000010000110100_00100_00000000000000000000000000000000
+00000000000000010000010000111000_00001_00000000000000000000000000001111
+00000000000000010000010000111100_00010_00000000000000000000000000001011
+00000000000000010000010001000000_00000_00000000000000000000000000000000
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_01110_00000000000000000000000000011010
+00000000000000010000010001001100_00100_00000000000000000000000000000001
+00000000000000010000010001010000_00101_00000000000000000000000000000010
+00000000000000010000010000111000_00001_00000000000000000000000000001111
+00000000000000010000010000111100_00010_00000000000000000000000000001011
+00000000000000010000010001000000_00000_00000000000000000000000000000000
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_01110_00000000000000000000000000011010
+00000000000000010000010001001100_00100_00000000000000000000000000000010
+00000000000000010000010001010000_00101_00000000000000000000000000000010
+00000000000000010000010001011000_00111_00000000000000000000000000011010
+00000000000000010000010001011100_00011_00000000000000000000000000011001
+00000000000000010000010001100100_00100_00000000000000000000000000000000
+00000000000000010000010001101000_00001_00000000000000000000000000001101
+00000000000000010000010001101100_00000_00000000000000000000000000000000
+00000000000000010000010001110000_00010_00000000000000000000000000001011
+00000000000000010000010001110100_01110_00000000000000000000000000011000
+00000000000000010000010001111000_00100_00000000000000000000000000000001
+00000000000000010000010001111100_00101_00000000000000000000000000000010
+00000000000000010000010001101000_00001_00000000000000000000000000001101
+00000000000000010000010001101100_00000_00000000000000000000000000000000
+00000000000000010000010001110000_00010_00000000000000000000000000001011
+00000000000000010000010001110100_01110_00000000000000000000000000011000
+00000000000000010000010001111000_00100_00000000000000000000000000000010
+00000000000000010000010001111100_00101_00000000000000000000000000000010
+00000000000000010000010010000100_00111_00000000000000000000000000011000
+00000000000000010000010010001000_00011_00000000000000000000000000011010
+00000000000000010000010010010000_00100_00000000000000000000000000000000
+00000000000000010000010010010100_00001_00000000000000000000000000001110
+00000000000000010000010010011000_00000_00000000000000000000000000000000
+00000000000000010000010010011100_00010_00000000000000000000000000001011
+00000000000000010000010010100000_00000_00000000000000000000000000000000
+00000000000000010000010010100100_01110_00000000000000000000000000011001
+00000000000000010000010010101000_00100_00000000000000000000000000000001
+00000000000000010000010010101100_00101_00000000000000000000000000000010
+00000000000000010000010010010100_00001_00000000000000000000000000001110
+00000000000000010000010010011000_00000_00000000000000000000000000000000
+00000000000000010000010010011100_00010_00000000000000000000000000001011
+00000000000000010000010010100000_00000_00000000000000000000000000000000
+00000000000000010000010010100100_01110_00000000000000000000000000011001
+00000000000000010000010010101000_00100_00000000000000000000000000000010
+00000000000000010000010010101100_00101_00000000000000000000000000000010
+00000000000000010000010010110100_00111_00000000000000000000000000011001
+00000000000000010000010010111000_00011_00000000000000000000000000011011
+00000000000000010000010011000000_00100_00000000000000000000000000000000
+00000000000000010000010011000100_00001_00000000000000000000000000001111
+00000000000000010000010011001000_00000_00000000000000000000000000000000
+00000000000000010000010011001100_00000_00000000000000000000000000000000
+00000000000000010000010011010000_00010_00000000000000000000000000001011
+00000000000000010000010011010100_01110_00000000000000000000000000011010
+00000000000000010000010011011000_00100_00000000000000000000000000000001
+00000000000000010000010011011100_00101_00000000000000000000000000000010
+00000000000000010000010011000100_00001_00000000000000000000000000001111
+00000000000000010000010011001000_00000_00000000000000000000000000000000
+00000000000000010000010011001100_00000_00000000000000000000000000000000
+00000000000000010000010011010000_00010_00000000000000000000000000001011
+00000000000000010000010011010100_01110_00000000000000000000000000011010
+00000000000000010000010011011000_00100_00000000000000000000000000000010
+00000000000000010000010011011100_00101_00000000000000000000000000000010
+00000000000000010000010011100100_00111_00000000000000000000000000011010
+00000000000000010000010011101000_00011_00000000000000000000000000011100
+00000000000000010000010011110000_00100_00000000000000000000000000000000
+00000000000000010000010011110100_00010_00000000000000000000000000001011
+00000000000000010000010011111000_00001_00000000000000000000000000001101
+00000000000000010000010011111100_01110_00000000000000000000000000011000
+00000000000000010000010100000000_00100_00000000000000000000000000000001
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010011110100_00010_00000000000000000000000000001011
+00000000000000010000010011111000_00001_00000000000000000000000000001101
+00000000000000010000010011111100_01110_00000000000000000000000000011000
+00000000000000010000010100000000_00100_00000000000000000000000000000010
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010100001100_00111_00000000000000000000000000011000
+00000000000000010000010100010000_00011_00000000000000000000000000011101
+00000000000000010000010100011000_00100_00000000000000000000000000000000
+00000000000000010000010100011100_00010_00000000000000000000000000001011
+00000000000000010000010100100000_00001_00000000000000000000000000001110
+00000000000000010000010100100100_00000_00000000000000000000000000000000
+00000000000000010000010100101000_01110_00000000000000000000000000011001
+00000000000000010000010100101100_00100_00000000000000000000000000000001
+00000000000000010000010100110000_00101_00000000000000000000000000000010
+00000000000000010000010100011100_00010_00000000000000000000000000001011
+00000000000000010000010100100000_00001_00000000000000000000000000001110
+00000000000000010000010100100100_00000_00000000000000000000000000000000
+00000000000000010000010100101000_01110_00000000000000000000000000011001
+00000000000000010000010100101100_00100_00000000000000000000000000000010
+00000000000000010000010100110000_00101_00000000000000000000000000000010
+00000000000000010000010100111000_00111_00000000000000000000000000011001
+00000000000000010000010100111100_00011_00000000000000000000000000011110
+00000000000000010000010101000100_00100_00000000000000000000000000000000
+00000000000000010000010101001000_00010_00000000000000000000000000001011
+00000000000000010000010101001100_00001_00000000000000000000000000001111
+00000000000000010000010101010000_00000_00000000000000000000000000000000
+00000000000000010000010101010100_00000_00000000000000000000000000000000
+00000000000000010000010101011000_01110_00000000000000000000000000011010
+00000000000000010000010101011100_00100_00000000000000000000000000000001
+00000000000000010000010101100000_00101_00000000000000000000000000000010
+00000000000000010000010101001000_00010_00000000000000000000000000001011
+00000000000000010000010101001100_00001_00000000000000000000000000001111
+00000000000000010000010101010000_00000_00000000000000000000000000000000
+00000000000000010000010101010100_00000_00000000000000000000000000000000
+00000000000000010000010101011000_01110_00000000000000000000000000011010
+00000000000000010000010101011100_00100_00000000000000000000000000000010
+00000000000000010000010101100000_00101_00000000000000000000000000000010
+00000000000000010000010101101000_00111_00000000000000000000000000011010
+00000000000000010000010101101100_00011_00000000000000000000000000011111
+00000000000000010000010101110100_00100_00000000000000000000000000000000
+00000000000000010000010101111000_00010_00000000000000000000000000001011
+00000000000000010000010101111100_00000_00000000000000000000000000000000
+00000000000000010000010110000000_00001_00000000000000000000000000001101
+00000000000000010000010110000100_01110_00000000000000000000000000011000
+00000000000000010000010110001000_00100_00000000000000000000000000000001
+00000000000000010000010110001100_00101_00000000000000000000000000000010
+00000000000000010000010101111000_00010_00000000000000000000000000001011
+00000000000000010000010101111100_00000_00000000000000000000000000000000
+00000000000000010000010110000000_00001_00000000000000000000000000001101
+00000000000000010000010110000100_01110_00000000000000000000000000011000
+00000000000000010000010110001000_00100_00000000000000000000000000000010
+00000000000000010000010110001100_00101_00000000000000000000000000000010
+00000000000000010000010110010100_00111_00000000000000000000000000011000
+00000000000000010000010110011000_00011_00000000000000000000000000100000
+00000000000000010000010110100000_00100_00000000000000000000000000000000
+00000000000000010000010110100100_00010_00000000000000000000000000001011
+00000000000000010000010110101000_00000_00000000000000000000000000000000
+00000000000000010000010110101100_00001_00000000000000000000000000001110
+00000000000000010000010110110000_00000_00000000000000000000000000000000
+00000000000000010000010110110100_01110_00000000000000000000000000011001
+00000000000000010000010110111000_00100_00000000000000000000000000000001
+00000000000000010000010110111100_00101_00000000000000000000000000000010
+00000000000000010000010110100100_00010_00000000000000000000000000001011
+00000000000000010000010110101000_00000_00000000000000000000000000000000
+00000000000000010000010110101100_00001_00000000000000000000000000001110
+00000000000000010000010110110000_00000_00000000000000000000000000000000
+00000000000000010000010110110100_01110_00000000000000000000000000011001
+00000000000000010000010110111000_00100_00000000000000000000000000000010
+00000000000000010000010110111100_00101_00000000000000000000000000000010
+00000000000000010000010111000100_00111_00000000000000000000000000011001
+00000000000000010000010111001000_00011_00000000000000000000000000100001
+00000000000000010000010111010000_00100_00000000000000000000000000000000
+00000000000000010000010111010100_00010_00000000000000000000000000001011
+00000000000000010000010111011000_00000_00000000000000000000000000000000
+00000000000000010000010111011100_00000_00000000000000000000000000000000
+00000000000000010000010111100000_00001_00000000000000000000000000001111
+00000000000000010000010111100100_01110_00000000000000000000000000011010
+00000000000000010000010111101000_00100_00000000000000000000000000000001
+00000000000000010000010111101100_00101_00000000000000000000000000000010
+00000000000000010000010111010100_00010_00000000000000000000000000001011
+00000000000000010000010111011000_00000_00000000000000000000000000000000
+00000000000000010000010111011100_00000_00000000000000000000000000000000
+00000000000000010000010111100000_00001_00000000000000000000000000001111
+00000000000000010000010111100100_01110_00000000000000000000000000011010
+00000000000000010000010111101000_00100_00000000000000000000000000000010
+00000000000000010000010111101100_00101_00000000000000000000000000000010
+00000000000000010000010111110100_00111_00000000000000000000000000011010
+00000000000000010000010111111000_00011_00000000000000000000000000100010
+00000000000000010000011000000000_00001_00000000000000000000000000001111
+00000000000000010000011000000100_00010_00000000000000000000000000001111
+00000000000000010000011000001000_00111_00000000000000000000000000001111
+00000000000000010000011000001100_00011_00000000000000000000000000100011
+00000000000000010000011000010100_00001_00000000000000000000000000100000
+00000000000000010000011000011000_00010_00000000000000000000000000100000
+00000000000000010000011000011100_00111_00000000000000000000000000100000
+00000000000000010000011000100000_00011_00000000000000000000000000100100
+00000000000000010000011000101000_00001_00000000000000000000000000000000
+00000000000000010000011000101100_00111_00000000000000000000000000000000
+00000000000000010000011000110000_00011_00000000000000000000000000100101
+00000000000000010000011000111000_00001_00000000000000000000000000010000
+00000000000000010000011000111100_00010_00000000000000000000000000011110
+00000000000000010000011001000000_00000_00000000000000000000000000101110
+00000000000000010000011001000100_00111_00000000000000000000000000000000
+00000000000000010000011001001000_00011_00000000000000000000000000100110
+00000000000000010000011001110100_00011_00000000000000000000000000000001
+00000000000000010000011001111000_10001_00000000000000000000000001011101
+00000000000000010000011001111100_01010_00000000000000000000000000000000
+00000000000000010000011001111100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/add/dmem.coe b/verilog/dv/test_c0/coe/add/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/add/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/add/imem.coe b/verilog/dv/test_c0/coe/add/imem.coe
new file mode 100644
index 0000000..a644890
--- /dev/null
+++ b/verilog/dv/test_c0/coe/add/imem.coe
@@ -0,0 +1,433 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+00000113,
+00208733,
+00000393,
+00200193,
+4C771663,
+00100093,
+00100113,
+00208733,
+00200393,
+00300193,
+4A771A63,
+00300093,
+00700113,
+00208733,
+00A00393,
+00400193,
+48771E63,
+00000093,
+FFFF8137,
+00208733,
+FFFF83B7,
+00500193,
+48771263,
+800000B7,
+00000113,
+00208733,
+800003B7,
+00600193,
+46771663,
+800000B7,
+FFFF8137,
+00208733,
+7FFF83B7,
+00700193,
+44771A63,
+00000093,
+00008137,
+FFF10113,
+00208733,
+000083B7,
+FFF38393,
+00800193,
+42771A63,
+800000B7,
+FFF08093,
+00000113,
+00208733,
+800003B7,
+FFF38393,
+00900193,
+40771A63,
+800000B7,
+FFF08093,
+00008137,
+FFF10113,
+00208733,
+800083B7,
+FFE38393,
+00A00193,
+3E771863,
+800000B7,
+00008137,
+FFF10113,
+00208733,
+800083B7,
+FFF38393,
+00B00193,
+3C771863,
+800000B7,
+FFF08093,
+FFFF8137,
+00208733,
+7FFF83B7,
+FFF38393,
+00C00193,
+3A771863,
+00000093,
+FFF00113,
+00208733,
+FFF00393,
+00D00193,
+38771C63,
+FFF00093,
+00100113,
+00208733,
+00000393,
+00E00193,
+38771063,
+FFF00093,
+FFF00113,
+00208733,
+FFE00393,
+00F00193,
+36771463,
+00100093,
+80000137,
+FFF10113,
+00208733,
+800003B7,
+01000193,
+34771663,
+00D00093,
+00B00113,
+002080B3,
+01800393,
+01100193,
+32709A63,
+00E00093,
+00B00113,
+00208133,
+01900393,
+01200193,
+30711E63,
+00D00093,
+001080B3,
+01A00393,
+01300193,
+30709463,
+00000213,
+00D00093,
+00B00113,
+00208733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+01800393,
+01400193,
+2C731E63,
+00000213,
+00E00093,
+00B00113,
+00208733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+01900393,
+01500193,
+2A731663,
+00000213,
+00F00093,
+00B00113,
+00208733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+01A00393,
+01600193,
+26731C63,
+00000213,
+00D00093,
+00B00113,
+00208733,
+00120213,
+00200293,
+FE5216E3,
+01800393,
+01700193,
+24771863,
+00000213,
+00E00093,
+00B00113,
+00000013,
+00208733,
+00120213,
+00200293,
+FE5214E3,
+01900393,
+01800193,
+22771263,
+00000213,
+00F00093,
+00B00113,
+00000013,
+00000013,
+00208733,
+00120213,
+00200293,
+FE5212E3,
+01A00393,
+01900193,
+1E771A63,
+00000213,
+00D00093,
+00000013,
+00B00113,
+00208733,
+00120213,
+00200293,
+FE5214E3,
+01800393,
+01A00193,
+1C771463,
+00000213,
+00E00093,
+00000013,
+00B00113,
+00000013,
+00208733,
+00120213,
+00200293,
+FE5212E3,
+01900393,
+01B00193,
+18771C63,
+00000213,
+00F00093,
+00000013,
+00000013,
+00B00113,
+00208733,
+00120213,
+00200293,
+FE5212E3,
+01A00393,
+01C00193,
+16771463,
+00000213,
+00B00113,
+00D00093,
+00208733,
+00120213,
+00200293,
+FE5216E3,
+01800393,
+01D00193,
+14771063,
+00000213,
+00B00113,
+00E00093,
+00000013,
+00208733,
+00120213,
+00200293,
+FE5214E3,
+01900393,
+01E00193,
+10771A63,
+00000213,
+00B00113,
+00F00093,
+00000013,
+00000013,
+00208733,
+00120213,
+00200293,
+FE5212E3,
+01A00393,
+01F00193,
+0E771263,
+00000213,
+00B00113,
+00000013,
+00D00093,
+00208733,
+00120213,
+00200293,
+FE5214E3,
+01800393,
+02000193,
+0A771C63,
+00000213,
+00B00113,
+00000013,
+00E00093,
+00000013,
+00208733,
+00120213,
+00200293,
+FE5212E3,
+01900393,
+02100193,
+08771463,
+00000213,
+00B00113,
+00000013,
+00000013,
+00F00093,
+00208733,
+00120213,
+00200293,
+FE5212E3,
+01A00393,
+02200193,
+04771C63,
+00F00093,
+00100133,
+00F00393,
+02300193,
+04711263,
+02000093,
+00008133,
+02000393,
+02400193,
+02711863,
+000000B3,
+00000393,
+02500193,
+02709063,
+01000093,
+01E00113,
+00208033,
+00000393,
+02600193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/addi/cekirdek_dy.txt b/verilog/dv/test_c0/coe/addi/cekirdek_dy.txt
new file mode 100644
index 0000000..1986a01
--- /dev/null
+++ b/verilog/dv/test_c0/coe/addi/cekirdek_dy.txt
@@ -0,0 +1,430 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000011100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001110001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000011100010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001001001100011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001000011100010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000001110010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001100001100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001000011100010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001111001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000011100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001010001100011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001000011100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000111000001110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001100001100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001000011100010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001111001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000011100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001000001100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001000011100010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111000111000001110010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001001001100011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001000011100010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100111000001110010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001011001100011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001000011100010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111111001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100111000001110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001100001100011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000011100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001111001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000011100010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001010001100011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000011100010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001101001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000011100010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011101110001111001100011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100001000000010010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011100001001010001100011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100001000011100010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100001000011100010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011100110001000001100011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001000011100010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000001110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001000011100010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000001110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011100110001101001100011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100001000011100010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000001110010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100001000011100010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000001110010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011100110001001001100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100001000011100010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100001000011100010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001000001100011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001000011100010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000001110010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001000011100010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000001110010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100001000011100010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000001110010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100001000011100010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000001110010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001011001100011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000001110010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001111001100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000011001000001000000000010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010000011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010000101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010000101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/addi/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/addi/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..4a8a421
--- /dev/null
+++ b/verilog/dv/test_c0/coe/addi/cekirdek_ps_bin.txt
@@ -0,0 +1,273 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000100100
diff --git a/verilog/dv/test_c0/coe/addi/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/addi/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..4511218
--- /dev/null
+++ b/verilog/dv/test_c0/coe/addi/cekirdek_ps_hex.txt
@@ -0,0 +1,273 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+00010418
+0001041c
+00010420
+00010424
+00010424
diff --git a/verilog/dv/test_c0/coe/addi/cekirdek_yo.txt b/verilog/dv/test_c0/coe/addi/cekirdek_yo.txt
new file mode 100644
index 0000000..bec5342
--- /dev/null
+++ b/verilog/dv/test_c0/coe/addi/cekirdek_yo.txt
@@ -0,0 +1,217 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_01110_00000000000000000000000000000000
+00000000000000010000000101111100_00111_00000000000000000000000000000000
+00000000000000010000000110000000_00011_00000000000000000000000000000010
+00000000000000010000000110001000_00001_00000000000000000000000000000001
+00000000000000010000000110001100_01110_00000000000000000000000000000010
+00000000000000010000000110010000_00111_00000000000000000000000000000010
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011100_00001_00000000000000000000000000000011
+00000000000000010000000110100000_01110_00000000000000000000000000001010
+00000000000000010000000110100100_00111_00000000000000000000000000001010
+00000000000000010000000110101000_00011_00000000000000000000000000000100
+00000000000000010000000110110000_00001_00000000000000000000000000000000
+00000000000000010000000110110100_01110_11111111111111111111100000000000
+00000000000000010000000110111000_00111_11111111111111111111100000000000
+00000000000000010000000110111100_00011_00000000000000000000000000000101
+00000000000000010000000111000100_00001_10000000000000000000000000000000
+00000000000000010000000111001000_01110_10000000000000000000000000000000
+00000000000000010000000111001100_00111_10000000000000000000000000000000
+00000000000000010000000111010000_00011_00000000000000000000000000000110
+00000000000000010000000111011000_00001_10000000000000000000000000000000
+00000000000000010000000111011100_01110_01111111111111111111100000000000
+00000000000000010000000111100000_00111_10000000000000000000000000000000
+00000000000000010000000111100100_00111_01111111111111111111100000000000
+00000000000000010000000111101000_00011_00000000000000000000000000000111
+00000000000000010000000111110000_00001_00000000000000000000000000000000
+00000000000000010000000111110100_01110_00000000000000000000011111111111
+00000000000000010000000111111000_00111_00000000000000000000011111111111
+00000000000000010000000111111100_00011_00000000000000000000000000001000
+00000000000000010000001000000100_00001_10000000000000000000000000000000
+00000000000000010000001000001000_00001_01111111111111111111111111111111
+00000000000000010000001000001100_01110_01111111111111111111111111111111
+00000000000000010000001000010000_00111_10000000000000000000000000000000
+00000000000000010000001000010100_00111_01111111111111111111111111111111
+00000000000000010000001000011000_00011_00000000000000000000000000001001
+00000000000000010000001000100000_00001_10000000000000000000000000000000
+00000000000000010000001000100100_00001_01111111111111111111111111111111
+00000000000000010000001000101000_01110_10000000000000000000011111111110
+00000000000000010000001000101100_00111_10000000000000000000000000000000
+00000000000000010000001000110000_00111_10000000000000000000011111111110
+00000000000000010000001000110100_00011_00000000000000000000000000001010
+00000000000000010000001000111100_00001_10000000000000000000000000000000
+00000000000000010000001001000000_01110_10000000000000000000011111111111
+00000000000000010000001001000100_00111_10000000000000000000000000000000
+00000000000000010000001001001000_00111_10000000000000000000011111111111
+00000000000000010000001001001100_00011_00000000000000000000000000001011
+00000000000000010000001001010100_00001_10000000000000000000000000000000
+00000000000000010000001001011000_00001_01111111111111111111111111111111
+00000000000000010000001001011100_01110_01111111111111111111011111111111
+00000000000000010000001001100000_00111_01111111111111111111000000000000
+00000000000000010000001001100100_00111_01111111111111111111011111111111
+00000000000000010000001001101000_00011_00000000000000000000000000001100
+00000000000000010000001001110000_00001_00000000000000000000000000000000
+00000000000000010000001001110100_01110_11111111111111111111111111111111
+00000000000000010000001001111000_00111_11111111111111111111111111111111
+00000000000000010000001001111100_00011_00000000000000000000000000001101
+00000000000000010000001010000100_00001_11111111111111111111111111111111
+00000000000000010000001010001000_01110_00000000000000000000000000000000
+00000000000000010000001010001100_00111_00000000000000000000000000000000
+00000000000000010000001010010000_00011_00000000000000000000000000001110
+00000000000000010000001010011000_00001_11111111111111111111111111111111
+00000000000000010000001010011100_01110_11111111111111111111111111111110
+00000000000000010000001010100000_00111_11111111111111111111111111111110
+00000000000000010000001010100100_00011_00000000000000000000000000001111
+00000000000000010000001010101100_00001_10000000000000000000000000000000
+00000000000000010000001010110000_00001_01111111111111111111111111111111
+00000000000000010000001010110100_01110_10000000000000000000000000000000
+00000000000000010000001010111000_00111_10000000000000000000000000000000
+00000000000000010000001010111100_00011_00000000000000000000000000010000
+00000000000000010000001011000100_00001_00000000000000000000000000001101
+00000000000000010000001011001000_00001_00000000000000000000000000011000
+00000000000000010000001011001100_00111_00000000000000000000000000011000
+00000000000000010000001011010000_00011_00000000000000000000000000010001
+00000000000000010000001011011000_00100_00000000000000000000000000000000
+00000000000000010000001011011100_00001_00000000000000000000000000001101
+00000000000000010000001011100000_01110_00000000000000000000000000011000
+00000000000000010000001011100100_00110_00000000000000000000000000011000
+00000000000000010000001011101000_00100_00000000000000000000000000000001
+00000000000000010000001011101100_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00001_00000000000000000000000000001101
+00000000000000010000001011100000_01110_00000000000000000000000000011000
+00000000000000010000001011100100_00110_00000000000000000000000000011000
+00000000000000010000001011101000_00100_00000000000000000000000000000010
+00000000000000010000001011101100_00101_00000000000000000000000000000010
+00000000000000010000001011110100_00111_00000000000000000000000000011000
+00000000000000010000001011111000_00011_00000000000000000000000000010010
+00000000000000010000001100000000_00100_00000000000000000000000000000000
+00000000000000010000001100000100_00001_00000000000000000000000000001101
+00000000000000010000001100001000_01110_00000000000000000000000000010111
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00110_00000000000000000000000000010111
+00000000000000010000001100010100_00100_00000000000000000000000000000001
+00000000000000010000001100011000_00101_00000000000000000000000000000010
+00000000000000010000001100000100_00001_00000000000000000000000000001101
+00000000000000010000001100001000_01110_00000000000000000000000000010111
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00110_00000000000000000000000000010111
+00000000000000010000001100010100_00100_00000000000000000000000000000010
+00000000000000010000001100011000_00101_00000000000000000000000000000010
+00000000000000010000001100100000_00111_00000000000000000000000000010111
+00000000000000010000001100100100_00011_00000000000000000000000000010011
+00000000000000010000001100101100_00100_00000000000000000000000000000000
+00000000000000010000001100110000_00001_00000000000000000000000000001101
+00000000000000010000001100110100_01110_00000000000000000000000000010110
+00000000000000010000001100111000_00000_00000000000000000000000000000000
+00000000000000010000001100111100_00000_00000000000000000000000000000000
+00000000000000010000001101000000_00110_00000000000000000000000000010110
+00000000000000010000001101000100_00100_00000000000000000000000000000001
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001100110000_00001_00000000000000000000000000001101
+00000000000000010000001100110100_01110_00000000000000000000000000010110
+00000000000000010000001100111000_00000_00000000000000000000000000000000
+00000000000000010000001100111100_00000_00000000000000000000000000000000
+00000000000000010000001101000000_00110_00000000000000000000000000010110
+00000000000000010000001101000100_00100_00000000000000000000000000000010
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00111_00000000000000000000000000010110
+00000000000000010000001101010100_00011_00000000000000000000000000010100
+00000000000000010000001101011100_00100_00000000000000000000000000000000
+00000000000000010000001101100000_00001_00000000000000000000000000001101
+00000000000000010000001101100100_01110_00000000000000000000000000011000
+00000000000000010000001101101000_00100_00000000000000000000000000000001
+00000000000000010000001101101100_00101_00000000000000000000000000000010
+00000000000000010000001101100000_00001_00000000000000000000000000001101
+00000000000000010000001101100100_01110_00000000000000000000000000011000
+00000000000000010000001101101000_00100_00000000000000000000000000000010
+00000000000000010000001101101100_00101_00000000000000000000000000000010
+00000000000000010000001101110100_00111_00000000000000000000000000011000
+00000000000000010000001101111000_00011_00000000000000000000000000010101
+00000000000000010000001110000000_00100_00000000000000000000000000000000
+00000000000000010000001110000100_00001_00000000000000000000000000001101
+00000000000000010000001110001000_00000_00000000000000000000000000000000
+00000000000000010000001110001100_01110_00000000000000000000000000010111
+00000000000000010000001110010000_00100_00000000000000000000000000000001
+00000000000000010000001110010100_00101_00000000000000000000000000000010
+00000000000000010000001110000100_00001_00000000000000000000000000001101
+00000000000000010000001110001000_00000_00000000000000000000000000000000
+00000000000000010000001110001100_01110_00000000000000000000000000010111
+00000000000000010000001110010000_00100_00000000000000000000000000000010
+00000000000000010000001110010100_00101_00000000000000000000000000000010
+00000000000000010000001110011100_00111_00000000000000000000000000010111
+00000000000000010000001110100000_00011_00000000000000000000000000010110
+00000000000000010000001110101000_00100_00000000000000000000000000000000
+00000000000000010000001110101100_00001_00000000000000000000000000001101
+00000000000000010000001110110000_00000_00000000000000000000000000000000
+00000000000000010000001110110100_00000_00000000000000000000000000000000
+00000000000000010000001110111000_01110_00000000000000000000000000010110
+00000000000000010000001110111100_00100_00000000000000000000000000000001
+00000000000000010000001111000000_00101_00000000000000000000000000000010
+00000000000000010000001110101100_00001_00000000000000000000000000001101
+00000000000000010000001110110000_00000_00000000000000000000000000000000
+00000000000000010000001110110100_00000_00000000000000000000000000000000
+00000000000000010000001110111000_01110_00000000000000000000000000010110
+00000000000000010000001110111100_00100_00000000000000000000000000000010
+00000000000000010000001111000000_00101_00000000000000000000000000000010
+00000000000000010000001111001000_00111_00000000000000000000000000010110
+00000000000000010000001111001100_00011_00000000000000000000000000010111
+00000000000000010000001111010100_00001_00000000000000000000000000100000
+00000000000000010000001111011000_00111_00000000000000000000000000100000
+00000000000000010000001111011100_00011_00000000000000000000000000011000
+00000000000000010000001111100100_00001_00000000000000000000000000100001
+00000000000000010000001111101000_00000_00000000000000000000000001010011
+00000000000000010000001111101100_00111_00000000000000000000000000000000
+00000000000000010000001111110000_00011_00000000000000000000000000011001
+00000000000000010000010000011100_00011_00000000000000000000000000000001
+00000000000000010000010000100000_10001_00000000000000000000000001011101
+00000000000000010000010000100100_01010_00000000000000000000000000000000
+00000000000000010000010000100100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/addi/dmem.coe b/verilog/dv/test_c0/coe/addi/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/addi/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/addi/imem.coe b/verilog/dv/test_c0/coe/addi/imem.coe
new file mode 100644
index 0000000..47f0515
--- /dev/null
+++ b/verilog/dv/test_c0/coe/addi/imem.coe
@@ -0,0 +1,273 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+00008713,
+00000393,
+00200193,
+26771C63,
+00100093,
+00108713,
+00200393,
+00300193,
+26771263,
+00300093,
+00708713,
+00A00393,
+00400193,
+24771863,
+00000093,
+80008713,
+80000393,
+00500193,
+22771E63,
+800000B7,
+00008713,
+800003B7,
+00600193,
+22771463,
+800000B7,
+80008713,
+800003B7,
+80038393,
+00700193,
+20771863,
+00000093,
+7FF08713,
+7FF00393,
+00800193,
+1E771E63,
+800000B7,
+FFF08093,
+00008713,
+800003B7,
+FFF38393,
+00900193,
+1E771063,
+800000B7,
+FFF08093,
+7FF08713,
+800003B7,
+7FE38393,
+00A00193,
+1C771263,
+800000B7,
+7FF08713,
+800003B7,
+7FF38393,
+00B00193,
+1A771663,
+800000B7,
+FFF08093,
+80008713,
+7FFFF3B7,
+7FF38393,
+00C00193,
+18771863,
+00000093,
+FFF08713,
+FFF00393,
+00D00193,
+16771E63,
+FFF00093,
+00108713,
+00000393,
+00E00193,
+16771463,
+FFF00093,
+FFF08713,
+FFE00393,
+00F00193,
+14771A63,
+800000B7,
+FFF08093,
+00108713,
+800003B7,
+01000193,
+12771E63,
+00D00093,
+00B08093,
+01800393,
+01100193,
+12709463,
+00000213,
+00D00093,
+00B08713,
+00070313,
+00120213,
+00200293,
+FE5216E3,
+01800393,
+01200193,
+10731063,
+00000213,
+00D00093,
+00A08713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+01700393,
+01300193,
+0C731A63,
+00000213,
+00D00093,
+00908713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+01600393,
+01400193,
+0A731263,
+00000213,
+00D00093,
+00B08713,
+00120213,
+00200293,
+FE5218E3,
+01800393,
+01500193,
+08771063,
+00000213,
+00D00093,
+00000013,
+00A08713,
+00120213,
+00200293,
+FE5216E3,
+01700393,
+01600193,
+04771C63,
+00000213,
+00D00093,
+00000013,
+00000013,
+00908713,
+00120213,
+00200293,
+FE5214E3,
+01600393,
+01700193,
+02771663,
+02000093,
+02000393,
+01800193,
+00709E63,
+02100093,
+03208013,
+00000393,
+01900193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/and/cekirdek_dy.txt b/verilog/dv/test_c0/coe/and/cekirdek_dy.txt
new file mode 100644
index 0000000..c293844
--- /dev/null
+++ b/verilog/dv/test_c0/coe/and/cekirdek_dy.txt
@@ -0,0 +1,727 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001110001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000111000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001101001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001100001100011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001111001110110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001100001100011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111000010110011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001001011001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111000100110011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000111000001110010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011100010001010001100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001111000010110011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011100001001011001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011100110001101001100011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000111000001110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011100110001110001100011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001110011100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001110011100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100110001110001100011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011101110001001001100011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000111000001110010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011101110001011001100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001100001100011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001110001100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000111000001110010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001111001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001000001100011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001011001100011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000111000001110010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001101001100011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001110001100011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000001001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001000001100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000111000001110010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001001001100011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011100110011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000011110000001110110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001010001100011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000111000100110011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001100001100011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001111000100110011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001110001100011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000111000010110011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001010001100011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010001000100010001000010110111_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010001000100001000000010010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001000100010000100110111_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001000010000000100010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111000000110011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001001100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001010000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/and/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/and/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..6826dfd
--- /dev/null
+++ b/verilog/dv/test_c0/coe/and/cekirdek_ps_bin.txt
@@ -0,0 +1,516 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011001001000
+00000000000000010000011001001100
+00000000000000010000011001010000
+00000000000000010000011001010100
+00000000000000010000011001010100
diff --git a/verilog/dv/test_c0/coe/and/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/and/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..0e00e8c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/and/cekirdek_ps_hex.txt
@@ -0,0 +1,516 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+00010648
+0001064c
+00010650
+00010654
+00010654
diff --git a/verilog/dv/test_c0/coe/and/cekirdek_yo.txt b/verilog/dv/test_c0/coe/and/cekirdek_yo.txt
new file mode 100644
index 0000000..511dae0
--- /dev/null
+++ b/verilog/dv/test_c0/coe/and/cekirdek_yo.txt
@@ -0,0 +1,440 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_11111111000000010000000000000000
+00000000000000010000000101111000_00001_11111111000000001111111100000000
+00000000000000010000000101111100_00010_00001111000011110001000000000000
+00000000000000010000000110000000_00010_00001111000011110000111100001111
+00000000000000010000000110000100_01110_00001111000000000000111100000000
+00000000000000010000000110001000_00111_00001111000000000001000000000000
+00000000000000010000000110001100_00111_00001111000000000000111100000000
+00000000000000010000000110010000_00011_00000000000000000000000000000010
+00000000000000010000000110011000_00001_00001111111100000001000000000000
+00000000000000010000000110011100_00001_00001111111100000000111111110000
+00000000000000010000000110100000_00010_11110000111100001111000000000000
+00000000000000010000000110100100_00010_11110000111100001111000011110000
+00000000000000010000000110101000_01110_00000000111100000000000011110000
+00000000000000010000000110101100_00111_00000000111100000000000000000000
+00000000000000010000000110110000_00111_00000000111100000000000011110000
+00000000000000010000000110110100_00011_00000000000000000000000000000011
+00000000000000010000000110111100_00001_00000000111111110000000000000000
+00000000000000010000000111000000_00001_00000000111111110000000011111111
+00000000000000010000000111000100_00010_00001111000011110001000000000000
+00000000000000010000000111001000_00010_00001111000011110000111100001111
+00000000000000010000000111001100_01110_00000000000011110000000000001111
+00000000000000010000000111010000_00111_00000000000011110000000000000000
+00000000000000010000000111010100_00111_00000000000011110000000000001111
+00000000000000010000000111011000_00011_00000000000000000000000000000100
+00000000000000010000000111100000_00001_11110000000011111111000000000000
+00000000000000010000000111100100_00001_11110000000011111111000000001111
+00000000000000010000000111101000_00010_11110000111100001111000000000000
+00000000000000010000000111101100_00010_11110000111100001111000011110000
+00000000000000010000000111110000_01110_11110000000000001111000000000000
+00000000000000010000000111110100_00111_11110000000000001111000000000000
+00000000000000010000000111111000_00011_00000000000000000000000000000101
+00000000000000010000001000000000_00001_11111111000000010000000000000000
+00000000000000010000001000000100_00001_11111111000000001111111100000000
+00000000000000010000001000001000_00010_00001111000011110001000000000000
+00000000000000010000001000001100_00010_00001111000011110000111100001111
+00000000000000010000001000010000_00001_00001111000000000000111100000000
+00000000000000010000001000010100_00111_00001111000000000001000000000000
+00000000000000010000001000011000_00111_00001111000000000000111100000000
+00000000000000010000001000011100_00011_00000000000000000000000000000110
+00000000000000010000001000100100_00001_00001111111100000001000000000000
+00000000000000010000001000101000_00001_00001111111100000000111111110000
+00000000000000010000001000101100_00010_11110000111100001111000000000000
+00000000000000010000001000110000_00010_11110000111100001111000011110000
+00000000000000010000001000110100_00010_00000000111100000000000011110000
+00000000000000010000001000111000_00111_00000000111100000000000000000000
+00000000000000010000001000111100_00111_00000000111100000000000011110000
+00000000000000010000001001000000_00011_00000000000000000000000000000111
+00000000000000010000001001001000_00001_11111111000000010000000000000000
+00000000000000010000001001001100_00001_11111111000000001111111100000000
+00000000000000010000001001010000_00001_11111111000000001111111100000000
+00000000000000010000001001010100_00111_11111111000000010000000000000000
+00000000000000010000001001011000_00111_11111111000000001111111100000000
+00000000000000010000001001011100_00011_00000000000000000000000000001000
+00000000000000010000001001100100_00100_00000000000000000000000000000000
+00000000000000010000001001101000_00001_11111111000000010000000000000000
+00000000000000010000001001101100_00001_11111111000000001111111100000000
+00000000000000010000001001110000_00010_00001111000011110001000000000000
+00000000000000010000001001110100_00010_00001111000011110000111100001111
+00000000000000010000001001111000_01110_00001111000000000000111100000000
+00000000000000010000001001111100_00110_00001111000000000000111100000000
+00000000000000010000001010000000_00100_00000000000000000000000000000001
+00000000000000010000001010000100_00101_00000000000000000000000000000010
+00000000000000010000001001101000_00001_11111111000000010000000000000000
+00000000000000010000001001101100_00001_11111111000000001111111100000000
+00000000000000010000001001110000_00010_00001111000011110001000000000000
+00000000000000010000001001110100_00010_00001111000011110000111100001111
+00000000000000010000001001111000_01110_00001111000000000000111100000000
+00000000000000010000001001111100_00110_00001111000000000000111100000000
+00000000000000010000001010000000_00100_00000000000000000000000000000010
+00000000000000010000001010000100_00101_00000000000000000000000000000010
+00000000000000010000001010001100_00111_00001111000000000001000000000000
+00000000000000010000001010010000_00111_00001111000000000000111100000000
+00000000000000010000001010010100_00011_00000000000000000000000000001001
+00000000000000010000001010011100_00100_00000000000000000000000000000000
+00000000000000010000001010100000_00001_00001111111100000001000000000000
+00000000000000010000001010100100_00001_00001111111100000000111111110000
+00000000000000010000001010101000_00010_11110000111100001111000000000000
+00000000000000010000001010101100_00010_11110000111100001111000011110000
+00000000000000010000001010110000_01110_00000000111100000000000011110000
+00000000000000010000001010110100_00000_00000000000000000000000000000000
+00000000000000010000001010111000_00110_00000000111100000000000011110000
+00000000000000010000001010111100_00100_00000000000000000000000000000001
+00000000000000010000001011000000_00101_00000000000000000000000000000010
+00000000000000010000001010100000_00001_00001111111100000001000000000000
+00000000000000010000001010100100_00001_00001111111100000000111111110000
+00000000000000010000001010101000_00010_11110000111100001111000000000000
+00000000000000010000001010101100_00010_11110000111100001111000011110000
+00000000000000010000001010110000_01110_00000000111100000000000011110000
+00000000000000010000001010110100_00000_00000000000000000000000000000000
+00000000000000010000001010111000_00110_00000000111100000000000011110000
+00000000000000010000001010111100_00100_00000000000000000000000000000010
+00000000000000010000001011000000_00101_00000000000000000000000000000010
+00000000000000010000001011001000_00111_00000000111100000000000000000000
+00000000000000010000001011001100_00111_00000000111100000000000011110000
+00000000000000010000001011010000_00011_00000000000000000000000000001010
+00000000000000010000001011011000_00100_00000000000000000000000000000000
+00000000000000010000001011011100_00001_00000000111111110000000000000000
+00000000000000010000001011100000_00001_00000000111111110000000011111111
+00000000000000010000001011100100_00010_00001111000011110001000000000000
+00000000000000010000001011101000_00010_00001111000011110000111100001111
+00000000000000010000001011101100_01110_00000000000011110000000000001111
+00000000000000010000001011110000_00000_00000000000000000000000000000000
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00110_00000000000011110000000000001111
+00000000000000010000001011111100_00100_00000000000000000000000000000001
+00000000000000010000001100000000_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00001_00000000111111110000000000000000
+00000000000000010000001011100000_00001_00000000111111110000000011111111
+00000000000000010000001011100100_00010_00001111000011110001000000000000
+00000000000000010000001011101000_00010_00001111000011110000111100001111
+00000000000000010000001011101100_01110_00000000000011110000000000001111
+00000000000000010000001011110000_00000_00000000000000000000000000000000
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00110_00000000000011110000000000001111
+00000000000000010000001011111100_00100_00000000000000000000000000000010
+00000000000000010000001100000000_00101_00000000000000000000000000000010
+00000000000000010000001100001000_00111_00000000000011110000000000000000
+00000000000000010000001100001100_00111_00000000000011110000000000001111
+00000000000000010000001100010000_00011_00000000000000000000000000001011
+00000000000000010000001100011000_00100_00000000000000000000000000000000
+00000000000000010000001100011100_00001_11111111000000010000000000000000
+00000000000000010000001100100000_00001_11111111000000001111111100000000
+00000000000000010000001100100100_00010_00001111000011110001000000000000
+00000000000000010000001100101000_00010_00001111000011110000111100001111
+00000000000000010000001100101100_01110_00001111000000000000111100000000
+00000000000000010000001100110000_00100_00000000000000000000000000000001
+00000000000000010000001100110100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00001_11111111000000010000000000000000
+00000000000000010000001100100000_00001_11111111000000001111111100000000
+00000000000000010000001100100100_00010_00001111000011110001000000000000
+00000000000000010000001100101000_00010_00001111000011110000111100001111
+00000000000000010000001100101100_01110_00001111000000000000111100000000
+00000000000000010000001100110000_00100_00000000000000000000000000000010
+00000000000000010000001100110100_00101_00000000000000000000000000000010
+00000000000000010000001100111100_00111_00001111000000000001000000000000
+00000000000000010000001101000000_00111_00001111000000000000111100000000
+00000000000000010000001101000100_00011_00000000000000000000000000001100
+00000000000000010000001101001100_00100_00000000000000000000000000000000
+00000000000000010000001101010000_00001_00001111111100000001000000000000
+00000000000000010000001101010100_00001_00001111111100000000111111110000
+00000000000000010000001101011000_00010_11110000111100001111000000000000
+00000000000000010000001101011100_00010_11110000111100001111000011110000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_01110_00000000111100000000000011110000
+00000000000000010000001101101000_00100_00000000000000000000000000000001
+00000000000000010000001101101100_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00001_00001111111100000001000000000000
+00000000000000010000001101010100_00001_00001111111100000000111111110000
+00000000000000010000001101011000_00010_11110000111100001111000000000000
+00000000000000010000001101011100_00010_11110000111100001111000011110000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_01110_00000000111100000000000011110000
+00000000000000010000001101101000_00100_00000000000000000000000000000010
+00000000000000010000001101101100_00101_00000000000000000000000000000010
+00000000000000010000001101110100_00111_00000000111100000000000000000000
+00000000000000010000001101111000_00111_00000000111100000000000011110000
+00000000000000010000001101111100_00011_00000000000000000000000000001101
+00000000000000010000001110000100_00100_00000000000000000000000000000000
+00000000000000010000001110001000_00001_00000000111111110000000000000000
+00000000000000010000001110001100_00001_00000000111111110000000011111111
+00000000000000010000001110010000_00010_00001111000011110001000000000000
+00000000000000010000001110010100_00010_00001111000011110000111100001111
+00000000000000010000001110011000_00000_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00000000000011110000000000001111
+00000000000000010000001110100100_00100_00000000000000000000000000000001
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00001_00000000111111110000000000000000
+00000000000000010000001110001100_00001_00000000111111110000000011111111
+00000000000000010000001110010000_00010_00001111000011110001000000000000
+00000000000000010000001110010100_00010_00001111000011110000111100001111
+00000000000000010000001110011000_00000_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00000000000011110000000000001111
+00000000000000010000001110100100_00100_00000000000000000000000000000010
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110110000_00111_00000000000011110000000000000000
+00000000000000010000001110110100_00111_00000000000011110000000000001111
+00000000000000010000001110111000_00011_00000000000000000000000000001110
+00000000000000010000001111000000_00100_00000000000000000000000000000000
+00000000000000010000001111000100_00001_11111111000000010000000000000000
+00000000000000010000001111001000_00001_11111111000000001111111100000000
+00000000000000010000001111001100_00000_00000000000000000000000000000000
+00000000000000010000001111010000_00010_00001111000011110001000000000000
+00000000000000010000001111010100_00010_00001111000011110000111100001111
+00000000000000010000001111011000_01110_00001111000000000000111100000000
+00000000000000010000001111011100_00100_00000000000000000000000000000001
+00000000000000010000001111100000_00101_00000000000000000000000000000010
+00000000000000010000001111000100_00001_11111111000000010000000000000000
+00000000000000010000001111001000_00001_11111111000000001111111100000000
+00000000000000010000001111001100_00000_00000000000000000000000000000000
+00000000000000010000001111010000_00010_00001111000011110001000000000000
+00000000000000010000001111010100_00010_00001111000011110000111100001111
+00000000000000010000001111011000_01110_00001111000000000000111100000000
+00000000000000010000001111011100_00100_00000000000000000000000000000010
+00000000000000010000001111100000_00101_00000000000000000000000000000010
+00000000000000010000001111101000_00111_00001111000000000001000000000000
+00000000000000010000001111101100_00111_00001111000000000000111100000000
+00000000000000010000001111110000_00011_00000000000000000000000000001111
+00000000000000010000001111111000_00100_00000000000000000000000000000000
+00000000000000010000001111111100_00001_00001111111100000001000000000000
+00000000000000010000010000000000_00001_00001111111100000000111111110000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_00010_11110000111100001111000000000000
+00000000000000010000010000001100_00010_11110000111100001111000011110000
+00000000000000010000010000010000_00000_00000000000000000000000000000000
+00000000000000010000010000010100_01110_00000000111100000000000011110000
+00000000000000010000010000011000_00100_00000000000000000000000000000001
+00000000000000010000010000011100_00101_00000000000000000000000000000010
+00000000000000010000001111111100_00001_00001111111100000001000000000000
+00000000000000010000010000000000_00001_00001111111100000000111111110000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_00010_11110000111100001111000000000000
+00000000000000010000010000001100_00010_11110000111100001111000011110000
+00000000000000010000010000010000_00000_00000000000000000000000000000000
+00000000000000010000010000010100_01110_00000000111100000000000011110000
+00000000000000010000010000011000_00100_00000000000000000000000000000010
+00000000000000010000010000011100_00101_00000000000000000000000000000010
+00000000000000010000010000100100_00111_00000000111100000000000000000000
+00000000000000010000010000101000_00111_00000000111100000000000011110000
+00000000000000010000010000101100_00011_00000000000000000000000000010000
+00000000000000010000010000110100_00100_00000000000000000000000000000000
+00000000000000010000010000111000_00001_00000000111111110000000000000000
+00000000000000010000010000111100_00001_00000000111111110000000011111111
+00000000000000010000010001000000_00000_00000000000000000000000000000000
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00010_00001111000011110001000000000000
+00000000000000010000010001001100_00010_00001111000011110000111100001111
+00000000000000010000010001010000_01110_00000000000011110000000000001111
+00000000000000010000010001010100_00100_00000000000000000000000000000001
+00000000000000010000010001011000_00101_00000000000000000000000000000010
+00000000000000010000010000111000_00001_00000000111111110000000000000000
+00000000000000010000010000111100_00001_00000000111111110000000011111111
+00000000000000010000010001000000_00000_00000000000000000000000000000000
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00010_00001111000011110001000000000000
+00000000000000010000010001001100_00010_00001111000011110000111100001111
+00000000000000010000010001010000_01110_00000000000011110000000000001111
+00000000000000010000010001010100_00100_00000000000000000000000000000010
+00000000000000010000010001011000_00101_00000000000000000000000000000010
+00000000000000010000010001100000_00111_00000000000011110000000000000000
+00000000000000010000010001100100_00111_00000000000011110000000000001111
+00000000000000010000010001101000_00011_00000000000000000000000000010001
+00000000000000010000010001110000_00100_00000000000000000000000000000000
+00000000000000010000010001110100_00010_00001111000011110001000000000000
+00000000000000010000010001111000_00010_00001111000011110000111100001111
+00000000000000010000010001111100_00001_11111111000000010000000000000000
+00000000000000010000010010000000_00001_11111111000000001111111100000000
+00000000000000010000010010000100_01110_00001111000000000000111100000000
+00000000000000010000010010001000_00100_00000000000000000000000000000001
+00000000000000010000010010001100_00101_00000000000000000000000000000010
+00000000000000010000010001110100_00010_00001111000011110001000000000000
+00000000000000010000010001111000_00010_00001111000011110000111100001111
+00000000000000010000010001111100_00001_11111111000000010000000000000000
+00000000000000010000010010000000_00001_11111111000000001111111100000000
+00000000000000010000010010000100_01110_00001111000000000000111100000000
+00000000000000010000010010001000_00100_00000000000000000000000000000010
+00000000000000010000010010001100_00101_00000000000000000000000000000010
+00000000000000010000010010010100_00111_00001111000000000001000000000000
+00000000000000010000010010011000_00111_00001111000000000000111100000000
+00000000000000010000010010011100_00011_00000000000000000000000000010010
+00000000000000010000010010100100_00100_00000000000000000000000000000000
+00000000000000010000010010101000_00010_11110000111100001111000000000000
+00000000000000010000010010101100_00010_11110000111100001111000011110000
+00000000000000010000010010110000_00001_00001111111100000001000000000000
+00000000000000010000010010110100_00001_00001111111100000000111111110000
+00000000000000010000010010111000_00000_00000000000000000000000000000000
+00000000000000010000010010111100_01110_00000000111100000000000011110000
+00000000000000010000010011000000_00100_00000000000000000000000000000001
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010010101000_00010_11110000111100001111000000000000
+00000000000000010000010010101100_00010_11110000111100001111000011110000
+00000000000000010000010010110000_00001_00001111111100000001000000000000
+00000000000000010000010010110100_00001_00001111111100000000111111110000
+00000000000000010000010010111000_00000_00000000000000000000000000000000
+00000000000000010000010010111100_01110_00000000111100000000000011110000
+00000000000000010000010011000000_00100_00000000000000000000000000000010
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010011001100_00111_00000000111100000000000000000000
+00000000000000010000010011010000_00111_00000000111100000000000011110000
+00000000000000010000010011010100_00011_00000000000000000000000000010011
+00000000000000010000010011011100_00100_00000000000000000000000000000000
+00000000000000010000010011100000_00010_00001111000011110001000000000000
+00000000000000010000010011100100_00010_00001111000011110000111100001111
+00000000000000010000010011101000_00001_00000000111111110000000000000000
+00000000000000010000010011101100_00001_00000000111111110000000011111111
+00000000000000010000010011110000_00000_00000000000000000000000000000000
+00000000000000010000010011110100_00000_00000000000000000000000000000000
+00000000000000010000010011111000_01110_00000000000011110000000000001111
+00000000000000010000010011111100_00100_00000000000000000000000000000001
+00000000000000010000010100000000_00101_00000000000000000000000000000010
+00000000000000010000010011100000_00010_00001111000011110001000000000000
+00000000000000010000010011100100_00010_00001111000011110000111100001111
+00000000000000010000010011101000_00001_00000000111111110000000000000000
+00000000000000010000010011101100_00001_00000000111111110000000011111111
+00000000000000010000010011110000_00000_00000000000000000000000000000000
+00000000000000010000010011110100_00000_00000000000000000000000000000000
+00000000000000010000010011111000_01110_00000000000011110000000000001111
+00000000000000010000010011111100_00100_00000000000000000000000000000010
+00000000000000010000010100000000_00101_00000000000000000000000000000010
+00000000000000010000010100001000_00111_00000000000011110000000000000000
+00000000000000010000010100001100_00111_00000000000011110000000000001111
+00000000000000010000010100010000_00011_00000000000000000000000000010100
+00000000000000010000010100011000_00100_00000000000000000000000000000000
+00000000000000010000010100011100_00010_00001111000011110001000000000000
+00000000000000010000010100100000_00010_00001111000011110000111100001111
+00000000000000010000010100100100_00000_00000000000000000000000000000000
+00000000000000010000010100101000_00001_11111111000000010000000000000000
+00000000000000010000010100101100_00001_11111111000000001111111100000000
+00000000000000010000010100110000_01110_00001111000000000000111100000000
+00000000000000010000010100110100_00100_00000000000000000000000000000001
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010100011100_00010_00001111000011110001000000000000
+00000000000000010000010100100000_00010_00001111000011110000111100001111
+00000000000000010000010100100100_00000_00000000000000000000000000000000
+00000000000000010000010100101000_00001_11111111000000010000000000000000
+00000000000000010000010100101100_00001_11111111000000001111111100000000
+00000000000000010000010100110000_01110_00001111000000000000111100000000
+00000000000000010000010100110100_00100_00000000000000000000000000000010
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010101000000_00111_00001111000000000001000000000000
+00000000000000010000010101000100_00111_00001111000000000000111100000000
+00000000000000010000010101001000_00011_00000000000000000000000000010101
+00000000000000010000010101010000_00100_00000000000000000000000000000000
+00000000000000010000010101010100_00010_11110000111100001111000000000000
+00000000000000010000010101011000_00010_11110000111100001111000011110000
+00000000000000010000010101011100_00000_00000000000000000000000000000000
+00000000000000010000010101100000_00001_00001111111100000001000000000000
+00000000000000010000010101100100_00001_00001111111100000000111111110000
+00000000000000010000010101101000_00000_00000000000000000000000000000000
+00000000000000010000010101101100_01110_00000000111100000000000011110000
+00000000000000010000010101110000_00100_00000000000000000000000000000001
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101010100_00010_11110000111100001111000000000000
+00000000000000010000010101011000_00010_11110000111100001111000011110000
+00000000000000010000010101011100_00000_00000000000000000000000000000000
+00000000000000010000010101100000_00001_00001111111100000001000000000000
+00000000000000010000010101100100_00001_00001111111100000000111111110000
+00000000000000010000010101101000_00000_00000000000000000000000000000000
+00000000000000010000010101101100_01110_00000000111100000000000011110000
+00000000000000010000010101110000_00100_00000000000000000000000000000010
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101111100_00111_00000000111100000000000000000000
+00000000000000010000010110000000_00111_00000000111100000000000011110000
+00000000000000010000010110000100_00011_00000000000000000000000000010110
+00000000000000010000010110001100_00100_00000000000000000000000000000000
+00000000000000010000010110010000_00010_00001111000011110001000000000000
+00000000000000010000010110010100_00010_00001111000011110000111100001111
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_00000_00000000000000000000000000000000
+00000000000000010000010110100000_00001_00000000111111110000000000000000
+00000000000000010000010110100100_00001_00000000111111110000000011111111
+00000000000000010000010110101000_01110_00000000000011110000000000001111
+00000000000000010000010110101100_00100_00000000000000000000000000000001
+00000000000000010000010110110000_00101_00000000000000000000000000000010
+00000000000000010000010110010000_00010_00001111000011110001000000000000
+00000000000000010000010110010100_00010_00001111000011110000111100001111
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_00000_00000000000000000000000000000000
+00000000000000010000010110100000_00001_00000000111111110000000000000000
+00000000000000010000010110100100_00001_00000000111111110000000011111111
+00000000000000010000010110101000_01110_00000000000011110000000000001111
+00000000000000010000010110101100_00100_00000000000000000000000000000010
+00000000000000010000010110110000_00101_00000000000000000000000000000010
+00000000000000010000010110111000_00111_00000000000011110000000000000000
+00000000000000010000010110111100_00111_00000000000011110000000000001111
+00000000000000010000010111000000_00011_00000000000000000000000000010111
+00000000000000010000010111001000_00001_11111111000000010000000000000000
+00000000000000010000010111001100_00001_11111111000000001111111100000000
+00000000000000010000010111010000_00010_00000000000000000000000000000000
+00000000000000010000010111010100_00111_00000000000000000000000000000000
+00000000000000010000010111011000_00011_00000000000000000000000000011000
+00000000000000010000010111100000_00001_00000000111111110000000000000000
+00000000000000010000010111100100_00001_00000000111111110000000011111111
+00000000000000010000010111101000_00010_00000000000000000000000000000000
+00000000000000010000010111101100_00111_00000000000000000000000000000000
+00000000000000010000010111110000_00011_00000000000000000000000000011001
+00000000000000010000010111111000_00001_00000000000000000000000000000000
+00000000000000010000010111111100_00111_00000000000000000000000000000000
+00000000000000010000011000000000_00011_00000000000000000000000000011010
+00000000000000010000011000001000_00001_00010001000100010001000000000000
+00000000000000010000011000001100_00001_00010001000100010001000100010001
+00000000000000010000011000010000_00010_00100010001000100010000000000000
+00000000000000010000011000010100_00010_00100010001000100010001000100010
+00000000000000010000011000011000_00000_00000000000000000000000000000000
+00000000000000010000011000011100_00111_00000000000000000000000000000000
+00000000000000010000011000100000_00011_00000000000000000000000000011011
+00000000000000010000011001001100_00011_00000000000000000000000000000001
+00000000000000010000011001010000_10001_00000000000000000000000001011101
+00000000000000010000011001010100_01010_00000000000000000000000000000000
+00000000000000010000011001010100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/and/dmem.coe b/verilog/dv/test_c0/coe/and/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/and/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/and/imem.coe b/verilog/dv/test_c0/coe/and/imem.coe
new file mode 100644
index 0000000..7a241e3
--- /dev/null
+++ b/verilog/dv/test_c0/coe/and/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020F733,
+0F0013B7,
+F0038393,
+00200193,
+48771C63,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+0020F733,
+00F003B7,
+0F038393,
+00300193,
+46771A63,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+0020F733,
+000F03B7,
+00F38393,
+00400193,
+44771863,
+F00FF0B7,
+00F08093,
+F0F0F137,
+0F010113,
+0020F733,
+F000F3B7,
+00500193,
+42771863,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020F0B3,
+0F0013B7,
+F0038393,
+00600193,
+40709663,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+0020F133,
+00F003B7,
+0F038393,
+00700193,
+3E711463,
+FF0100B7,
+F0008093,
+0010F0B3,
+FF0103B7,
+F0038393,
+00800193,
+3C709663,
+00000213,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020F733,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+0F0013B7,
+F0038393,
+00900193,
+38731A63,
+00000213,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+0020F733,
+00000013,
+00070313,
+00120213,
+00200293,
+FC521EE3,
+00F003B7,
+0F038393,
+00A00193,
+34731C63,
+00000213,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+0020F733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FC521CE3,
+000F03B7,
+00F38393,
+00B00193,
+30731C63,
+00000213,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020F733,
+00120213,
+00200293,
+FE5212E3,
+0F0013B7,
+F0038393,
+00C00193,
+2E771263,
+00000213,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+00000013,
+0020F733,
+00120213,
+00200293,
+FE5210E3,
+00F003B7,
+0F038393,
+00D00193,
+2A771663,
+00000213,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+00000013,
+00000013,
+0020F733,
+00120213,
+00200293,
+FC521EE3,
+000F03B7,
+00F38393,
+00E00193,
+26771863,
+00000213,
+FF0100B7,
+F0008093,
+00000013,
+0F0F1137,
+F0F10113,
+0020F733,
+00120213,
+00200293,
+FE5210E3,
+0F0013B7,
+F0038393,
+00F00193,
+22771C63,
+00000213,
+0FF010B7,
+FF008093,
+00000013,
+F0F0F137,
+0F010113,
+00000013,
+0020F733,
+00120213,
+00200293,
+FC521EE3,
+00F003B7,
+0F038393,
+01000193,
+1E771E63,
+00000213,
+00FF00B7,
+0FF08093,
+00000013,
+00000013,
+0F0F1137,
+F0F10113,
+0020F733,
+00120213,
+00200293,
+FC521EE3,
+000F03B7,
+00F38393,
+01100193,
+1C771063,
+00000213,
+0F0F1137,
+F0F10113,
+FF0100B7,
+F0008093,
+0020F733,
+00120213,
+00200293,
+FE5212E3,
+0F0013B7,
+F0038393,
+01200193,
+18771663,
+00000213,
+F0F0F137,
+0F010113,
+0FF010B7,
+FF008093,
+00000013,
+0020F733,
+00120213,
+00200293,
+FE5210E3,
+00F003B7,
+0F038393,
+01300193,
+14771A63,
+00000213,
+0F0F1137,
+F0F10113,
+00FF00B7,
+0FF08093,
+00000013,
+00000013,
+0020F733,
+00120213,
+00200293,
+FC521EE3,
+000F03B7,
+00F38393,
+01400193,
+10771C63,
+00000213,
+0F0F1137,
+F0F10113,
+00000013,
+FF0100B7,
+F0008093,
+0020F733,
+00120213,
+00200293,
+FE5210E3,
+0F0013B7,
+F0038393,
+01500193,
+0E771063,
+00000213,
+F0F0F137,
+0F010113,
+00000013,
+0FF010B7,
+FF008093,
+00000013,
+0020F733,
+00120213,
+00200293,
+FC521EE3,
+00F003B7,
+0F038393,
+01600193,
+0A771263,
+00000213,
+0F0F1137,
+F0F10113,
+00000013,
+00000013,
+00FF00B7,
+0FF08093,
+0020F733,
+00120213,
+00200293,
+FC521EE3,
+000F03B7,
+00F38393,
+01700193,
+06771463,
+FF0100B7,
+F0008093,
+00107133,
+00000393,
+01800193,
+04711863,
+00FF00B7,
+0FF08093,
+0000F133,
+00000393,
+01900193,
+02711C63,
+000070B3,
+00000393,
+01A00193,
+02709463,
+111110B7,
+11108093,
+22222137,
+22210113,
+0020F033,
+00000393,
+01B00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/andi/cekirdek_dy.txt b/verilog/dv/test_c0/coe/andi/cekirdek_dy.txt
new file mode 100644
index 0000000..ac6d62a
--- /dev/null
+++ b/verilog/dv/test_c0/coe/andi/cekirdek_dy.txt
@@ -0,0 +1,386 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111011100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001010001100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001111011100010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000000001110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001100001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001111011100010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001110001100011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001111011100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001000001100011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001111000010010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011100001001010001100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001111011100010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001111011100010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011100110001111001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001111011100010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001111011100010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011100110001011001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111011100010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111011100010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011100110001101001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001111011100010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001111011100010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001011001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001111011100010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000000001110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001111011100010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000000001110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001000001100011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001111011100010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001111011100010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001100001100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000111000010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001111000000010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001101010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001101011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001101011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001101100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001101100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/andi/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/andi/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..a97eab2
--- /dev/null
+++ b/verilog/dv/test_c0/coe/andi/cekirdek_ps_bin.txt
@@ -0,0 +1,229 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101011100
diff --git a/verilog/dv/test_c0/coe/andi/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/andi/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..d1c4d78
--- /dev/null
+++ b/verilog/dv/test_c0/coe/andi/cekirdek_ps_hex.txt
@@ -0,0 +1,229 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010350
+00010354
+00010358
+0001035c
+0001035c
diff --git a/verilog/dv/test_c0/coe/andi/cekirdek_yo.txt b/verilog/dv/test_c0/coe/andi/cekirdek_yo.txt
new file mode 100644
index 0000000..5c05454
--- /dev/null
+++ b/verilog/dv/test_c0/coe/andi/cekirdek_yo.txt
@@ -0,0 +1,184 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_11111111000000010000000000000000
+00000000000000010000000101111000_00001_11111111000000001111111100000000
+00000000000000010000000101111100_01110_11111111000000001111111100000000
+00000000000000010000000110000000_00111_11111111000000010000000000000000
+00000000000000010000000110000100_00111_11111111000000001111111100000000
+00000000000000010000000110001000_00011_00000000000000000000000000000010
+00000000000000010000000110010000_00001_00001111111100000001000000000000
+00000000000000010000000110010100_00001_00001111111100000000111111110000
+00000000000000010000000110011000_01110_00000000000000000000000011110000
+00000000000000010000000110011100_00111_00000000000000000000000011110000
+00000000000000010000000110100000_00011_00000000000000000000000000000011
+00000000000000010000000110101000_00001_00000000111111110000000000000000
+00000000000000010000000110101100_00001_00000000111111110000000011111111
+00000000000000010000000110110000_01110_00000000000000000000000000001111
+00000000000000010000000110110100_00111_00000000000000000000000000001111
+00000000000000010000000110111000_00011_00000000000000000000000000000100
+00000000000000010000000111000000_00001_11110000000011111111000000000000
+00000000000000010000000111000100_00001_11110000000011111111000000001111
+00000000000000010000000111001000_01110_00000000000000000000000000000000
+00000000000000010000000111001100_00111_00000000000000000000000000000000
+00000000000000010000000111010000_00011_00000000000000000000000000000101
+00000000000000010000000111011000_00001_11111111000000010000000000000000
+00000000000000010000000111011100_00001_11111111000000001111111100000000
+00000000000000010000000111100000_00001_00000000000000000000000000000000
+00000000000000010000000111100100_00111_00000000000000000000000000000000
+00000000000000010000000111101000_00011_00000000000000000000000000000110
+00000000000000010000000111110000_00100_00000000000000000000000000000000
+00000000000000010000000111110100_00001_00001111111100000001000000000000
+00000000000000010000000111111000_00001_00001111111100000000111111110000
+00000000000000010000000111111100_01110_00000000000000000000011100000000
+00000000000000010000001000000000_00110_00000000000000000000011100000000
+00000000000000010000001000000100_00100_00000000000000000000000000000001
+00000000000000010000001000001000_00101_00000000000000000000000000000010
+00000000000000010000000111110100_00001_00001111111100000001000000000000
+00000000000000010000000111111000_00001_00001111111100000000111111110000
+00000000000000010000000111111100_01110_00000000000000000000011100000000
+00000000000000010000001000000000_00110_00000000000000000000011100000000
+00000000000000010000001000000100_00100_00000000000000000000000000000010
+00000000000000010000001000001000_00101_00000000000000000000000000000010
+00000000000000010000001000010000_00111_00000000000000000000011100000000
+00000000000000010000001000010100_00011_00000000000000000000000000000111
+00000000000000010000001000011100_00100_00000000000000000000000000000000
+00000000000000010000001000100000_00001_00000000111111110000000000000000
+00000000000000010000001000100100_00001_00000000111111110000000011111111
+00000000000000010000001000101000_01110_00000000000000000000000011110000
+00000000000000010000001000101100_00000_00000000000000000000000000000000
+00000000000000010000001000110000_00110_00000000000000000000000011110000
+00000000000000010000001000110100_00100_00000000000000000000000000000001
+00000000000000010000001000111000_00101_00000000000000000000000000000010
+00000000000000010000001000100000_00001_00000000111111110000000000000000
+00000000000000010000001000100100_00001_00000000111111110000000011111111
+00000000000000010000001000101000_01110_00000000000000000000000011110000
+00000000000000010000001000101100_00000_00000000000000000000000000000000
+00000000000000010000001000110000_00110_00000000000000000000000011110000
+00000000000000010000001000110100_00100_00000000000000000000000000000010
+00000000000000010000001000111000_00101_00000000000000000000000000000010
+00000000000000010000001001000000_00111_00000000000000000000000011110000
+00000000000000010000001001000100_00011_00000000000000000000000000001000
+00000000000000010000001001001100_00100_00000000000000000000000000000000
+00000000000000010000001001010000_00001_11110000000011111111000000000000
+00000000000000010000001001010100_00001_11110000000011111111000000001111
+00000000000000010000001001011000_01110_11110000000011111111000000001111
+00000000000000010000001001011100_00000_00000000000000000000000000000000
+00000000000000010000001001100000_00000_00000000000000000000000000000000
+00000000000000010000001001100100_00110_11110000000011111111000000001111
+00000000000000010000001001101000_00100_00000000000000000000000000000001
+00000000000000010000001001101100_00101_00000000000000000000000000000010
+00000000000000010000001001010000_00001_11110000000011111111000000000000
+00000000000000010000001001010100_00001_11110000000011111111000000001111
+00000000000000010000001001011000_01110_11110000000011111111000000001111
+00000000000000010000001001011100_00000_00000000000000000000000000000000
+00000000000000010000001001100000_00000_00000000000000000000000000000000
+00000000000000010000001001100100_00110_11110000000011111111000000001111
+00000000000000010000001001101000_00100_00000000000000000000000000000010
+00000000000000010000001001101100_00101_00000000000000000000000000000010
+00000000000000010000001001110100_00111_11110000000011111111000000000000
+00000000000000010000001001111000_00111_11110000000011111111000000001111
+00000000000000010000001001111100_00011_00000000000000000000000000001001
+00000000000000010000001010000100_00100_00000000000000000000000000000000
+00000000000000010000001010001000_00001_00001111111100000001000000000000
+00000000000000010000001010001100_00001_00001111111100000000111111110000
+00000000000000010000001010010000_01110_00000000000000000000011100000000
+00000000000000010000001010010100_00100_00000000000000000000000000000001
+00000000000000010000001010011000_00101_00000000000000000000000000000010
+00000000000000010000001010001000_00001_00001111111100000001000000000000
+00000000000000010000001010001100_00001_00001111111100000000111111110000
+00000000000000010000001010010000_01110_00000000000000000000011100000000
+00000000000000010000001010010100_00100_00000000000000000000000000000010
+00000000000000010000001010011000_00101_00000000000000000000000000000010
+00000000000000010000001010100000_00111_00000000000000000000011100000000
+00000000000000010000001010100100_00011_00000000000000000000000000001010
+00000000000000010000001010101100_00100_00000000000000000000000000000000
+00000000000000010000001010110000_00001_00000000111111110000000000000000
+00000000000000010000001010110100_00001_00000000111111110000000011111111
+00000000000000010000001010111000_00000_00000000000000000000000000000000
+00000000000000010000001010111100_01110_00000000000000000000000011110000
+00000000000000010000001011000000_00100_00000000000000000000000000000001
+00000000000000010000001011000100_00101_00000000000000000000000000000010
+00000000000000010000001010110000_00001_00000000111111110000000000000000
+00000000000000010000001010110100_00001_00000000111111110000000011111111
+00000000000000010000001010111000_00000_00000000000000000000000000000000
+00000000000000010000001010111100_01110_00000000000000000000000011110000
+00000000000000010000001011000000_00100_00000000000000000000000000000010
+00000000000000010000001011000100_00101_00000000000000000000000000000010
+00000000000000010000001011001100_00111_00000000000000000000000011110000
+00000000000000010000001011010000_00011_00000000000000000000000000001011
+00000000000000010000001011011000_00100_00000000000000000000000000000000
+00000000000000010000001011011100_00001_11110000000011111111000000000000
+00000000000000010000001011100000_00001_11110000000011111111000000001111
+00000000000000010000001011100100_00000_00000000000000000000000000000000
+00000000000000010000001011101000_00000_00000000000000000000000000000000
+00000000000000010000001011101100_01110_00000000000000000000000000001111
+00000000000000010000001011110000_00100_00000000000000000000000000000001
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00001_11110000000011111111000000000000
+00000000000000010000001011100000_00001_11110000000011111111000000001111
+00000000000000010000001011100100_00000_00000000000000000000000000000000
+00000000000000010000001011101000_00000_00000000000000000000000000000000
+00000000000000010000001011101100_01110_00000000000000000000000000001111
+00000000000000010000001011110000_00100_00000000000000000000000000000010
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011111100_00111_00000000000000000000000000001111
+00000000000000010000001100000000_00011_00000000000000000000000000001100
+00000000000000010000001100001000_00001_00000000000000000000000000000000
+00000000000000010000001100001100_00111_00000000000000000000000000000000
+00000000000000010000001100010000_00011_00000000000000000000000000001101
+00000000000000010000001100011000_00001_00000000111111110000000000000000
+00000000000000010000001100011100_00001_00000000111111110000000011111111
+00000000000000010000001100100000_00000_00000000000000000000000000001111
+00000000000000010000001100100100_00111_00000000000000000000000000000000
+00000000000000010000001100101000_00011_00000000000000000000000000001110
+00000000000000010000001101010100_00011_00000000000000000000000000000001
+00000000000000010000001101011000_10001_00000000000000000000000001011101
+00000000000000010000001101011100_01010_00000000000000000000000000000000
+00000000000000010000001101011100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/andi/dmem.coe b/verilog/dv/test_c0/coe/andi/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/andi/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/andi/imem.coe b/verilog/dv/test_c0/coe/andi/imem.coe
new file mode 100644
index 0000000..d74d866
--- /dev/null
+++ b/verilog/dv/test_c0/coe/andi/imem.coe
@@ -0,0 +1,225 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+FF0100B7,
+F0008093,
+F0F0F713,
+FF0103B7,
+F0038393,
+00200193,
+1A771463,
+0FF010B7,
+FF008093,
+0F00F713,
+0F000393,
+00300193,
+18771863,
+00FF00B7,
+0FF08093,
+70F0F713,
+00F00393,
+00400193,
+16771C63,
+F00FF0B7,
+00F08093,
+0F00F713,
+00000393,
+00500193,
+16771063,
+FF0100B7,
+F0008093,
+0F00F093,
+00000393,
+00600193,
+14709463,
+00000213,
+0FF010B7,
+FF008093,
+70F0F713,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+70000393,
+00700193,
+10731E63,
+00000213,
+00FF00B7,
+0FF08093,
+0F00F713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+0F000393,
+00800193,
+0E731663,
+00000213,
+F00FF0B7,
+00F08093,
+F0F0F713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+F00FF3B7,
+00F38393,
+00900193,
+0A731A63,
+00000213,
+0FF010B7,
+FF008093,
+70F0F713,
+00120213,
+00200293,
+FE5216E3,
+70000393,
+00A00193,
+08771663,
+00000213,
+00FF00B7,
+0FF08093,
+00000013,
+0F00F713,
+00120213,
+00200293,
+FE5214E3,
+0F000393,
+00B00193,
+06771063,
+00000213,
+F00FF0B7,
+00F08093,
+00000013,
+00000013,
+70F0F713,
+00120213,
+00200293,
+FE5212E3,
+00F00393,
+00C00193,
+02771863,
+0F007093,
+00000393,
+00D00193,
+02709063,
+00FF00B7,
+0FF08093,
+70F0F013,
+00000393,
+00E00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/auipc/cekirdek_dy.txt b/verilog/dv/test_c0/coe/auipc/cekirdek_dy.txt
new file mode 100644
index 0000000..cbdd880
--- /dev/null
+++ b/verilog/dv/test_c0/coe/auipc/cekirdek_dy.txt
@@ -0,0 +1,223 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000000010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000000010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000000010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000000010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000000010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000010010100010111_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110001110001010000010100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000010111101111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000101101010000010100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000101101010000010100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110001000000111000001110010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101010001010001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111110010100010111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10001111110001010000010100010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000010111101111_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000101101010000010100110011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_01000000101101010000010100110011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000101101010000010100110011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111110001110110111_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10001111000000111000001110010011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011101010001010001100011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000000111011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000000111100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000111100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000000111101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000000111101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/auipc/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/auipc/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..426051c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/auipc/cekirdek_ps_bin.txt
@@ -0,0 +1,90 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111100100
diff --git a/verilog/dv/test_c0/coe/auipc/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/auipc/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..58de4c8
--- /dev/null
+++ b/verilog/dv/test_c0/coe/auipc/cekirdek_ps_hex.txt
@@ -0,0 +1,90 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101d8
+000101dc
+000101e0
+000101e4
+000101e4
diff --git a/verilog/dv/test_c0/coe/auipc/cekirdek_yo.txt b/verilog/dv/test_c0/coe/auipc/cekirdek_yo.txt
new file mode 100644
index 0000000..81d940c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/auipc/cekirdek_yo.txt
@@ -0,0 +1,68 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00000_00000000000000000000000000000000
+00000000000000010000000101111000_01010_00000000000000010010000101111000
+00000000000000010000000101111100_01010_00000000000000010010100010010100
+00000000000000010000000110000000_01011_00000000000000010000000110000100
+00000000000000010000000110000100_01010_00000000000000000010011100010000
+00000000000000010000000110001000_00111_00000000000000000010000000000000
+00000000000000010000000110001100_00111_00000000000000000010011100010000
+00000000000000010000000110010000_00011_00000000000000000000000000000010
+00000000000000010000000110011000_01010_00000000000000001110000110011000
+00000000000000010000000110011100_01010_00000000000000001101101010010100
+00000000000000010000000110100000_01011_00000000000000010000000110100100
+00000000000000010000000110100100_01010_11111111111111111101100011110000
+00000000000000010000000110101000_00111_11111111111111111110000000000000
+00000000000000010000000110101100_00111_11111111111111111101100011110000
+00000000000000010000000110110000_00011_00000000000000000000000000000011
+00000000000000010000000111011100_00011_00000000000000000000000000000001
+00000000000000010000000111100000_10001_00000000000000000000000001011101
+00000000000000010000000111100100_01010_00000000000000000000000000000000
+00000000000000010000000111100100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/auipc/dmem.coe b/verilog/dv/test_c0/coe/auipc/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/auipc/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/auipc/imem.coe b/verilog/dv/test_c0/coe/auipc/imem.coe
new file mode 100644
index 0000000..00859c0
--- /dev/null
+++ b/verilog/dv/test_c0/coe/auipc/imem.coe
@@ -0,0 +1,128 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000013,
+00002517,
+71C50513,
+004005EF,
+40B50533,
+000023B7,
+71038393,
+00200193,
+02751463,
+FFFFE517,
+8FC50513,
+004005EF,
+40B50533,
+FFFFE3B7,
+8F038393,
+00300193,
+00751463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/beq/cekirdek_dy.txt b/verilog/dv/test_c0/coe/beq/cekirdek_dy.txt
new file mode 100644
index 0000000..cb54fba
--- /dev/null
+++ b/verilog/dv/test_c0/coe/beq/cekirdek_dy.txt
@@ -0,0 +1,599 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011001100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001100001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001001001100011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001100001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001001001100011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000011001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001100001100011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001001001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000010001100011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000010001100011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001100000001100001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000010001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000001100000001101001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000010001100011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001100000001110001100011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001000111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001000111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001000000001100011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001000000001100011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001000111001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001000111001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001000101001100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001000101001100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001000100001100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001000100001100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100001000001000010001100011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100001000001000010001100011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001000000001100011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001000000001100011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001000000001100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001000000001100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001000111001100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001000111001100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010001000001000101001100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010001000001000101001100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001000100001100011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001000100001100011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001000010001100011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001000010001100011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001000000001100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001000000001100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101001100011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001010001100011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010001010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/beq/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/beq/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..55f8604
--- /dev/null
+++ b/verilog/dv/test_c0/coe/beq/cekirdek_ps_bin.txt
@@ -0,0 +1,322 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110001100
+00000000000000010000000110001000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110101100
+00000000000000010000000110101000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111001100
+00000000000000010000000111001000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001011100
diff --git a/verilog/dv/test_c0/coe/beq/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/beq/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..6c7d49e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/beq/cekirdek_ps_hex.txt
@@ -0,0 +1,322 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+0001018c
+00010188
+00010194
+00010198
+0001019c
+000101a0
+000101ac
+000101a8
+000101b4
+000101b8
+000101bc
+000101c0
+000101cc
+000101c8
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010450
+00010454
+00010458
+0001045c
+0001045c
diff --git a/verilog/dv/test_c0/coe/beq/cekirdek_yo.txt b/verilog/dv/test_c0/coe/beq/cekirdek_yo.txt
new file mode 100644
index 0000000..c45cccc
--- /dev/null
+++ b/verilog/dv/test_c0/coe/beq/cekirdek_yo.txt
@@ -0,0 +1,231 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00011_00000000000000000000000000000010
+00000000000000010000000101111000_00001_00000000000000000000000000000000
+00000000000000010000000101111100_00010_00000000000000000000000000000000
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011000_00001_00000000000000000000000000000001
+00000000000000010000000110011100_00010_00000000000000000000000000000001
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111000_00001_11111111111111111111111111111111
+00000000000000010000000110111100_00010_11111111111111111111111111111111
+00000000000000010000000111010100_00011_00000000000000000000000000000101
+00000000000000010000000111011000_00001_00000000000000000000000000000000
+00000000000000010000000111011100_00010_00000000000000000000000000000001
+00000000000000010000000111110000_00011_00000000000000000000000000000110
+00000000000000010000000111110100_00001_00000000000000000000000000000001
+00000000000000010000000111111000_00010_00000000000000000000000000000000
+00000000000000010000001000001100_00011_00000000000000000000000000000111
+00000000000000010000001000010000_00001_11111111111111111111111111111111
+00000000000000010000001000010100_00010_00000000000000000000000000000001
+00000000000000010000001000101000_00011_00000000000000000000000000001000
+00000000000000010000001000101100_00001_00000000000000000000000000000001
+00000000000000010000001000110000_00010_11111111111111111111111111111111
+00000000000000010000001001000100_00011_00000000000000000000000000001001
+00000000000000010000001001001000_00100_00000000000000000000000000000000
+00000000000000010000001001001100_00001_00000000000000000000000000000000
+00000000000000010000001001010000_00010_11111111111111111111111111111111
+00000000000000010000001001011000_00100_00000000000000000000000000000001
+00000000000000010000001001011100_00101_00000000000000000000000000000010
+00000000000000010000001001001100_00001_00000000000000000000000000000000
+00000000000000010000001001010000_00010_11111111111111111111111111111111
+00000000000000010000001001011000_00100_00000000000000000000000000000010
+00000000000000010000001001011100_00101_00000000000000000000000000000010
+00000000000000010000001001100100_00011_00000000000000000000000000001010
+00000000000000010000001001101000_00100_00000000000000000000000000000000
+00000000000000010000001001101100_00001_00000000000000000000000000000000
+00000000000000010000001001110000_00010_11111111111111111111111111111111
+00000000000000010000001001110100_00000_00000000000000000000000000000000
+00000000000000010000001001111100_00100_00000000000000000000000000000001
+00000000000000010000001010000000_00101_00000000000000000000000000000010
+00000000000000010000001001101100_00001_00000000000000000000000000000000
+00000000000000010000001001110000_00010_11111111111111111111111111111111
+00000000000000010000001001110100_00000_00000000000000000000000000000000
+00000000000000010000001001111100_00100_00000000000000000000000000000010
+00000000000000010000001010000000_00101_00000000000000000000000000000010
+00000000000000010000001010001000_00011_00000000000000000000000000001011
+00000000000000010000001010001100_00100_00000000000000000000000000000000
+00000000000000010000001010010000_00001_00000000000000000000000000000000
+00000000000000010000001010010100_00010_11111111111111111111111111111111
+00000000000000010000001010011000_00000_00000000000000000000000000000000
+00000000000000010000001010011100_00000_00000000000000000000000000000000
+00000000000000010000001010100100_00100_00000000000000000000000000000001
+00000000000000010000001010101000_00101_00000000000000000000000000000010
+00000000000000010000001010010000_00001_00000000000000000000000000000000
+00000000000000010000001010010100_00010_11111111111111111111111111111111
+00000000000000010000001010011000_00000_00000000000000000000000000000000
+00000000000000010000001010011100_00000_00000000000000000000000000000000
+00000000000000010000001010100100_00100_00000000000000000000000000000010
+00000000000000010000001010101000_00101_00000000000000000000000000000010
+00000000000000010000001010110000_00011_00000000000000000000000000001100
+00000000000000010000001010110100_00100_00000000000000000000000000000000
+00000000000000010000001010111000_00001_00000000000000000000000000000000
+00000000000000010000001010111100_00000_00000000000000000000000000000000
+00000000000000010000001011000000_00010_11111111111111111111111111111111
+00000000000000010000001011001000_00100_00000000000000000000000000000001
+00000000000000010000001011001100_00101_00000000000000000000000000000010
+00000000000000010000001010111000_00001_00000000000000000000000000000000
+00000000000000010000001010111100_00000_00000000000000000000000000000000
+00000000000000010000001011000000_00010_11111111111111111111111111111111
+00000000000000010000001011001000_00100_00000000000000000000000000000010
+00000000000000010000001011001100_00101_00000000000000000000000000000010
+00000000000000010000001011010100_00011_00000000000000000000000000001101
+00000000000000010000001011011000_00100_00000000000000000000000000000000
+00000000000000010000001011011100_00001_00000000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011100100_00010_11111111111111111111111111111111
+00000000000000010000001011101000_00000_00000000000000000000000000000000
+00000000000000010000001011110000_00100_00000000000000000000000000000001
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00001_00000000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011100100_00010_11111111111111111111111111111111
+00000000000000010000001011101000_00000_00000000000000000000000000000000
+00000000000000010000001011110000_00100_00000000000000000000000000000010
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011111100_00011_00000000000000000000000000001110
+00000000000000010000001100000000_00100_00000000000000000000000000000000
+00000000000000010000001100000100_00001_00000000000000000000000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00010_11111111111111111111111111111111
+00000000000000010000001100011000_00100_00000000000000000000000000000001
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100000100_00001_00000000000000000000000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00010_11111111111111111111111111111111
+00000000000000010000001100011000_00100_00000000000000000000000000000010
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100100100_00011_00000000000000000000000000001111
+00000000000000010000001100101000_00100_00000000000000000000000000000000
+00000000000000010000001100101100_00001_00000000000000000000000000000000
+00000000000000010000001100110000_00010_11111111111111111111111111111111
+00000000000000010000001100111000_00100_00000000000000000000000000000001
+00000000000000010000001100111100_00101_00000000000000000000000000000010
+00000000000000010000001100101100_00001_00000000000000000000000000000000
+00000000000000010000001100110000_00010_11111111111111111111111111111111
+00000000000000010000001100111000_00100_00000000000000000000000000000010
+00000000000000010000001100111100_00101_00000000000000000000000000000010
+00000000000000010000001101000100_00011_00000000000000000000000000010000
+00000000000000010000001101001000_00100_00000000000000000000000000000000
+00000000000000010000001101001100_00001_00000000000000000000000000000000
+00000000000000010000001101010000_00010_11111111111111111111111111111111
+00000000000000010000001101010100_00000_00000000000000000000000000000000
+00000000000000010000001101011100_00100_00000000000000000000000000000001
+00000000000000010000001101100000_00101_00000000000000000000000000000010
+00000000000000010000001101001100_00001_00000000000000000000000000000000
+00000000000000010000001101010000_00010_11111111111111111111111111111111
+00000000000000010000001101010100_00000_00000000000000000000000000000000
+00000000000000010000001101011100_00100_00000000000000000000000000000010
+00000000000000010000001101100000_00101_00000000000000000000000000000010
+00000000000000010000001101101000_00011_00000000000000000000000000010001
+00000000000000010000001101101100_00100_00000000000000000000000000000000
+00000000000000010000001101110000_00001_00000000000000000000000000000000
+00000000000000010000001101110100_00010_11111111111111111111111111111111
+00000000000000010000001101111000_00000_00000000000000000000000000000000
+00000000000000010000001101111100_00000_00000000000000000000000000000000
+00000000000000010000001110000100_00100_00000000000000000000000000000001
+00000000000000010000001110001000_00101_00000000000000000000000000000010
+00000000000000010000001101110000_00001_00000000000000000000000000000000
+00000000000000010000001101110100_00010_11111111111111111111111111111111
+00000000000000010000001101111000_00000_00000000000000000000000000000000
+00000000000000010000001101111100_00000_00000000000000000000000000000000
+00000000000000010000001110000100_00100_00000000000000000000000000000010
+00000000000000010000001110001000_00101_00000000000000000000000000000010
+00000000000000010000001110010000_00011_00000000000000000000000000010010
+00000000000000010000001110010100_00100_00000000000000000000000000000000
+00000000000000010000001110011000_00001_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00010_11111111111111111111111111111111
+00000000000000010000001110101000_00100_00000000000000000000000000000001
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110011000_00001_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00010_11111111111111111111111111111111
+00000000000000010000001110101000_00100_00000000000000000000000000000010
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110110100_00011_00000000000000000000000000010011
+00000000000000010000001110111000_00100_00000000000000000000000000000000
+00000000000000010000001110111100_00001_00000000000000000000000000000000
+00000000000000010000001111000000_00000_00000000000000000000000000000000
+00000000000000010000001111000100_00010_11111111111111111111111111111111
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111010000_00100_00000000000000000000000000000001
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001110111100_00001_00000000000000000000000000000000
+00000000000000010000001111000000_00000_00000000000000000000000000000000
+00000000000000010000001111000100_00010_11111111111111111111111111111111
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111010000_00100_00000000000000000000000000000010
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001111011100_00011_00000000000000000000000000010100
+00000000000000010000001111100000_00100_00000000000000000000000000000000
+00000000000000010000001111100100_00001_00000000000000000000000000000000
+00000000000000010000001111101000_00000_00000000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00010_11111111111111111111111111111111
+00000000000000010000001111111000_00100_00000000000000000000000000000001
+00000000000000010000001111111100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00001_00000000000000000000000000000000
+00000000000000010000001111101000_00000_00000000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00010_11111111111111111111111111111111
+00000000000000010000001111111000_00100_00000000000000000000000000000010
+00000000000000010000001111111100_00101_00000000000000000000000000000010
+00000000000000010000010000000100_00001_00000000000000000000000000000001
+00000000000000010000010000011100_00001_00000000000000000000000000000010
+00000000000000010000010000100000_00001_00000000000000000000000000000011
+00000000000000010000010000100100_00111_00000000000000000000000000000011
+00000000000000010000010000101000_00011_00000000000000000000000000010101
+00000000000000010000010001010100_00011_00000000000000000000000000000001
+00000000000000010000010001011000_10001_00000000000000000000000001011101
+00000000000000010000010001011100_01010_00000000000000000000000000000000
+00000000000000010000010001011100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/beq/dmem.coe b/verilog/dv/test_c0/coe/beq/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/beq/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/beq/imem.coe b/verilog/dv/test_c0/coe/beq/imem.coe
new file mode 100644
index 0000000..54fedcc
--- /dev/null
+++ b/verilog/dv/test_c0/coe/beq/imem.coe
@@ -0,0 +1,289 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00200193,
+00000093,
+00000113,
+00208663,
+2A301863,
+00301663,
+FE208EE3,
+2A301263,
+00300193,
+00100093,
+00100113,
+00208663,
+28301863,
+00301663,
+FE208EE3,
+28301263,
+00400193,
+FFF00093,
+FFF00113,
+00208663,
+26301863,
+00301663,
+FE208EE3,
+26301263,
+00500193,
+00000093,
+00100113,
+00208463,
+00301463,
+24301663,
+FE208EE3,
+00600193,
+00100093,
+00000113,
+00208463,
+00301463,
+22301863,
+FE208EE3,
+00700193,
+FFF00093,
+00100113,
+00208463,
+00301463,
+20301A63,
+FE208EE3,
+00800193,
+00100093,
+FFF00113,
+00208463,
+00301463,
+1E301C63,
+FE208EE3,
+00900193,
+00000213,
+00000093,
+FFF00113,
+1E208063,
+00120213,
+00200293,
+FE5216E3,
+00A00193,
+00000213,
+00000093,
+FFF00113,
+00000013,
+1A208E63,
+00120213,
+00200293,
+FE5214E3,
+00B00193,
+00000213,
+00000093,
+FFF00113,
+00000013,
+00000013,
+18208A63,
+00120213,
+00200293,
+FE5212E3,
+00C00193,
+00000213,
+00000093,
+00000013,
+FFF00113,
+16208863,
+00120213,
+00200293,
+FE5214E3,
+00D00193,
+00000213,
+00000093,
+00000013,
+FFF00113,
+00000013,
+14208463,
+00120213,
+00200293,
+FE5212E3,
+00E00193,
+00000213,
+00000093,
+00000013,
+00000013,
+FFF00113,
+12208063,
+00120213,
+00200293,
+FE5212E3,
+00F00193,
+00000213,
+00000093,
+FFF00113,
+10208063,
+00120213,
+00200293,
+FE5216E3,
+01000193,
+00000213,
+00000093,
+FFF00113,
+00000013,
+0C208E63,
+00120213,
+00200293,
+FE5214E3,
+01100193,
+00000213,
+00000093,
+FFF00113,
+00000013,
+00000013,
+0A208A63,
+00120213,
+00200293,
+FE5212E3,
+01200193,
+00000213,
+00000093,
+00000013,
+FFF00113,
+08208863,
+00120213,
+00200293,
+FE5214E3,
+01300193,
+00000213,
+00000093,
+00000013,
+FFF00113,
+00000013,
+06208463,
+00120213,
+00200293,
+FE5212E3,
+01400193,
+00000213,
+00000093,
+00000013,
+00000013,
+FFF00113,
+04208063,
+00120213,
+00200293,
+FE5212E3,
+00100093,
+00000A63,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00300393,
+01500193,
+00709463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/bge/cekirdek_dy.txt b/verilog/dv/test_c0/coe/bge/cekirdek_dy.txt
new file mode 100644
index 0000000..e15dca8
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bge/cekirdek_dy.txt
@@ -0,0 +1,671 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011001100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000001100001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000001001001100011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110001100000001100001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110001100000001001001100011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100001100000001100001100011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100001100000001001001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011001100011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001100001100011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001001001100011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001100001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001001001100011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000100010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001100001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001001001100011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101010001100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001100000001011001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101010001100011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001100000001100001100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101010001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000001100000001101001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000010010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101010001100011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001100000001110001100011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001101111011100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001101111011100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001101000001100011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001101000001100011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001101111001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001101111001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001101101001100011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001101101001100011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001101100001100011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001101100001100011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100001000001101010001100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100001000001101010001100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001101000001100011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001101000001100011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001101000001100011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001101000001100011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001101111001100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001101111001100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010001000001101101001100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010001000001101101001100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001101100001100011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001101100001100011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001101010001100011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001101010001100011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001101000001100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111111100000000000010010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001101000001100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001101101001100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001010001100011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010010110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010010111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010010111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010011000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010011000100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/bge/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/bge/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..b8ba910
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bge/cekirdek_ps_bin.txt
@@ -0,0 +1,340 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110001100
+00000000000000010000000110001000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110101100
+00000000000000010000000110101000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111001100
+00000000000000010000000111001000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111101100
+00000000000000010000000111101000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000001100
+00000000000000010000001000001000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000101100
+00000000000000010000001000101000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010010111100
diff --git a/verilog/dv/test_c0/coe/bge/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/bge/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..afe5565
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bge/cekirdek_ps_hex.txt
@@ -0,0 +1,340 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+0001018c
+00010188
+00010194
+00010198
+0001019c
+000101a0
+000101ac
+000101a8
+000101b4
+000101b8
+000101bc
+000101c0
+000101cc
+000101c8
+000101d4
+000101d8
+000101dc
+000101e0
+000101ec
+000101e8
+000101f4
+000101f8
+000101fc
+00010200
+0001020c
+00010208
+00010214
+00010218
+0001021c
+00010220
+0001022c
+00010228
+00010234
+00010238
+0001023c
+00010240
+00010244
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+000104b0
+000104b4
+000104b8
+000104bc
+000104bc
diff --git a/verilog/dv/test_c0/coe/bge/cekirdek_yo.txt b/verilog/dv/test_c0/coe/bge/cekirdek_yo.txt
new file mode 100644
index 0000000..f1b00b7
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bge/cekirdek_yo.txt
@@ -0,0 +1,240 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00011_00000000000000000000000000000010
+00000000000000010000000101111000_00001_00000000000000000000000000000000
+00000000000000010000000101111100_00010_00000000000000000000000000000000
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011000_00001_00000000000000000000000000000001
+00000000000000010000000110011100_00010_00000000000000000000000000000001
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111000_00001_11111111111111111111111111111111
+00000000000000010000000110111100_00010_11111111111111111111111111111111
+00000000000000010000000111010100_00011_00000000000000000000000000000101
+00000000000000010000000111011000_00001_00000000000000000000000000000001
+00000000000000010000000111011100_00010_00000000000000000000000000000000
+00000000000000010000000111110100_00011_00000000000000000000000000000110
+00000000000000010000000111111000_00001_00000000000000000000000000000001
+00000000000000010000000111111100_00010_11111111111111111111111111111111
+00000000000000010000001000010100_00011_00000000000000000000000000000111
+00000000000000010000001000011000_00001_11111111111111111111111111111111
+00000000000000010000001000011100_00010_11111111111111111111111111111110
+00000000000000010000001000110100_00011_00000000000000000000000000001000
+00000000000000010000001000111000_00001_00000000000000000000000000000000
+00000000000000010000001000111100_00010_00000000000000000000000000000001
+00000000000000010000001001010000_00011_00000000000000000000000000001001
+00000000000000010000001001010100_00001_11111111111111111111111111111111
+00000000000000010000001001011000_00010_00000000000000000000000000000001
+00000000000000010000001001101100_00011_00000000000000000000000000001010
+00000000000000010000001001110000_00001_11111111111111111111111111111110
+00000000000000010000001001110100_00010_11111111111111111111111111111111
+00000000000000010000001010001000_00011_00000000000000000000000000001011
+00000000000000010000001010001100_00001_11111111111111111111111111111110
+00000000000000010000001010010000_00010_00000000000000000000000000000001
+00000000000000010000001010100100_00011_00000000000000000000000000001100
+00000000000000010000001010101000_00100_00000000000000000000000000000000
+00000000000000010000001010101100_00001_11111111111111111111111111111111
+00000000000000010000001010110000_00010_00000000000000000000000000000000
+00000000000000010000001010111000_00100_00000000000000000000000000000001
+00000000000000010000001010111100_00101_00000000000000000000000000000010
+00000000000000010000001010101100_00001_11111111111111111111111111111111
+00000000000000010000001010110000_00010_00000000000000000000000000000000
+00000000000000010000001010111000_00100_00000000000000000000000000000010
+00000000000000010000001010111100_00101_00000000000000000000000000000010
+00000000000000010000001011000100_00011_00000000000000000000000000001101
+00000000000000010000001011001000_00100_00000000000000000000000000000000
+00000000000000010000001011001100_00001_11111111111111111111111111111111
+00000000000000010000001011010000_00010_00000000000000000000000000000000
+00000000000000010000001011010100_00000_00000000000000000000000000000000
+00000000000000010000001011011100_00100_00000000000000000000000000000001
+00000000000000010000001011100000_00101_00000000000000000000000000000010
+00000000000000010000001011001100_00001_11111111111111111111111111111111
+00000000000000010000001011010000_00010_00000000000000000000000000000000
+00000000000000010000001011010100_00000_00000000000000000000000000000000
+00000000000000010000001011011100_00100_00000000000000000000000000000010
+00000000000000010000001011100000_00101_00000000000000000000000000000010
+00000000000000010000001011101000_00011_00000000000000000000000000001110
+00000000000000010000001011101100_00100_00000000000000000000000000000000
+00000000000000010000001011110000_00001_11111111111111111111111111111111
+00000000000000010000001011110100_00010_00000000000000000000000000000000
+00000000000000010000001011111000_00000_00000000000000000000000000000000
+00000000000000010000001011111100_00000_00000000000000000000000000000000
+00000000000000010000001100000100_00100_00000000000000000000000000000001
+00000000000000010000001100001000_00101_00000000000000000000000000000010
+00000000000000010000001011110000_00001_11111111111111111111111111111111
+00000000000000010000001011110100_00010_00000000000000000000000000000000
+00000000000000010000001011111000_00000_00000000000000000000000000000000
+00000000000000010000001011111100_00000_00000000000000000000000000000000
+00000000000000010000001100000100_00100_00000000000000000000000000000010
+00000000000000010000001100001000_00101_00000000000000000000000000000010
+00000000000000010000001100010000_00011_00000000000000000000000000001111
+00000000000000010000001100010100_00100_00000000000000000000000000000000
+00000000000000010000001100011000_00001_11111111111111111111111111111111
+00000000000000010000001100011100_00000_00000000000000000000000000000000
+00000000000000010000001100100000_00010_00000000000000000000000000000000
+00000000000000010000001100101000_00100_00000000000000000000000000000001
+00000000000000010000001100101100_00101_00000000000000000000000000000010
+00000000000000010000001100011000_00001_11111111111111111111111111111111
+00000000000000010000001100011100_00000_00000000000000000000000000000000
+00000000000000010000001100100000_00010_00000000000000000000000000000000
+00000000000000010000001100101000_00100_00000000000000000000000000000010
+00000000000000010000001100101100_00101_00000000000000000000000000000010
+00000000000000010000001100110100_00011_00000000000000000000000000010000
+00000000000000010000001100111000_00100_00000000000000000000000000000000
+00000000000000010000001100111100_00001_11111111111111111111111111111111
+00000000000000010000001101000000_00000_00000000000000000000000000000000
+00000000000000010000001101000100_00010_00000000000000000000000000000000
+00000000000000010000001101001000_00000_00000000000000000000000000000000
+00000000000000010000001101010000_00100_00000000000000000000000000000001
+00000000000000010000001101010100_00101_00000000000000000000000000000010
+00000000000000010000001100111100_00001_11111111111111111111111111111111
+00000000000000010000001101000000_00000_00000000000000000000000000000000
+00000000000000010000001101000100_00010_00000000000000000000000000000000
+00000000000000010000001101001000_00000_00000000000000000000000000000000
+00000000000000010000001101010000_00100_00000000000000000000000000000010
+00000000000000010000001101010100_00101_00000000000000000000000000000010
+00000000000000010000001101011100_00011_00000000000000000000000000010001
+00000000000000010000001101100000_00100_00000000000000000000000000000000
+00000000000000010000001101100100_00001_11111111111111111111111111111111
+00000000000000010000001101101000_00000_00000000000000000000000000000000
+00000000000000010000001101101100_00000_00000000000000000000000000000000
+00000000000000010000001101110000_00010_00000000000000000000000000000000
+00000000000000010000001101111000_00100_00000000000000000000000000000001
+00000000000000010000001101111100_00101_00000000000000000000000000000010
+00000000000000010000001101100100_00001_11111111111111111111111111111111
+00000000000000010000001101101000_00000_00000000000000000000000000000000
+00000000000000010000001101101100_00000_00000000000000000000000000000000
+00000000000000010000001101110000_00010_00000000000000000000000000000000
+00000000000000010000001101111000_00100_00000000000000000000000000000010
+00000000000000010000001101111100_00101_00000000000000000000000000000010
+00000000000000010000001110000100_00011_00000000000000000000000000010010
+00000000000000010000001110001000_00100_00000000000000000000000000000000
+00000000000000010000001110001100_00001_11111111111111111111111111111111
+00000000000000010000001110010000_00010_00000000000000000000000000000000
+00000000000000010000001110011000_00100_00000000000000000000000000000001
+00000000000000010000001110011100_00101_00000000000000000000000000000010
+00000000000000010000001110001100_00001_11111111111111111111111111111111
+00000000000000010000001110010000_00010_00000000000000000000000000000000
+00000000000000010000001110011000_00100_00000000000000000000000000000010
+00000000000000010000001110011100_00101_00000000000000000000000000000010
+00000000000000010000001110100100_00011_00000000000000000000000000010011
+00000000000000010000001110101000_00100_00000000000000000000000000000000
+00000000000000010000001110101100_00001_11111111111111111111111111111111
+00000000000000010000001110110000_00010_00000000000000000000000000000000
+00000000000000010000001110110100_00000_00000000000000000000000000000000
+00000000000000010000001110111100_00100_00000000000000000000000000000001
+00000000000000010000001111000000_00101_00000000000000000000000000000010
+00000000000000010000001110101100_00001_11111111111111111111111111111111
+00000000000000010000001110110000_00010_00000000000000000000000000000000
+00000000000000010000001110110100_00000_00000000000000000000000000000000
+00000000000000010000001110111100_00100_00000000000000000000000000000010
+00000000000000010000001111000000_00101_00000000000000000000000000000010
+00000000000000010000001111001000_00011_00000000000000000000000000010100
+00000000000000010000001111001100_00100_00000000000000000000000000000000
+00000000000000010000001111010000_00001_11111111111111111111111111111111
+00000000000000010000001111010100_00010_00000000000000000000000000000000
+00000000000000010000001111011000_00000_00000000000000000000000000000000
+00000000000000010000001111011100_00000_00000000000000000000000000000000
+00000000000000010000001111100100_00100_00000000000000000000000000000001
+00000000000000010000001111101000_00101_00000000000000000000000000000010
+00000000000000010000001111010000_00001_11111111111111111111111111111111
+00000000000000010000001111010100_00010_00000000000000000000000000000000
+00000000000000010000001111011000_00000_00000000000000000000000000000000
+00000000000000010000001111011100_00000_00000000000000000000000000000000
+00000000000000010000001111100100_00100_00000000000000000000000000000010
+00000000000000010000001111101000_00101_00000000000000000000000000000010
+00000000000000010000001111110000_00011_00000000000000000000000000010101
+00000000000000010000001111110100_00100_00000000000000000000000000000000
+00000000000000010000001111111000_00001_11111111111111111111111111111111
+00000000000000010000001111111100_00000_00000000000000000000000000000000
+00000000000000010000010000000000_00010_00000000000000000000000000000000
+00000000000000010000010000001000_00100_00000000000000000000000000000001
+00000000000000010000010000001100_00101_00000000000000000000000000000010
+00000000000000010000001111111000_00001_11111111111111111111111111111111
+00000000000000010000001111111100_00000_00000000000000000000000000000000
+00000000000000010000010000000000_00010_00000000000000000000000000000000
+00000000000000010000010000001000_00100_00000000000000000000000000000010
+00000000000000010000010000001100_00101_00000000000000000000000000000010
+00000000000000010000010000010100_00011_00000000000000000000000000010110
+00000000000000010000010000011000_00100_00000000000000000000000000000000
+00000000000000010000010000011100_00001_11111111111111111111111111111111
+00000000000000010000010000100000_00000_00000000000000000000000000000000
+00000000000000010000010000100100_00010_00000000000000000000000000000000
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000110000_00100_00000000000000000000000000000001
+00000000000000010000010000110100_00101_00000000000000000000000000000010
+00000000000000010000010000011100_00001_11111111111111111111111111111111
+00000000000000010000010000100000_00000_00000000000000000000000000000000
+00000000000000010000010000100100_00010_00000000000000000000000000000000
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000110000_00100_00000000000000000000000000000010
+00000000000000010000010000110100_00101_00000000000000000000000000000010
+00000000000000010000010000111100_00011_00000000000000000000000000010111
+00000000000000010000010001000000_00100_00000000000000000000000000000000
+00000000000000010000010001000100_00001_11111111111111111111111111111111
+00000000000000010000010001001000_00000_00000000000000000000000000000000
+00000000000000010000010001001100_00000_00000000000000000000000000000000
+00000000000000010000010001010000_00010_00000000000000000000000000000000
+00000000000000010000010001011000_00100_00000000000000000000000000000001
+00000000000000010000010001011100_00101_00000000000000000000000000000010
+00000000000000010000010001000100_00001_11111111111111111111111111111111
+00000000000000010000010001001000_00000_00000000000000000000000000000000
+00000000000000010000010001001100_00000_00000000000000000000000000000000
+00000000000000010000010001010000_00010_00000000000000000000000000000000
+00000000000000010000010001011000_00100_00000000000000000000000000000010
+00000000000000010000010001011100_00101_00000000000000000000000000000010
+00000000000000010000010001100100_00001_00000000000000000000000000000001
+00000000000000010000010001111100_00001_00000000000000000000000000000010
+00000000000000010000010010000000_00001_00000000000000000000000000000011
+00000000000000010000010010000100_00111_00000000000000000000000000000011
+00000000000000010000010010001000_00011_00000000000000000000000000011000
+00000000000000010000010010110100_00011_00000000000000000000000000000001
+00000000000000010000010010111000_10001_00000000000000000000000001011101
+00000000000000010000010010111100_01010_00000000000000000000000000000000
+00000000000000010000010010111100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/bge/dmem.coe b/verilog/dv/test_c0/coe/bge/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bge/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/bge/imem.coe b/verilog/dv/test_c0/coe/bge/imem.coe
new file mode 100644
index 0000000..b556d99
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bge/imem.coe
@@ -0,0 +1,321 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00200193,
+00000093,
+00000113,
+0020D663,
+30301863,
+00301663,
+FE20DEE3,
+30301263,
+00300193,
+00100093,
+00100113,
+0020D663,
+2E301863,
+00301663,
+FE20DEE3,
+2E301263,
+00400193,
+FFF00093,
+FFF00113,
+0020D663,
+2C301863,
+00301663,
+FE20DEE3,
+2C301263,
+00500193,
+00100093,
+00000113,
+0020D663,
+2A301863,
+00301663,
+FE20DEE3,
+2A301263,
+00600193,
+00100093,
+FFF00113,
+0020D663,
+28301863,
+00301663,
+FE20DEE3,
+28301263,
+00700193,
+FFF00093,
+FFE00113,
+0020D663,
+26301863,
+00301663,
+FE20DEE3,
+26301263,
+00800193,
+00000093,
+00100113,
+0020D463,
+00301463,
+24301663,
+FE20DEE3,
+00900193,
+FFF00093,
+00100113,
+0020D463,
+00301463,
+22301863,
+FE20DEE3,
+00A00193,
+FFE00093,
+FFF00113,
+0020D463,
+00301463,
+20301A63,
+FE20DEE3,
+00B00193,
+FFE00093,
+00100113,
+0020D463,
+00301463,
+1E301C63,
+FE20DEE3,
+00C00193,
+00000213,
+FFF00093,
+00000113,
+1E20D063,
+00120213,
+00200293,
+FE5216E3,
+00D00193,
+00000213,
+FFF00093,
+00000113,
+00000013,
+1A20DE63,
+00120213,
+00200293,
+FE5214E3,
+00E00193,
+00000213,
+FFF00093,
+00000113,
+00000013,
+00000013,
+1820DA63,
+00120213,
+00200293,
+FE5212E3,
+00F00193,
+00000213,
+FFF00093,
+00000013,
+00000113,
+1620D863,
+00120213,
+00200293,
+FE5214E3,
+01000193,
+00000213,
+FFF00093,
+00000013,
+00000113,
+00000013,
+1420D463,
+00120213,
+00200293,
+FE5212E3,
+01100193,
+00000213,
+FFF00093,
+00000013,
+00000013,
+00000113,
+1220D063,
+00120213,
+00200293,
+FE5212E3,
+01200193,
+00000213,
+FFF00093,
+00000113,
+1020D063,
+00120213,
+00200293,
+FE5216E3,
+01300193,
+00000213,
+FFF00093,
+00000113,
+00000013,
+0C20DE63,
+00120213,
+00200293,
+FE5214E3,
+01400193,
+00000213,
+FFF00093,
+00000113,
+00000013,
+00000013,
+0A20DA63,
+00120213,
+00200293,
+FE5212E3,
+01500193,
+00000213,
+FFF00093,
+00000013,
+00000113,
+0820D863,
+00120213,
+00200293,
+FE5214E3,
+01600193,
+00000213,
+FFF00093,
+00000013,
+00000113,
+00000013,
+0620D463,
+00120213,
+00200293,
+FE5212E3,
+01700193,
+00000213,
+FFF00093,
+00000013,
+00000013,
+00000113,
+0420D063,
+00120213,
+00200293,
+FE5212E3,
+00100093,
+0000DA63,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00300393,
+01800193,
+00709463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/bgeu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/bgeu/cekirdek_dy.txt
new file mode 100644
index 0000000..9fbcce7
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bgeu/cekirdek_dy.txt
@@ -0,0 +1,696 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011001100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001100000001001001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010001100000001110001100011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010001100000001001001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000001110001100011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000001001001100011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110001100000001110001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011001100011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110001100000001001001100011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100001100000001110001100011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000100010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100001100000001001001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001110001100011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111011001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001001001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001110001100011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111010001100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001000001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000010010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111010001100011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001001001100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111010001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001100000001010001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000100110111_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001111010001100011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001100000001010001100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001111111011100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001111111011100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000001000001111011001100011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000001000001111011001100011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001111001001100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001111001001100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001111110001100011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001111110001100011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001111100001100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001111100001100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001111001001100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001111001001100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001111110001100011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001111110001100011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001111101001100011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001111101001100011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110001000001111011001100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110001000001111011001100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001111000001100011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001111000001100011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001111110001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001111110001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001111011001100011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001111011001100011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001111000001100011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001111000001100011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001111101001100011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001010001100011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010011101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010011110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010011110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010011111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/bgeu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/bgeu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..29e0206
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bgeu/cekirdek_ps_bin.txt
@@ -0,0 +1,365 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110001100
+00000000000000010000000110001000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110101100
+00000000000000010000000110101000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111001100
+00000000000000010000000111001000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111101100
+00000000000000010000000111101000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000001100
+00000000000000010000001000001000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000101100
+00000000000000010000001000101000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110000
diff --git a/verilog/dv/test_c0/coe/bgeu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/bgeu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..f646b68
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bgeu/cekirdek_ps_hex.txt
@@ -0,0 +1,365 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+0001018c
+00010188
+00010194
+00010198
+0001019c
+000101a0
+000101ac
+000101a8
+000101b4
+000101b8
+000101bc
+000101c0
+000101cc
+000101c8
+000101d4
+000101d8
+000101dc
+000101e0
+000101ec
+000101e8
+000101f4
+000101f8
+000101fc
+00010200
+0001020c
+00010208
+00010214
+00010218
+0001021c
+00010220
+0001022c
+00010228
+00010234
+00010238
+0001023c
+00010240
+00010244
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104e4
+000104e8
+000104ec
+000104f0
+000104f0
diff --git a/verilog/dv/test_c0/coe/bgeu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/bgeu/cekirdek_yo.txt
new file mode 100644
index 0000000..cba5476
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bgeu/cekirdek_yo.txt
@@ -0,0 +1,265 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00011_00000000000000000000000000000010
+00000000000000010000000101111000_00001_00000000000000000000000000000000
+00000000000000010000000101111100_00010_00000000000000000000000000000000
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011000_00001_00000000000000000000000000000001
+00000000000000010000000110011100_00010_00000000000000000000000000000001
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111000_00001_11111111111111111111111111111111
+00000000000000010000000110111100_00010_11111111111111111111111111111111
+00000000000000010000000111010100_00011_00000000000000000000000000000101
+00000000000000010000000111011000_00001_00000000000000000000000000000001
+00000000000000010000000111011100_00010_00000000000000000000000000000000
+00000000000000010000000111110100_00011_00000000000000000000000000000110
+00000000000000010000000111111000_00001_11111111111111111111111111111111
+00000000000000010000000111111100_00010_11111111111111111111111111111110
+00000000000000010000001000010100_00011_00000000000000000000000000000111
+00000000000000010000001000011000_00001_11111111111111111111111111111111
+00000000000000010000001000011100_00010_00000000000000000000000000000000
+00000000000000010000001000110100_00011_00000000000000000000000000001000
+00000000000000010000001000111000_00001_00000000000000000000000000000000
+00000000000000010000001000111100_00010_00000000000000000000000000000001
+00000000000000010000001001010000_00011_00000000000000000000000000001001
+00000000000000010000001001010100_00001_11111111111111111111111111111110
+00000000000000010000001001011000_00010_11111111111111111111111111111111
+00000000000000010000001001101100_00011_00000000000000000000000000001010
+00000000000000010000001001110000_00001_00000000000000000000000000000000
+00000000000000010000001001110100_00010_11111111111111111111111111111111
+00000000000000010000001010001000_00011_00000000000000000000000000001011
+00000000000000010000001010001100_00001_10000000000000000000000000000000
+00000000000000010000001010010000_00001_01111111111111111111111111111111
+00000000000000010000001010010100_00010_10000000000000000000000000000000
+00000000000000010000001010101000_00011_00000000000000000000000000001100
+00000000000000010000001010101100_00100_00000000000000000000000000000000
+00000000000000010000001010110000_00001_11110000000000000000000000000000
+00000000000000010000001010110100_00001_11101111111111111111111111111111
+00000000000000010000001010111000_00010_11110000000000000000000000000000
+00000000000000010000001011000000_00100_00000000000000000000000000000001
+00000000000000010000001011000100_00101_00000000000000000000000000000010
+00000000000000010000001010110000_00001_11110000000000000000000000000000
+00000000000000010000001010110100_00001_11101111111111111111111111111111
+00000000000000010000001010111000_00010_11110000000000000000000000000000
+00000000000000010000001011000000_00100_00000000000000000000000000000010
+00000000000000010000001011000100_00101_00000000000000000000000000000010
+00000000000000010000001011001100_00011_00000000000000000000000000001101
+00000000000000010000001011010000_00100_00000000000000000000000000000000
+00000000000000010000001011010100_00001_11110000000000000000000000000000
+00000000000000010000001011011000_00001_11101111111111111111111111111111
+00000000000000010000001011011100_00010_11110000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011101000_00100_00000000000000000000000000000001
+00000000000000010000001011101100_00101_00000000000000000000000000000010
+00000000000000010000001011010100_00001_11110000000000000000000000000000
+00000000000000010000001011011000_00001_11101111111111111111111111111111
+00000000000000010000001011011100_00010_11110000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011101000_00100_00000000000000000000000000000010
+00000000000000010000001011101100_00101_00000000000000000000000000000010
+00000000000000010000001011110100_00011_00000000000000000000000000001110
+00000000000000010000001011111000_00100_00000000000000000000000000000000
+00000000000000010000001011111100_00001_11110000000000000000000000000000
+00000000000000010000001100000000_00001_11101111111111111111111111111111
+00000000000000010000001100000100_00010_11110000000000000000000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010100_00100_00000000000000000000000000000001
+00000000000000010000001100011000_00101_00000000000000000000000000000010
+00000000000000010000001011111100_00001_11110000000000000000000000000000
+00000000000000010000001100000000_00001_11101111111111111111111111111111
+00000000000000010000001100000100_00010_11110000000000000000000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010100_00100_00000000000000000000000000000010
+00000000000000010000001100011000_00101_00000000000000000000000000000010
+00000000000000010000001100100000_00011_00000000000000000000000000001111
+00000000000000010000001100100100_00100_00000000000000000000000000000000
+00000000000000010000001100101000_00001_11110000000000000000000000000000
+00000000000000010000001100101100_00001_11101111111111111111111111111111
+00000000000000010000001100110000_00000_00000000000000000000000000000000
+00000000000000010000001100110100_00010_11110000000000000000000000000000
+00000000000000010000001100111100_00100_00000000000000000000000000000001
+00000000000000010000001101000000_00101_00000000000000000000000000000010
+00000000000000010000001100101000_00001_11110000000000000000000000000000
+00000000000000010000001100101100_00001_11101111111111111111111111111111
+00000000000000010000001100110000_00000_00000000000000000000000000000000
+00000000000000010000001100110100_00010_11110000000000000000000000000000
+00000000000000010000001100111100_00100_00000000000000000000000000000010
+00000000000000010000001101000000_00101_00000000000000000000000000000010
+00000000000000010000001101001000_00011_00000000000000000000000000010000
+00000000000000010000001101001100_00100_00000000000000000000000000000000
+00000000000000010000001101010000_00001_11110000000000000000000000000000
+00000000000000010000001101010100_00001_11101111111111111111111111111111
+00000000000000010000001101011000_00000_00000000000000000000000000000000
+00000000000000010000001101011100_00010_11110000000000000000000000000000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101101000_00100_00000000000000000000000000000001
+00000000000000010000001101101100_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00001_11110000000000000000000000000000
+00000000000000010000001101010100_00001_11101111111111111111111111111111
+00000000000000010000001101011000_00000_00000000000000000000000000000000
+00000000000000010000001101011100_00010_11110000000000000000000000000000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101101000_00100_00000000000000000000000000000010
+00000000000000010000001101101100_00101_00000000000000000000000000000010
+00000000000000010000001101110100_00011_00000000000000000000000000010001
+00000000000000010000001101111000_00100_00000000000000000000000000000000
+00000000000000010000001101111100_00001_11110000000000000000000000000000
+00000000000000010000001110000000_00001_11101111111111111111111111111111
+00000000000000010000001110000100_00000_00000000000000000000000000000000
+00000000000000010000001110001000_00000_00000000000000000000000000000000
+00000000000000010000001110001100_00010_11110000000000000000000000000000
+00000000000000010000001110010100_00100_00000000000000000000000000000001
+00000000000000010000001110011000_00101_00000000000000000000000000000010
+00000000000000010000001101111100_00001_11110000000000000000000000000000
+00000000000000010000001110000000_00001_11101111111111111111111111111111
+00000000000000010000001110000100_00000_00000000000000000000000000000000
+00000000000000010000001110001000_00000_00000000000000000000000000000000
+00000000000000010000001110001100_00010_11110000000000000000000000000000
+00000000000000010000001110010100_00100_00000000000000000000000000000010
+00000000000000010000001110011000_00101_00000000000000000000000000000010
+00000000000000010000001110100000_00011_00000000000000000000000000010010
+00000000000000010000001110100100_00100_00000000000000000000000000000000
+00000000000000010000001110101000_00001_11110000000000000000000000000000
+00000000000000010000001110101100_00001_11101111111111111111111111111111
+00000000000000010000001110110000_00010_11110000000000000000000000000000
+00000000000000010000001110111000_00100_00000000000000000000000000000001
+00000000000000010000001110111100_00101_00000000000000000000000000000010
+00000000000000010000001110101000_00001_11110000000000000000000000000000
+00000000000000010000001110101100_00001_11101111111111111111111111111111
+00000000000000010000001110110000_00010_11110000000000000000000000000000
+00000000000000010000001110111000_00100_00000000000000000000000000000010
+00000000000000010000001110111100_00101_00000000000000000000000000000010
+00000000000000010000001111000100_00011_00000000000000000000000000010011
+00000000000000010000001111001000_00100_00000000000000000000000000000000
+00000000000000010000001111001100_00001_11110000000000000000000000000000
+00000000000000010000001111010000_00001_11101111111111111111111111111111
+00000000000000010000001111010100_00010_11110000000000000000000000000000
+00000000000000010000001111011000_00000_00000000000000000000000000000000
+00000000000000010000001111100000_00100_00000000000000000000000000000001
+00000000000000010000001111100100_00101_00000000000000000000000000000010
+00000000000000010000001111001100_00001_11110000000000000000000000000000
+00000000000000010000001111010000_00001_11101111111111111111111111111111
+00000000000000010000001111010100_00010_11110000000000000000000000000000
+00000000000000010000001111011000_00000_00000000000000000000000000000000
+00000000000000010000001111100000_00100_00000000000000000000000000000010
+00000000000000010000001111100100_00101_00000000000000000000000000000010
+00000000000000010000001111101100_00011_00000000000000000000000000010100
+00000000000000010000001111110000_00100_00000000000000000000000000000000
+00000000000000010000001111110100_00001_11110000000000000000000000000000
+00000000000000010000001111111000_00001_11101111111111111111111111111111
+00000000000000010000001111111100_00010_11110000000000000000000000000000
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001100_00100_00000000000000000000000000000001
+00000000000000010000010000010000_00101_00000000000000000000000000000010
+00000000000000010000001111110100_00001_11110000000000000000000000000000
+00000000000000010000001111111000_00001_11101111111111111111111111111111
+00000000000000010000001111111100_00010_11110000000000000000000000000000
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001100_00100_00000000000000000000000000000010
+00000000000000010000010000010000_00101_00000000000000000000000000000010
+00000000000000010000010000011000_00011_00000000000000000000000000010101
+00000000000000010000010000011100_00100_00000000000000000000000000000000
+00000000000000010000010000100000_00001_11110000000000000000000000000000
+00000000000000010000010000100100_00001_11101111111111111111111111111111
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00010_11110000000000000000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000001
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010000100000_00001_11110000000000000000000000000000
+00000000000000010000010000100100_00001_11101111111111111111111111111111
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00010_11110000000000000000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000010
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010001000000_00011_00000000000000000000000000010110
+00000000000000010000010001000100_00100_00000000000000000000000000000000
+00000000000000010000010001001000_00001_11110000000000000000000000000000
+00000000000000010000010001001100_00001_11101111111111111111111111111111
+00000000000000010000010001010000_00000_00000000000000000000000000000000
+00000000000000010000010001010100_00010_11110000000000000000000000000000
+00000000000000010000010001011000_00000_00000000000000000000000000000000
+00000000000000010000010001100000_00100_00000000000000000000000000000001
+00000000000000010000010001100100_00101_00000000000000000000000000000010
+00000000000000010000010001001000_00001_11110000000000000000000000000000
+00000000000000010000010001001100_00001_11101111111111111111111111111111
+00000000000000010000010001010000_00000_00000000000000000000000000000000
+00000000000000010000010001010100_00010_11110000000000000000000000000000
+00000000000000010000010001011000_00000_00000000000000000000000000000000
+00000000000000010000010001100000_00100_00000000000000000000000000000010
+00000000000000010000010001100100_00101_00000000000000000000000000000010
+00000000000000010000010001101100_00011_00000000000000000000000000010111
+00000000000000010000010001110000_00100_00000000000000000000000000000000
+00000000000000010000010001110100_00001_11110000000000000000000000000000
+00000000000000010000010001111000_00001_11101111111111111111111111111111
+00000000000000010000010001111100_00000_00000000000000000000000000000000
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_00010_11110000000000000000000000000000
+00000000000000010000010010001100_00100_00000000000000000000000000000001
+00000000000000010000010010010000_00101_00000000000000000000000000000010
+00000000000000010000010001110100_00001_11110000000000000000000000000000
+00000000000000010000010001111000_00001_11101111111111111111111111111111
+00000000000000010000010001111100_00000_00000000000000000000000000000000
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_00010_11110000000000000000000000000000
+00000000000000010000010010001100_00100_00000000000000000000000000000010
+00000000000000010000010010010000_00101_00000000000000000000000000000010
+00000000000000010000010010011000_00001_00000000000000000000000000000001
+00000000000000010000010010110000_00001_00000000000000000000000000000010
+00000000000000010000010010110100_00001_00000000000000000000000000000011
+00000000000000010000010010111000_00111_00000000000000000000000000000011
+00000000000000010000010010111100_00011_00000000000000000000000000011000
+00000000000000010000010011101000_00011_00000000000000000000000000000001
+00000000000000010000010011101100_10001_00000000000000000000000001011101
+00000000000000010000010011110000_01010_00000000000000000000000000000000
+00000000000000010000010011110000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/bgeu/dmem.coe b/verilog/dv/test_c0/coe/bgeu/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bgeu/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/bgeu/imem.coe b/verilog/dv/test_c0/coe/bgeu/imem.coe
new file mode 100644
index 0000000..9f3bb50
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bgeu/imem.coe
@@ -0,0 +1,321 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00200193,
+00000093,
+00000113,
+0020F663,
+34301263,
+00301663,
+FE20FEE3,
+32301C63,
+00300193,
+00100093,
+00100113,
+0020F663,
+32301263,
+00301663,
+FE20FEE3,
+30301C63,
+00400193,
+FFF00093,
+FFF00113,
+0020F663,
+30301263,
+00301663,
+FE20FEE3,
+2E301C63,
+00500193,
+00100093,
+00000113,
+0020F663,
+2E301263,
+00301663,
+FE20FEE3,
+2C301C63,
+00600193,
+FFF00093,
+FFE00113,
+0020F663,
+2C301263,
+00301663,
+FE20FEE3,
+2A301C63,
+00700193,
+FFF00093,
+00000113,
+0020F663,
+2A301263,
+00301663,
+FE20FEE3,
+28301C63,
+00800193,
+00000093,
+00100113,
+0020F463,
+00301463,
+28301063,
+FE20FEE3,
+00900193,
+FFE00093,
+FFF00113,
+0020F463,
+00301463,
+26301263,
+FE20FEE3,
+00A00193,
+00000093,
+FFF00113,
+0020F463,
+00301463,
+24301463,
+FE20FEE3,
+00B00193,
+800000B7,
+FFF08093,
+80000137,
+0020F463,
+00301463,
+22301463,
+FE20FEE3,
+00C00193,
+00000213,
+F00000B7,
+FFF08093,
+F0000137,
+2020F663,
+00120213,
+00200293,
+FE5214E3,
+00D00193,
+00000213,
+F00000B7,
+FFF08093,
+F0000137,
+00000013,
+1E20F263,
+00120213,
+00200293,
+FE5212E3,
+00E00193,
+00000213,
+F00000B7,
+FFF08093,
+F0000137,
+00000013,
+00000013,
+1A20FC63,
+00120213,
+00200293,
+FE5210E3,
+00F00193,
+00000213,
+F00000B7,
+FFF08093,
+00000013,
+F0000137,
+1820F863,
+00120213,
+00200293,
+FE5212E3,
+01000193,
+00000213,
+F00000B7,
+FFF08093,
+00000013,
+F0000137,
+00000013,
+1620F263,
+00120213,
+00200293,
+FE5210E3,
+01100193,
+00000213,
+F00000B7,
+FFF08093,
+00000013,
+00000013,
+F0000137,
+1220FC63,
+00120213,
+00200293,
+FE5210E3,
+01200193,
+00000213,
+F00000B7,
+FFF08093,
+F0000137,
+1020FA63,
+00120213,
+00200293,
+FE5214E3,
+01300193,
+00000213,
+F00000B7,
+FFF08093,
+F0000137,
+00000013,
+0E20F663,
+00120213,
+00200293,
+FE5212E3,
+01400193,
+00000213,
+F00000B7,
+FFF08093,
+F0000137,
+00000013,
+00000013,
+0C20F063,
+00120213,
+00200293,
+FE5210E3,
+01500193,
+00000213,
+F00000B7,
+FFF08093,
+00000013,
+F0000137,
+0820FC63,
+00120213,
+00200293,
+FE5212E3,
+01600193,
+00000213,
+F00000B7,
+FFF08093,
+00000013,
+F0000137,
+00000013,
+0620F663,
+00120213,
+00200293,
+FE5210E3,
+01700193,
+00000213,
+F00000B7,
+FFF08093,
+00000013,
+00000013,
+F0000137,
+0420F063,
+00120213,
+00200293,
+FE5210E3,
+00100093,
+0000FA63,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00300393,
+01800193,
+00709463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/blt/cekirdek_dy.txt b/verilog/dv/test_c0/coe/blt/cekirdek_dy.txt
new file mode 100644
index 0000000..b2a9918
--- /dev/null
+++ b/verilog/dv/test_c0/coe/blt/cekirdek_dy.txt
@@ -0,0 +1,599 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011001100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001100001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001001001100011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001100001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001001001100011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000010010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001100001100011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001001001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100010001100011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100010001100011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001100000001100001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000100010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100010001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000001100000001101001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000100010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100010001100011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001100000001110001100011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001100111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001100111011100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001100000001100011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001100000001100011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001100111001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001100111001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001100101001100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001100101001100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001100100001100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001100100001100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100001000001100010001100011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100001000001100010001100011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001100000001100011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001100000001100011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001100000001100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001100000001100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001100111001100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001100111001100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010001000001100101001100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010001000001100101001100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001100100001100011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001100100001100011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001100010001100011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001100010001100011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001100000001100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001100000001100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000100101001100011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001010001100011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010001010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/blt/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/blt/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..55f8604
--- /dev/null
+++ b/verilog/dv/test_c0/coe/blt/cekirdek_ps_bin.txt
@@ -0,0 +1,322 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110001100
+00000000000000010000000110001000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110101100
+00000000000000010000000110101000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111001100
+00000000000000010000000111001000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001011100
diff --git a/verilog/dv/test_c0/coe/blt/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/blt/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..6c7d49e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/blt/cekirdek_ps_hex.txt
@@ -0,0 +1,322 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+0001018c
+00010188
+00010194
+00010198
+0001019c
+000101a0
+000101ac
+000101a8
+000101b4
+000101b8
+000101bc
+000101c0
+000101cc
+000101c8
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010450
+00010454
+00010458
+0001045c
+0001045c
diff --git a/verilog/dv/test_c0/coe/blt/cekirdek_yo.txt b/verilog/dv/test_c0/coe/blt/cekirdek_yo.txt
new file mode 100644
index 0000000..52c6801
--- /dev/null
+++ b/verilog/dv/test_c0/coe/blt/cekirdek_yo.txt
@@ -0,0 +1,231 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00011_00000000000000000000000000000010
+00000000000000010000000101111000_00001_00000000000000000000000000000000
+00000000000000010000000101111100_00010_00000000000000000000000000000001
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011000_00001_11111111111111111111111111111111
+00000000000000010000000110011100_00010_00000000000000000000000000000001
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111000_00001_11111111111111111111111111111110
+00000000000000010000000110111100_00010_11111111111111111111111111111111
+00000000000000010000000111010100_00011_00000000000000000000000000000101
+00000000000000010000000111011000_00001_00000000000000000000000000000001
+00000000000000010000000111011100_00010_00000000000000000000000000000000
+00000000000000010000000111110000_00011_00000000000000000000000000000110
+00000000000000010000000111110100_00001_00000000000000000000000000000001
+00000000000000010000000111111000_00010_11111111111111111111111111111111
+00000000000000010000001000001100_00011_00000000000000000000000000000111
+00000000000000010000001000010000_00001_11111111111111111111111111111111
+00000000000000010000001000010100_00010_11111111111111111111111111111110
+00000000000000010000001000101000_00011_00000000000000000000000000001000
+00000000000000010000001000101100_00001_00000000000000000000000000000001
+00000000000000010000001000110000_00010_11111111111111111111111111111110
+00000000000000010000001001000100_00011_00000000000000000000000000001001
+00000000000000010000001001001000_00100_00000000000000000000000000000000
+00000000000000010000001001001100_00001_00000000000000000000000000000000
+00000000000000010000001001010000_00010_11111111111111111111111111111111
+00000000000000010000001001011000_00100_00000000000000000000000000000001
+00000000000000010000001001011100_00101_00000000000000000000000000000010
+00000000000000010000001001001100_00001_00000000000000000000000000000000
+00000000000000010000001001010000_00010_11111111111111111111111111111111
+00000000000000010000001001011000_00100_00000000000000000000000000000010
+00000000000000010000001001011100_00101_00000000000000000000000000000010
+00000000000000010000001001100100_00011_00000000000000000000000000001010
+00000000000000010000001001101000_00100_00000000000000000000000000000000
+00000000000000010000001001101100_00001_00000000000000000000000000000000
+00000000000000010000001001110000_00010_11111111111111111111111111111111
+00000000000000010000001001110100_00000_00000000000000000000000000000000
+00000000000000010000001001111100_00100_00000000000000000000000000000001
+00000000000000010000001010000000_00101_00000000000000000000000000000010
+00000000000000010000001001101100_00001_00000000000000000000000000000000
+00000000000000010000001001110000_00010_11111111111111111111111111111111
+00000000000000010000001001110100_00000_00000000000000000000000000000000
+00000000000000010000001001111100_00100_00000000000000000000000000000010
+00000000000000010000001010000000_00101_00000000000000000000000000000010
+00000000000000010000001010001000_00011_00000000000000000000000000001011
+00000000000000010000001010001100_00100_00000000000000000000000000000000
+00000000000000010000001010010000_00001_00000000000000000000000000000000
+00000000000000010000001010010100_00010_11111111111111111111111111111111
+00000000000000010000001010011000_00000_00000000000000000000000000000000
+00000000000000010000001010011100_00000_00000000000000000000000000000000
+00000000000000010000001010100100_00100_00000000000000000000000000000001
+00000000000000010000001010101000_00101_00000000000000000000000000000010
+00000000000000010000001010010000_00001_00000000000000000000000000000000
+00000000000000010000001010010100_00010_11111111111111111111111111111111
+00000000000000010000001010011000_00000_00000000000000000000000000000000
+00000000000000010000001010011100_00000_00000000000000000000000000000000
+00000000000000010000001010100100_00100_00000000000000000000000000000010
+00000000000000010000001010101000_00101_00000000000000000000000000000010
+00000000000000010000001010110000_00011_00000000000000000000000000001100
+00000000000000010000001010110100_00100_00000000000000000000000000000000
+00000000000000010000001010111000_00001_00000000000000000000000000000000
+00000000000000010000001010111100_00000_00000000000000000000000000000000
+00000000000000010000001011000000_00010_11111111111111111111111111111111
+00000000000000010000001011001000_00100_00000000000000000000000000000001
+00000000000000010000001011001100_00101_00000000000000000000000000000010
+00000000000000010000001010111000_00001_00000000000000000000000000000000
+00000000000000010000001010111100_00000_00000000000000000000000000000000
+00000000000000010000001011000000_00010_11111111111111111111111111111111
+00000000000000010000001011001000_00100_00000000000000000000000000000010
+00000000000000010000001011001100_00101_00000000000000000000000000000010
+00000000000000010000001011010100_00011_00000000000000000000000000001101
+00000000000000010000001011011000_00100_00000000000000000000000000000000
+00000000000000010000001011011100_00001_00000000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011100100_00010_11111111111111111111111111111111
+00000000000000010000001011101000_00000_00000000000000000000000000000000
+00000000000000010000001011110000_00100_00000000000000000000000000000001
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00001_00000000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011100100_00010_11111111111111111111111111111111
+00000000000000010000001011101000_00000_00000000000000000000000000000000
+00000000000000010000001011110000_00100_00000000000000000000000000000010
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011111100_00011_00000000000000000000000000001110
+00000000000000010000001100000000_00100_00000000000000000000000000000000
+00000000000000010000001100000100_00001_00000000000000000000000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00010_11111111111111111111111111111111
+00000000000000010000001100011000_00100_00000000000000000000000000000001
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100000100_00001_00000000000000000000000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00010_11111111111111111111111111111111
+00000000000000010000001100011000_00100_00000000000000000000000000000010
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100100100_00011_00000000000000000000000000001111
+00000000000000010000001100101000_00100_00000000000000000000000000000000
+00000000000000010000001100101100_00001_00000000000000000000000000000000
+00000000000000010000001100110000_00010_11111111111111111111111111111111
+00000000000000010000001100111000_00100_00000000000000000000000000000001
+00000000000000010000001100111100_00101_00000000000000000000000000000010
+00000000000000010000001100101100_00001_00000000000000000000000000000000
+00000000000000010000001100110000_00010_11111111111111111111111111111111
+00000000000000010000001100111000_00100_00000000000000000000000000000010
+00000000000000010000001100111100_00101_00000000000000000000000000000010
+00000000000000010000001101000100_00011_00000000000000000000000000010000
+00000000000000010000001101001000_00100_00000000000000000000000000000000
+00000000000000010000001101001100_00001_00000000000000000000000000000000
+00000000000000010000001101010000_00010_11111111111111111111111111111111
+00000000000000010000001101010100_00000_00000000000000000000000000000000
+00000000000000010000001101011100_00100_00000000000000000000000000000001
+00000000000000010000001101100000_00101_00000000000000000000000000000010
+00000000000000010000001101001100_00001_00000000000000000000000000000000
+00000000000000010000001101010000_00010_11111111111111111111111111111111
+00000000000000010000001101010100_00000_00000000000000000000000000000000
+00000000000000010000001101011100_00100_00000000000000000000000000000010
+00000000000000010000001101100000_00101_00000000000000000000000000000010
+00000000000000010000001101101000_00011_00000000000000000000000000010001
+00000000000000010000001101101100_00100_00000000000000000000000000000000
+00000000000000010000001101110000_00001_00000000000000000000000000000000
+00000000000000010000001101110100_00010_11111111111111111111111111111111
+00000000000000010000001101111000_00000_00000000000000000000000000000000
+00000000000000010000001101111100_00000_00000000000000000000000000000000
+00000000000000010000001110000100_00100_00000000000000000000000000000001
+00000000000000010000001110001000_00101_00000000000000000000000000000010
+00000000000000010000001101110000_00001_00000000000000000000000000000000
+00000000000000010000001101110100_00010_11111111111111111111111111111111
+00000000000000010000001101111000_00000_00000000000000000000000000000000
+00000000000000010000001101111100_00000_00000000000000000000000000000000
+00000000000000010000001110000100_00100_00000000000000000000000000000010
+00000000000000010000001110001000_00101_00000000000000000000000000000010
+00000000000000010000001110010000_00011_00000000000000000000000000010010
+00000000000000010000001110010100_00100_00000000000000000000000000000000
+00000000000000010000001110011000_00001_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00010_11111111111111111111111111111111
+00000000000000010000001110101000_00100_00000000000000000000000000000001
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110011000_00001_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00010_11111111111111111111111111111111
+00000000000000010000001110101000_00100_00000000000000000000000000000010
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110110100_00011_00000000000000000000000000010011
+00000000000000010000001110111000_00100_00000000000000000000000000000000
+00000000000000010000001110111100_00001_00000000000000000000000000000000
+00000000000000010000001111000000_00000_00000000000000000000000000000000
+00000000000000010000001111000100_00010_11111111111111111111111111111111
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111010000_00100_00000000000000000000000000000001
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001110111100_00001_00000000000000000000000000000000
+00000000000000010000001111000000_00000_00000000000000000000000000000000
+00000000000000010000001111000100_00010_11111111111111111111111111111111
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111010000_00100_00000000000000000000000000000010
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001111011100_00011_00000000000000000000000000010100
+00000000000000010000001111100000_00100_00000000000000000000000000000000
+00000000000000010000001111100100_00001_00000000000000000000000000000000
+00000000000000010000001111101000_00000_00000000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00010_11111111111111111111111111111111
+00000000000000010000001111111000_00100_00000000000000000000000000000001
+00000000000000010000001111111100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00001_00000000000000000000000000000000
+00000000000000010000001111101000_00000_00000000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00010_11111111111111111111111111111111
+00000000000000010000001111111000_00100_00000000000000000000000000000010
+00000000000000010000001111111100_00101_00000000000000000000000000000010
+00000000000000010000010000000100_00001_00000000000000000000000000000001
+00000000000000010000010000011100_00001_00000000000000000000000000000010
+00000000000000010000010000100000_00001_00000000000000000000000000000011
+00000000000000010000010000100100_00111_00000000000000000000000000000011
+00000000000000010000010000101000_00011_00000000000000000000000000010101
+00000000000000010000010001010100_00011_00000000000000000000000000000001
+00000000000000010000010001011000_10001_00000000000000000000000001011101
+00000000000000010000010001011100_01010_00000000000000000000000000000000
+00000000000000010000010001011100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/blt/dmem.coe b/verilog/dv/test_c0/coe/blt/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/blt/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/blt/imem.coe b/verilog/dv/test_c0/coe/blt/imem.coe
new file mode 100644
index 0000000..8ec3b98
--- /dev/null
+++ b/verilog/dv/test_c0/coe/blt/imem.coe
@@ -0,0 +1,289 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00200193,
+00000093,
+00100113,
+0020C663,
+2A301863,
+00301663,
+FE20CEE3,
+2A301263,
+00300193,
+FFF00093,
+00100113,
+0020C663,
+28301863,
+00301663,
+FE20CEE3,
+28301263,
+00400193,
+FFE00093,
+FFF00113,
+0020C663,
+26301863,
+00301663,
+FE20CEE3,
+26301263,
+00500193,
+00100093,
+00000113,
+0020C463,
+00301463,
+24301663,
+FE20CEE3,
+00600193,
+00100093,
+FFF00113,
+0020C463,
+00301463,
+22301863,
+FE20CEE3,
+00700193,
+FFF00093,
+FFE00113,
+0020C463,
+00301463,
+20301A63,
+FE20CEE3,
+00800193,
+00100093,
+FFE00113,
+0020C463,
+00301463,
+1E301C63,
+FE20CEE3,
+00900193,
+00000213,
+00000093,
+FFF00113,
+1E20C063,
+00120213,
+00200293,
+FE5216E3,
+00A00193,
+00000213,
+00000093,
+FFF00113,
+00000013,
+1A20CE63,
+00120213,
+00200293,
+FE5214E3,
+00B00193,
+00000213,
+00000093,
+FFF00113,
+00000013,
+00000013,
+1820CA63,
+00120213,
+00200293,
+FE5212E3,
+00C00193,
+00000213,
+00000093,
+00000013,
+FFF00113,
+1620C863,
+00120213,
+00200293,
+FE5214E3,
+00D00193,
+00000213,
+00000093,
+00000013,
+FFF00113,
+00000013,
+1420C463,
+00120213,
+00200293,
+FE5212E3,
+00E00193,
+00000213,
+00000093,
+00000013,
+00000013,
+FFF00113,
+1220C063,
+00120213,
+00200293,
+FE5212E3,
+00F00193,
+00000213,
+00000093,
+FFF00113,
+1020C063,
+00120213,
+00200293,
+FE5216E3,
+01000193,
+00000213,
+00000093,
+FFF00113,
+00000013,
+0C20CE63,
+00120213,
+00200293,
+FE5214E3,
+01100193,
+00000213,
+00000093,
+FFF00113,
+00000013,
+00000013,
+0A20CA63,
+00120213,
+00200293,
+FE5212E3,
+01200193,
+00000213,
+00000093,
+00000013,
+FFF00113,
+0820C863,
+00120213,
+00200293,
+FE5214E3,
+01300193,
+00000213,
+00000093,
+00000013,
+FFF00113,
+00000013,
+0620C463,
+00120213,
+00200293,
+FE5212E3,
+01400193,
+00000213,
+00000093,
+00000013,
+00000013,
+FFF00113,
+0420C063,
+00120213,
+00200293,
+FE5212E3,
+00100093,
+00104A63,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00300393,
+01500193,
+00709463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/bltu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/bltu/cekirdek_dy.txt
new file mode 100644
index 0000000..772f178
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bltu/cekirdek_dy.txt
@@ -0,0 +1,624 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011001100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110001100000001001001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100001100000001110001100011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000010010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100001100000001001001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001110001100011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001001001100011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001110001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110010001100011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001000001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000000100010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110010001100011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001001001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110010001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001100000001010001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000100110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110010001100011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001100000001010001100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001110111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001110111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000001000001110011001100011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000001000001110011001100011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001110001001100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001110001001100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001110110001100011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001110110001100011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001110100001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001110100001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001110001001100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001110001001100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001110110001100011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001110110001100011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001110101001100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001110101001100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110001000001110011001100011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110001000001110011001100011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001110000001100011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001110000001100011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001110110001100011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001110110001100011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001110011001100011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001110011001100011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001110000001100011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000000000000000010110111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000010110111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000000000000100110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001110000001100011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000110101001100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001010001100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010010001000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010010010000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010010010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010010011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/bltu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/bltu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..03d56fe
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bltu/cekirdek_ps_bin.txt
@@ -0,0 +1,347 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110001100
+00000000000000010000000110001000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110101100
+00000000000000010000000110101000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111001100
+00000000000000010000000111001000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010000
diff --git a/verilog/dv/test_c0/coe/bltu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/bltu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..3d299db
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bltu/cekirdek_ps_hex.txt
@@ -0,0 +1,347 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+0001018c
+00010188
+00010194
+00010198
+0001019c
+000101a0
+000101ac
+000101a8
+000101b4
+000101b8
+000101bc
+000101c0
+000101cc
+000101c8
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010484
+00010488
+0001048c
+00010490
+00010490
diff --git a/verilog/dv/test_c0/coe/bltu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/bltu/cekirdek_yo.txt
new file mode 100644
index 0000000..fc60777
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bltu/cekirdek_yo.txt
@@ -0,0 +1,256 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00011_00000000000000000000000000000010
+00000000000000010000000101111000_00001_00000000000000000000000000000000
+00000000000000010000000101111100_00010_00000000000000000000000000000001
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011000_00001_11111111111111111111111111111110
+00000000000000010000000110011100_00010_11111111111111111111111111111111
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111000_00001_00000000000000000000000000000000
+00000000000000010000000110111100_00010_11111111111111111111111111111111
+00000000000000010000000111010100_00011_00000000000000000000000000000101
+00000000000000010000000111011000_00001_00000000000000000000000000000001
+00000000000000010000000111011100_00010_00000000000000000000000000000000
+00000000000000010000000111110000_00011_00000000000000000000000000000110
+00000000000000010000000111110100_00001_11111111111111111111111111111111
+00000000000000010000000111111000_00010_11111111111111111111111111111110
+00000000000000010000001000001100_00011_00000000000000000000000000000111
+00000000000000010000001000010000_00001_11111111111111111111111111111111
+00000000000000010000001000010100_00010_00000000000000000000000000000000
+00000000000000010000001000101000_00011_00000000000000000000000000001000
+00000000000000010000001000101100_00001_10000000000000000000000000000000
+00000000000000010000001000110000_00010_10000000000000000000000000000000
+00000000000000010000001000110100_00010_01111111111111111111111111111111
+00000000000000010000001001001000_00011_00000000000000000000000000001001
+00000000000000010000001001001100_00100_00000000000000000000000000000000
+00000000000000010000001001010000_00001_11110000000000000000000000000000
+00000000000000010000001001010100_00010_11110000000000000000000000000000
+00000000000000010000001001011000_00010_11101111111111111111111111111111
+00000000000000010000001001100000_00100_00000000000000000000000000000001
+00000000000000010000001001100100_00101_00000000000000000000000000000010
+00000000000000010000001001010000_00001_11110000000000000000000000000000
+00000000000000010000001001010100_00010_11110000000000000000000000000000
+00000000000000010000001001011000_00010_11101111111111111111111111111111
+00000000000000010000001001100000_00100_00000000000000000000000000000010
+00000000000000010000001001100100_00101_00000000000000000000000000000010
+00000000000000010000001001101100_00011_00000000000000000000000000001010
+00000000000000010000001001110000_00100_00000000000000000000000000000000
+00000000000000010000001001110100_00001_11110000000000000000000000000000
+00000000000000010000001001111000_00010_11110000000000000000000000000000
+00000000000000010000001001111100_00010_11101111111111111111111111111111
+00000000000000010000001010000000_00000_00000000000000000000000000000000
+00000000000000010000001010001000_00100_00000000000000000000000000000001
+00000000000000010000001010001100_00101_00000000000000000000000000000010
+00000000000000010000001001110100_00001_11110000000000000000000000000000
+00000000000000010000001001111000_00010_11110000000000000000000000000000
+00000000000000010000001001111100_00010_11101111111111111111111111111111
+00000000000000010000001010000000_00000_00000000000000000000000000000000
+00000000000000010000001010001000_00100_00000000000000000000000000000010
+00000000000000010000001010001100_00101_00000000000000000000000000000010
+00000000000000010000001010010100_00011_00000000000000000000000000001011
+00000000000000010000001010011000_00100_00000000000000000000000000000000
+00000000000000010000001010011100_00001_11110000000000000000000000000000
+00000000000000010000001010100000_00010_11110000000000000000000000000000
+00000000000000010000001010100100_00010_11101111111111111111111111111111
+00000000000000010000001010101000_00000_00000000000000000000000000000000
+00000000000000010000001010101100_00000_00000000000000000000000000000000
+00000000000000010000001010110100_00100_00000000000000000000000000000001
+00000000000000010000001010111000_00101_00000000000000000000000000000010
+00000000000000010000001010011100_00001_11110000000000000000000000000000
+00000000000000010000001010100000_00010_11110000000000000000000000000000
+00000000000000010000001010100100_00010_11101111111111111111111111111111
+00000000000000010000001010101000_00000_00000000000000000000000000000000
+00000000000000010000001010101100_00000_00000000000000000000000000000000
+00000000000000010000001010110100_00100_00000000000000000000000000000010
+00000000000000010000001010111000_00101_00000000000000000000000000000010
+00000000000000010000001011000000_00011_00000000000000000000000000001100
+00000000000000010000001011000100_00100_00000000000000000000000000000000
+00000000000000010000001011001000_00001_11110000000000000000000000000000
+00000000000000010000001011001100_00000_00000000000000000000000000000000
+00000000000000010000001011010000_00010_11110000000000000000000000000000
+00000000000000010000001011010100_00010_11101111111111111111111111111111
+00000000000000010000001011011100_00100_00000000000000000000000000000001
+00000000000000010000001011100000_00101_00000000000000000000000000000010
+00000000000000010000001011001000_00001_11110000000000000000000000000000
+00000000000000010000001011001100_00000_00000000000000000000000000000000
+00000000000000010000001011010000_00010_11110000000000000000000000000000
+00000000000000010000001011010100_00010_11101111111111111111111111111111
+00000000000000010000001011011100_00100_00000000000000000000000000000010
+00000000000000010000001011100000_00101_00000000000000000000000000000010
+00000000000000010000001011101000_00011_00000000000000000000000000001101
+00000000000000010000001011101100_00100_00000000000000000000000000000000
+00000000000000010000001011110000_00001_11110000000000000000000000000000
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00010_11110000000000000000000000000000
+00000000000000010000001011111100_00010_11101111111111111111111111111111
+00000000000000010000001100000000_00000_00000000000000000000000000000000
+00000000000000010000001100001000_00100_00000000000000000000000000000001
+00000000000000010000001100001100_00101_00000000000000000000000000000010
+00000000000000010000001011110000_00001_11110000000000000000000000000000
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00010_11110000000000000000000000000000
+00000000000000010000001011111100_00010_11101111111111111111111111111111
+00000000000000010000001100000000_00000_00000000000000000000000000000000
+00000000000000010000001100001000_00100_00000000000000000000000000000010
+00000000000000010000001100001100_00101_00000000000000000000000000000010
+00000000000000010000001100010100_00011_00000000000000000000000000001110
+00000000000000010000001100011000_00100_00000000000000000000000000000000
+00000000000000010000001100011100_00001_11110000000000000000000000000000
+00000000000000010000001100100000_00000_00000000000000000000000000000000
+00000000000000010000001100100100_00000_00000000000000000000000000000000
+00000000000000010000001100101000_00010_11110000000000000000000000000000
+00000000000000010000001100101100_00010_11101111111111111111111111111111
+00000000000000010000001100110100_00100_00000000000000000000000000000001
+00000000000000010000001100111000_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00001_11110000000000000000000000000000
+00000000000000010000001100100000_00000_00000000000000000000000000000000
+00000000000000010000001100100100_00000_00000000000000000000000000000000
+00000000000000010000001100101000_00010_11110000000000000000000000000000
+00000000000000010000001100101100_00010_11101111111111111111111111111111
+00000000000000010000001100110100_00100_00000000000000000000000000000010
+00000000000000010000001100111000_00101_00000000000000000000000000000010
+00000000000000010000001101000000_00011_00000000000000000000000000001111
+00000000000000010000001101000100_00100_00000000000000000000000000000000
+00000000000000010000001101001000_00001_11110000000000000000000000000000
+00000000000000010000001101001100_00010_11110000000000000000000000000000
+00000000000000010000001101010000_00010_11101111111111111111111111111111
+00000000000000010000001101011000_00100_00000000000000000000000000000001
+00000000000000010000001101011100_00101_00000000000000000000000000000010
+00000000000000010000001101001000_00001_11110000000000000000000000000000
+00000000000000010000001101001100_00010_11110000000000000000000000000000
+00000000000000010000001101010000_00010_11101111111111111111111111111111
+00000000000000010000001101011000_00100_00000000000000000000000000000010
+00000000000000010000001101011100_00101_00000000000000000000000000000010
+00000000000000010000001101100100_00011_00000000000000000000000000010000
+00000000000000010000001101101000_00100_00000000000000000000000000000000
+00000000000000010000001101101100_00001_11110000000000000000000000000000
+00000000000000010000001101110000_00010_11110000000000000000000000000000
+00000000000000010000001101110100_00010_11101111111111111111111111111111
+00000000000000010000001101111000_00000_00000000000000000000000000000000
+00000000000000010000001110000000_00100_00000000000000000000000000000001
+00000000000000010000001110000100_00101_00000000000000000000000000000010
+00000000000000010000001101101100_00001_11110000000000000000000000000000
+00000000000000010000001101110000_00010_11110000000000000000000000000000
+00000000000000010000001101110100_00010_11101111111111111111111111111111
+00000000000000010000001101111000_00000_00000000000000000000000000000000
+00000000000000010000001110000000_00100_00000000000000000000000000000010
+00000000000000010000001110000100_00101_00000000000000000000000000000010
+00000000000000010000001110001100_00011_00000000000000000000000000010001
+00000000000000010000001110010000_00100_00000000000000000000000000000000
+00000000000000010000001110010100_00001_11110000000000000000000000000000
+00000000000000010000001110011000_00010_11110000000000000000000000000000
+00000000000000010000001110011100_00010_11101111111111111111111111111111
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00100_00000000000000000000000000000001
+00000000000000010000001110110000_00101_00000000000000000000000000000010
+00000000000000010000001110010100_00001_11110000000000000000000000000000
+00000000000000010000001110011000_00010_11110000000000000000000000000000
+00000000000000010000001110011100_00010_11101111111111111111111111111111
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00100_00000000000000000000000000000010
+00000000000000010000001110110000_00101_00000000000000000000000000000010
+00000000000000010000001110111000_00011_00000000000000000000000000010010
+00000000000000010000001110111100_00100_00000000000000000000000000000000
+00000000000000010000001111000000_00001_11110000000000000000000000000000
+00000000000000010000001111000100_00000_00000000000000000000000000000000
+00000000000000010000001111001000_00010_11110000000000000000000000000000
+00000000000000010000001111001100_00010_11101111111111111111111111111111
+00000000000000010000001111010100_00100_00000000000000000000000000000001
+00000000000000010000001111011000_00101_00000000000000000000000000000010
+00000000000000010000001111000000_00001_11110000000000000000000000000000
+00000000000000010000001111000100_00000_00000000000000000000000000000000
+00000000000000010000001111001000_00010_11110000000000000000000000000000
+00000000000000010000001111001100_00010_11101111111111111111111111111111
+00000000000000010000001111010100_00100_00000000000000000000000000000010
+00000000000000010000001111011000_00101_00000000000000000000000000000010
+00000000000000010000001111100000_00011_00000000000000000000000000010011
+00000000000000010000001111100100_00100_00000000000000000000000000000000
+00000000000000010000001111101000_00001_11110000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00010_11110000000000000000000000000000
+00000000000000010000001111110100_00010_11101111111111111111111111111111
+00000000000000010000001111111000_00000_00000000000000000000000000000000
+00000000000000010000010000000000_00100_00000000000000000000000000000001
+00000000000000010000010000000100_00101_00000000000000000000000000000010
+00000000000000010000001111101000_00001_11110000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00010_11110000000000000000000000000000
+00000000000000010000001111110100_00010_11101111111111111111111111111111
+00000000000000010000001111111000_00000_00000000000000000000000000000000
+00000000000000010000010000000000_00100_00000000000000000000000000000010
+00000000000000010000010000000100_00101_00000000000000000000000000000010
+00000000000000010000010000001100_00011_00000000000000000000000000010100
+00000000000000010000010000010000_00100_00000000000000000000000000000000
+00000000000000010000010000010100_00001_11110000000000000000000000000000
+00000000000000010000010000011000_00000_00000000000000000000000000000000
+00000000000000010000010000011100_00000_00000000000000000000000000000000
+00000000000000010000010000100000_00010_11110000000000000000000000000000
+00000000000000010000010000100100_00010_11101111111111111111111111111111
+00000000000000010000010000101100_00100_00000000000000000000000000000001
+00000000000000010000010000110000_00101_00000000000000000000000000000010
+00000000000000010000010000010100_00001_11110000000000000000000000000000
+00000000000000010000010000011000_00000_00000000000000000000000000000000
+00000000000000010000010000011100_00000_00000000000000000000000000000000
+00000000000000010000010000100000_00010_11110000000000000000000000000000
+00000000000000010000010000100100_00010_11101111111111111111111111111111
+00000000000000010000010000101100_00100_00000000000000000000000000000010
+00000000000000010000010000110000_00101_00000000000000000000000000000010
+00000000000000010000010000111000_00001_00000000000000000000000000000001
+00000000000000010000010001010000_00001_00000000000000000000000000000010
+00000000000000010000010001010100_00001_00000000000000000000000000000011
+00000000000000010000010001011000_00111_00000000000000000000000000000011
+00000000000000010000010001011100_00011_00000000000000000000000000010101
+00000000000000010000010010001000_00011_00000000000000000000000000000001
+00000000000000010000010010001100_10001_00000000000000000000000001011101
+00000000000000010000010010010000_01010_00000000000000000000000000000000
+00000000000000010000010010010000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/bltu/dmem.coe b/verilog/dv/test_c0/coe/bltu/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bltu/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/bltu/imem.coe b/verilog/dv/test_c0/coe/bltu/imem.coe
new file mode 100644
index 0000000..19d3e10
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bltu/imem.coe
@@ -0,0 +1,305 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00200193,
+00000093,
+00100113,
+0020E663,
+2E301263,
+00301663,
+FE20EEE3,
+2C301C63,
+00300193,
+FFE00093,
+FFF00113,
+0020E663,
+2C301263,
+00301663,
+FE20EEE3,
+2A301C63,
+00400193,
+00000093,
+FFF00113,
+0020E663,
+2A301263,
+00301663,
+FE20EEE3,
+28301C63,
+00500193,
+00100093,
+00000113,
+0020E463,
+00301463,
+28301063,
+FE20EEE3,
+00600193,
+FFF00093,
+FFE00113,
+0020E463,
+00301463,
+26301263,
+FE20EEE3,
+00700193,
+FFF00093,
+00000113,
+0020E463,
+00301463,
+24301463,
+FE20EEE3,
+00800193,
+800000B7,
+80000137,
+FFF10113,
+0020E463,
+00301463,
+22301463,
+FE20EEE3,
+00900193,
+00000213,
+F00000B7,
+F0000137,
+FFF10113,
+2020E663,
+00120213,
+00200293,
+FE5214E3,
+00A00193,
+00000213,
+F00000B7,
+F0000137,
+FFF10113,
+00000013,
+1E20E263,
+00120213,
+00200293,
+FE5212E3,
+00B00193,
+00000213,
+F00000B7,
+F0000137,
+FFF10113,
+00000013,
+00000013,
+1A20EC63,
+00120213,
+00200293,
+FE5210E3,
+00C00193,
+00000213,
+F00000B7,
+00000013,
+F0000137,
+FFF10113,
+1820E863,
+00120213,
+00200293,
+FE5212E3,
+00D00193,
+00000213,
+F00000B7,
+00000013,
+F0000137,
+FFF10113,
+00000013,
+1620E263,
+00120213,
+00200293,
+FE5210E3,
+00E00193,
+00000213,
+F00000B7,
+00000013,
+00000013,
+F0000137,
+FFF10113,
+1220EC63,
+00120213,
+00200293,
+FE5210E3,
+00F00193,
+00000213,
+F00000B7,
+F0000137,
+FFF10113,
+1020EA63,
+00120213,
+00200293,
+FE5214E3,
+01000193,
+00000213,
+F00000B7,
+F0000137,
+FFF10113,
+00000013,
+0E20E663,
+00120213,
+00200293,
+FE5212E3,
+01100193,
+00000213,
+F00000B7,
+F0000137,
+FFF10113,
+00000013,
+00000013,
+0C20E063,
+00120213,
+00200293,
+FE5210E3,
+01200193,
+00000213,
+F00000B7,
+00000013,
+F0000137,
+FFF10113,
+0820EC63,
+00120213,
+00200293,
+FE5212E3,
+01300193,
+00000213,
+F00000B7,
+00000013,
+F0000137,
+FFF10113,
+00000013,
+0620E663,
+00120213,
+00200293,
+FE5210E3,
+01400193,
+00000213,
+F00000B7,
+00000013,
+00000013,
+F0000137,
+FFF10113,
+0420E063,
+00120213,
+00200293,
+FE5210E3,
+00100093,
+00106A63,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00300393,
+01500193,
+00709463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/bne/cekirdek_dy.txt b/verilog/dv/test_c0/coe/bne/cekirdek_dy.txt
new file mode 100644
index 0000000..eb1c284
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bne/cekirdek_dy.txt
@@ -0,0 +1,611 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011001100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001101001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010001100000001010001100011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001101001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000001100000001010001100011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001101001100011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110001100000001010001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011001100011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001100000001101001100011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001100000001010001100011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001010001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001100000001100001100011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001010001100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000001100000001101001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001010001100011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000001010001100011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001100000001110001100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111110001000001001111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110001000001001111011100011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001001000001100011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110001000001001000001100011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001001111001100011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010001000001001111001100011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001001101001100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000001000001001101001100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001001100001100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110001000001001100001100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100001000001001010001100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100001000001001010001100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001001000001100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010001000001001000001100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001001000001100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000001000001001000001100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001001111001100011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100001000001001111001100011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010001000001001101001100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010001000001001101001100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001001100001100011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001000001001100001100011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001001010001100011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001000001001010001100011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001001000001100011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001000001001000001100011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001101001100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001010001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/bne/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/bne/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..7b8b281
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bne/cekirdek_ps_bin.txt
@@ -0,0 +1,322 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110001100
+00000000000000010000000110001000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110101100
+00000000000000010000000110101000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111001100
+00000000000000010000000111001000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111101100
+00000000000000010000000111101000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100000
diff --git a/verilog/dv/test_c0/coe/bne/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/bne/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..24b23d7
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bne/cekirdek_ps_hex.txt
@@ -0,0 +1,322 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+0001018c
+00010188
+00010194
+00010198
+0001019c
+000101a0
+000101ac
+000101a8
+000101b4
+000101b8
+000101bc
+000101c0
+000101cc
+000101c8
+000101d4
+000101d8
+000101dc
+000101e0
+000101ec
+000101e8
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010454
+00010458
+0001045c
+00010460
+00010460
diff --git a/verilog/dv/test_c0/coe/bne/cekirdek_yo.txt b/verilog/dv/test_c0/coe/bne/cekirdek_yo.txt
new file mode 100644
index 0000000..c9c8fae
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bne/cekirdek_yo.txt
@@ -0,0 +1,231 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00011_00000000000000000000000000000010
+00000000000000010000000101111000_00001_00000000000000000000000000000000
+00000000000000010000000101111100_00010_00000000000000000000000000000001
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011000_00001_00000000000000000000000000000001
+00000000000000010000000110011100_00010_00000000000000000000000000000000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111000_00001_11111111111111111111111111111111
+00000000000000010000000110111100_00010_00000000000000000000000000000001
+00000000000000010000000111010100_00011_00000000000000000000000000000101
+00000000000000010000000111011000_00001_00000000000000000000000000000001
+00000000000000010000000111011100_00010_11111111111111111111111111111111
+00000000000000010000000111110100_00011_00000000000000000000000000000110
+00000000000000010000000111111000_00001_00000000000000000000000000000000
+00000000000000010000000111111100_00010_00000000000000000000000000000000
+00000000000000010000001000010000_00011_00000000000000000000000000000111
+00000000000000010000001000010100_00001_00000000000000000000000000000001
+00000000000000010000001000011000_00010_00000000000000000000000000000001
+00000000000000010000001000101100_00011_00000000000000000000000000001000
+00000000000000010000001000110000_00001_11111111111111111111111111111111
+00000000000000010000001000110100_00010_11111111111111111111111111111111
+00000000000000010000001001001000_00011_00000000000000000000000000001001
+00000000000000010000001001001100_00100_00000000000000000000000000000000
+00000000000000010000001001010000_00001_00000000000000000000000000000000
+00000000000000010000001001010100_00010_00000000000000000000000000000000
+00000000000000010000001001011100_00100_00000000000000000000000000000001
+00000000000000010000001001100000_00101_00000000000000000000000000000010
+00000000000000010000001001010000_00001_00000000000000000000000000000000
+00000000000000010000001001010100_00010_00000000000000000000000000000000
+00000000000000010000001001011100_00100_00000000000000000000000000000010
+00000000000000010000001001100000_00101_00000000000000000000000000000010
+00000000000000010000001001101000_00011_00000000000000000000000000001010
+00000000000000010000001001101100_00100_00000000000000000000000000000000
+00000000000000010000001001110000_00001_00000000000000000000000000000000
+00000000000000010000001001110100_00010_00000000000000000000000000000000
+00000000000000010000001001111000_00000_00000000000000000000000000000000
+00000000000000010000001010000000_00100_00000000000000000000000000000001
+00000000000000010000001010000100_00101_00000000000000000000000000000010
+00000000000000010000001001110000_00001_00000000000000000000000000000000
+00000000000000010000001001110100_00010_00000000000000000000000000000000
+00000000000000010000001001111000_00000_00000000000000000000000000000000
+00000000000000010000001010000000_00100_00000000000000000000000000000010
+00000000000000010000001010000100_00101_00000000000000000000000000000010
+00000000000000010000001010001100_00011_00000000000000000000000000001011
+00000000000000010000001010010000_00100_00000000000000000000000000000000
+00000000000000010000001010010100_00001_00000000000000000000000000000000
+00000000000000010000001010011000_00010_00000000000000000000000000000000
+00000000000000010000001010011100_00000_00000000000000000000000000000000
+00000000000000010000001010100000_00000_00000000000000000000000000000000
+00000000000000010000001010101000_00100_00000000000000000000000000000001
+00000000000000010000001010101100_00101_00000000000000000000000000000010
+00000000000000010000001010010100_00001_00000000000000000000000000000000
+00000000000000010000001010011000_00010_00000000000000000000000000000000
+00000000000000010000001010011100_00000_00000000000000000000000000000000
+00000000000000010000001010100000_00000_00000000000000000000000000000000
+00000000000000010000001010101000_00100_00000000000000000000000000000010
+00000000000000010000001010101100_00101_00000000000000000000000000000010
+00000000000000010000001010110100_00011_00000000000000000000000000001100
+00000000000000010000001010111000_00100_00000000000000000000000000000000
+00000000000000010000001010111100_00001_00000000000000000000000000000000
+00000000000000010000001011000000_00000_00000000000000000000000000000000
+00000000000000010000001011000100_00010_00000000000000000000000000000000
+00000000000000010000001011001100_00100_00000000000000000000000000000001
+00000000000000010000001011010000_00101_00000000000000000000000000000010
+00000000000000010000001010111100_00001_00000000000000000000000000000000
+00000000000000010000001011000000_00000_00000000000000000000000000000000
+00000000000000010000001011000100_00010_00000000000000000000000000000000
+00000000000000010000001011001100_00100_00000000000000000000000000000010
+00000000000000010000001011010000_00101_00000000000000000000000000000010
+00000000000000010000001011011000_00011_00000000000000000000000000001101
+00000000000000010000001011011100_00100_00000000000000000000000000000000
+00000000000000010000001011100000_00001_00000000000000000000000000000000
+00000000000000010000001011100100_00000_00000000000000000000000000000000
+00000000000000010000001011101000_00010_00000000000000000000000000000000
+00000000000000010000001011101100_00000_00000000000000000000000000000000
+00000000000000010000001011110100_00100_00000000000000000000000000000001
+00000000000000010000001011111000_00101_00000000000000000000000000000010
+00000000000000010000001011100000_00001_00000000000000000000000000000000
+00000000000000010000001011100100_00000_00000000000000000000000000000000
+00000000000000010000001011101000_00010_00000000000000000000000000000000
+00000000000000010000001011101100_00000_00000000000000000000000000000000
+00000000000000010000001011110100_00100_00000000000000000000000000000010
+00000000000000010000001011111000_00101_00000000000000000000000000000010
+00000000000000010000001100000000_00011_00000000000000000000000000001110
+00000000000000010000001100000100_00100_00000000000000000000000000000000
+00000000000000010000001100001000_00001_00000000000000000000000000000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00000_00000000000000000000000000000000
+00000000000000010000001100010100_00010_00000000000000000000000000000000
+00000000000000010000001100011100_00100_00000000000000000000000000000001
+00000000000000010000001100100000_00101_00000000000000000000000000000010
+00000000000000010000001100001000_00001_00000000000000000000000000000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00000_00000000000000000000000000000000
+00000000000000010000001100010100_00010_00000000000000000000000000000000
+00000000000000010000001100011100_00100_00000000000000000000000000000010
+00000000000000010000001100100000_00101_00000000000000000000000000000010
+00000000000000010000001100101000_00011_00000000000000000000000000001111
+00000000000000010000001100101100_00100_00000000000000000000000000000000
+00000000000000010000001100110000_00001_00000000000000000000000000000000
+00000000000000010000001100110100_00010_00000000000000000000000000000000
+00000000000000010000001100111100_00100_00000000000000000000000000000001
+00000000000000010000001101000000_00101_00000000000000000000000000000010
+00000000000000010000001100110000_00001_00000000000000000000000000000000
+00000000000000010000001100110100_00010_00000000000000000000000000000000
+00000000000000010000001100111100_00100_00000000000000000000000000000010
+00000000000000010000001101000000_00101_00000000000000000000000000000010
+00000000000000010000001101001000_00011_00000000000000000000000000010000
+00000000000000010000001101001100_00100_00000000000000000000000000000000
+00000000000000010000001101010000_00001_00000000000000000000000000000000
+00000000000000010000001101010100_00010_00000000000000000000000000000000
+00000000000000010000001101011000_00000_00000000000000000000000000000000
+00000000000000010000001101100000_00100_00000000000000000000000000000001
+00000000000000010000001101100100_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00001_00000000000000000000000000000000
+00000000000000010000001101010100_00010_00000000000000000000000000000000
+00000000000000010000001101011000_00000_00000000000000000000000000000000
+00000000000000010000001101100000_00100_00000000000000000000000000000010
+00000000000000010000001101100100_00101_00000000000000000000000000000010
+00000000000000010000001101101100_00011_00000000000000000000000000010001
+00000000000000010000001101110000_00100_00000000000000000000000000000000
+00000000000000010000001101110100_00001_00000000000000000000000000000000
+00000000000000010000001101111000_00010_00000000000000000000000000000000
+00000000000000010000001101111100_00000_00000000000000000000000000000000
+00000000000000010000001110000000_00000_00000000000000000000000000000000
+00000000000000010000001110001000_00100_00000000000000000000000000000001
+00000000000000010000001110001100_00101_00000000000000000000000000000010
+00000000000000010000001101110100_00001_00000000000000000000000000000000
+00000000000000010000001101111000_00010_00000000000000000000000000000000
+00000000000000010000001101111100_00000_00000000000000000000000000000000
+00000000000000010000001110000000_00000_00000000000000000000000000000000
+00000000000000010000001110001000_00100_00000000000000000000000000000010
+00000000000000010000001110001100_00101_00000000000000000000000000000010
+00000000000000010000001110010100_00011_00000000000000000000000000010010
+00000000000000010000001110011000_00100_00000000000000000000000000000000
+00000000000000010000001110011100_00001_00000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_00010_00000000000000000000000000000000
+00000000000000010000001110101100_00100_00000000000000000000000000000001
+00000000000000010000001110110000_00101_00000000000000000000000000000010
+00000000000000010000001110011100_00001_00000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_00010_00000000000000000000000000000000
+00000000000000010000001110101100_00100_00000000000000000000000000000010
+00000000000000010000001110110000_00101_00000000000000000000000000000010
+00000000000000010000001110111000_00011_00000000000000000000000000010011
+00000000000000010000001110111100_00100_00000000000000000000000000000000
+00000000000000010000001111000000_00001_00000000000000000000000000000000
+00000000000000010000001111000100_00000_00000000000000000000000000000000
+00000000000000010000001111001000_00010_00000000000000000000000000000000
+00000000000000010000001111001100_00000_00000000000000000000000000000000
+00000000000000010000001111010100_00100_00000000000000000000000000000001
+00000000000000010000001111011000_00101_00000000000000000000000000000010
+00000000000000010000001111000000_00001_00000000000000000000000000000000
+00000000000000010000001111000100_00000_00000000000000000000000000000000
+00000000000000010000001111001000_00010_00000000000000000000000000000000
+00000000000000010000001111001100_00000_00000000000000000000000000000000
+00000000000000010000001111010100_00100_00000000000000000000000000000010
+00000000000000010000001111011000_00101_00000000000000000000000000000010
+00000000000000010000001111100000_00011_00000000000000000000000000010100
+00000000000000010000001111100100_00100_00000000000000000000000000000000
+00000000000000010000001111101000_00001_00000000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00000_00000000000000000000000000000000
+00000000000000010000001111110100_00010_00000000000000000000000000000000
+00000000000000010000001111111100_00100_00000000000000000000000000000001
+00000000000000010000010000000000_00101_00000000000000000000000000000010
+00000000000000010000001111101000_00001_00000000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00000_00000000000000000000000000000000
+00000000000000010000001111110100_00010_00000000000000000000000000000000
+00000000000000010000001111111100_00100_00000000000000000000000000000010
+00000000000000010000010000000000_00101_00000000000000000000000000000010
+00000000000000010000010000001000_00001_00000000000000000000000000000001
+00000000000000010000010000100000_00001_00000000000000000000000000000010
+00000000000000010000010000100100_00001_00000000000000000000000000000011
+00000000000000010000010000101000_00111_00000000000000000000000000000011
+00000000000000010000010000101100_00011_00000000000000000000000000010101
+00000000000000010000010001011000_00011_00000000000000000000000000000001
+00000000000000010000010001011100_10001_00000000000000000000000001011101
+00000000000000010000010001100000_01010_00000000000000000000000000000000
+00000000000000010000010001100000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/bne/dmem.coe b/verilog/dv/test_c0/coe/bne/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bne/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/bne/imem.coe b/verilog/dv/test_c0/coe/bne/imem.coe
new file mode 100644
index 0000000..3fa5363
--- /dev/null
+++ b/verilog/dv/test_c0/coe/bne/imem.coe
@@ -0,0 +1,289 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00200193,
+00000093,
+00100113,
+00209663,
+2A301A63,
+00301663,
+FE209EE3,
+2A301463,
+00300193,
+00100093,
+00000113,
+00209663,
+28301A63,
+00301663,
+FE209EE3,
+28301463,
+00400193,
+FFF00093,
+00100113,
+00209663,
+26301A63,
+00301663,
+FE209EE3,
+26301463,
+00500193,
+00100093,
+FFF00113,
+00209663,
+24301A63,
+00301663,
+FE209EE3,
+24301463,
+00600193,
+00000093,
+00000113,
+00209463,
+00301463,
+22301863,
+FE209EE3,
+00700193,
+00100093,
+00100113,
+00209463,
+00301463,
+20301A63,
+FE209EE3,
+00800193,
+FFF00093,
+FFF00113,
+00209463,
+00301463,
+1E301C63,
+FE209EE3,
+00900193,
+00000213,
+00000093,
+00000113,
+1E209063,
+00120213,
+00200293,
+FE5216E3,
+00A00193,
+00000213,
+00000093,
+00000113,
+00000013,
+1A209E63,
+00120213,
+00200293,
+FE5214E3,
+00B00193,
+00000213,
+00000093,
+00000113,
+00000013,
+00000013,
+18209A63,
+00120213,
+00200293,
+FE5212E3,
+00C00193,
+00000213,
+00000093,
+00000013,
+00000113,
+16209863,
+00120213,
+00200293,
+FE5214E3,
+00D00193,
+00000213,
+00000093,
+00000013,
+00000113,
+00000013,
+14209463,
+00120213,
+00200293,
+FE5212E3,
+00E00193,
+00000213,
+00000093,
+00000013,
+00000013,
+00000113,
+12209063,
+00120213,
+00200293,
+FE5212E3,
+00F00193,
+00000213,
+00000093,
+00000113,
+10209063,
+00120213,
+00200293,
+FE5216E3,
+01000193,
+00000213,
+00000093,
+00000113,
+00000013,
+0C209E63,
+00120213,
+00200293,
+FE5214E3,
+01100193,
+00000213,
+00000093,
+00000113,
+00000013,
+00000013,
+0A209A63,
+00120213,
+00200293,
+FE5212E3,
+01200193,
+00000213,
+00000093,
+00000013,
+00000113,
+08209863,
+00120213,
+00200293,
+FE5214E3,
+01300193,
+00000213,
+00000093,
+00000013,
+00000113,
+00000013,
+06209463,
+00120213,
+00200293,
+FE5212E3,
+01400193,
+00000213,
+00000093,
+00000013,
+00000013,
+00000113,
+04209063,
+00120213,
+00200293,
+FE5212E3,
+00100093,
+00009A63,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00300393,
+01500193,
+00709463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/div/cekirdek_dy.txt b/verilog/dv/test_c0/coe/div/cekirdek_dy.txt
new file mode 100644
index 0000000..130c2be
--- /dev/null
+++ b/verilog/dv/test_c0/coe/div/cekirdek_dy.txt
@@ -0,0 +1,419 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011101110001010001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001100001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111101000000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001110001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111101000000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001000001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001010001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001100001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001110001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001000001100011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001100011100110011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011101110001010001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001001110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001001111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001010000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/div/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/div/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..ba55d22
--- /dev/null
+++ b/verilog/dv/test_c0/coe/div/cekirdek_ps_bin.txt
@@ -0,0 +1,127 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111000
diff --git a/verilog/dv/test_c0/coe/div/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/div/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..937f7ce
--- /dev/null
+++ b/verilog/dv/test_c0/coe/div/cekirdek_ps_hex.txt
@@ -0,0 +1,127 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+0001026c
+00010270
+00010274
+00010278
+00010278
diff --git a/verilog/dv/test_c0/coe/div/cekirdek_yo.txt b/verilog/dv/test_c0/coe/div/cekirdek_yo.txt
new file mode 100644
index 0000000..3de1dba
--- /dev/null
+++ b/verilog/dv/test_c0/coe/div/cekirdek_yo.txt
@@ -0,0 +1,98 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000010100
+00000000000000010000000101111000_00010_00000000000000000000000000000110
+00000000000000010000000101111100_01110_00000000000000000000000000000011
+00000000000000010000000110000000_00111_00000000000000000000000000000011
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_11111111111111111111111111101100
+00000000000000010000000110010000_00010_00000000000000000000000000000110
+00000000000000010000000110010100_01110_11111111111111111111111111111101
+00000000000000010000000110011000_00111_11111111111111111111111111111101
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000010100
+00000000000000010000000110101000_00010_11111111111111111111111111111010
+00000000000000010000000110101100_01110_11111111111111111111111111111101
+00000000000000010000000110110000_00111_11111111111111111111111111111101
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_11111111111111111111111111101100
+00000000000000010000000111000000_00010_11111111111111111111111111111010
+00000000000000010000000111000100_01110_00000000000000000000000000000011
+00000000000000010000000111001000_00111_00000000000000000000000000000011
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00010_00000000000000000000000000000001
+00000000000000010000000111011100_01110_10000000000000000000000000000000
+00000000000000010000000111100000_00111_10000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_11111111111111111111111111111111
+00000000000000010000000111110100_01110_10000000000000000000000000000000
+00000000000000010000000111111000_00111_10000000000000000000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_10000000000000000000000000000000
+00000000000000010000001000001000_00010_00000000000000000000000000000000
+00000000000000010000001000001100_01110_11111111111111111111111111111111
+00000000000000010000001000010000_00111_11111111111111111111111111111111
+00000000000000010000001000010100_00011_00000000000000000000000000001000
+00000000000000010000001000011100_00001_00000000000000000000000000000001
+00000000000000010000001000100000_00010_00000000000000000000000000000000
+00000000000000010000001000100100_01110_11111111111111111111111111111111
+00000000000000010000001000101000_00111_11111111111111111111111111111111
+00000000000000010000001000101100_00011_00000000000000000000000000001001
+00000000000000010000001000110100_00001_00000000000000000000000000000000
+00000000000000010000001000111000_00010_00000000000000000000000000000000
+00000000000000010000001000111100_01110_11111111111111111111111111111111
+00000000000000010000001001000000_00111_11111111111111111111111111111111
+00000000000000010000001001000100_00011_00000000000000000000000000001010
+00000000000000010000001001110000_00011_00000000000000000000000000000001
+00000000000000010000001001110100_10001_00000000000000000000000001011101
+00000000000000010000001001111000_01010_00000000000000000000000000000000
+00000000000000010000001001111000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/div/dmem.coe b/verilog/dv/test_c0/coe/div/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/div/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/div/imem.coe b/verilog/dv/test_c0/coe/div/imem.coe
new file mode 100644
index 0000000..e3a1e9d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/div/imem.coe
@@ -0,0 +1,177 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+01400093,
+00600113,
+0220C733,
+00300393,
+00200193,
+0C771463,
+FEC00093,
+00600113,
+0220C733,
+FFD00393,
+00300193,
+0A771863,
+01400093,
+FFA00113,
+0220C733,
+FFD00393,
+00400193,
+08771C63,
+FEC00093,
+FFA00113,
+0220C733,
+00300393,
+00500193,
+08771063,
+800000B7,
+00100113,
+0220C733,
+800003B7,
+00600193,
+06771463,
+800000B7,
+FFF00113,
+0220C733,
+800003B7,
+00700193,
+04771863,
+800000B7,
+00000113,
+0220C733,
+FFF00393,
+00800193,
+02771C63,
+00100093,
+00000113,
+0220C733,
+FFF00393,
+00900193,
+02771063,
+00000093,
+00000113,
+0220C733,
+FFF00393,
+00A00193,
+00771463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/divu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/divu/cekirdek_dy.txt
new file mode 100644
index 0000000..a0806ba
--- /dev/null
+++ b/verilog/dv/test_c0/coe/divu/cekirdek_dy.txt
@@ -0,0 +1,420 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011101110001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010101010101011001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010011100111000001110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001100001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111101000000000000100010011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001110001100011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000000010010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111101000000000000100010011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001000001100011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001010001100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001100001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001110001100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001000001100011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001101011100110011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011101110001010001100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001001110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001001111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001010000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001010000100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/divu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/divu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..4e885b6
--- /dev/null
+++ b/verilog/dv/test_c0/coe/divu/cekirdek_ps_bin.txt
@@ -0,0 +1,128 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001001111100
diff --git a/verilog/dv/test_c0/coe/divu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/divu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..3fc8ef9
--- /dev/null
+++ b/verilog/dv/test_c0/coe/divu/cekirdek_ps_hex.txt
@@ -0,0 +1,128 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010270
+00010274
+00010278
+0001027c
+0001027c
diff --git a/verilog/dv/test_c0/coe/divu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/divu/cekirdek_yo.txt
new file mode 100644
index 0000000..5ff411b
--- /dev/null
+++ b/verilog/dv/test_c0/coe/divu/cekirdek_yo.txt
@@ -0,0 +1,99 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000010100
+00000000000000010000000101111000_00010_00000000000000000000000000000110
+00000000000000010000000101111100_01110_00000000000000000000000000000011
+00000000000000010000000110000000_00111_00000000000000000000000000000011
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_11111111111111111111111111101100
+00000000000000010000000110010000_00010_00000000000000000000000000000110
+00000000000000010000000110010100_01110_00101010101010101010101010100111
+00000000000000010000000110011000_00111_00101010101010101011000000000000
+00000000000000010000000110011100_00111_00101010101010101010101010100111
+00000000000000010000000110100000_00011_00000000000000000000000000000011
+00000000000000010000000110101000_00001_00000000000000000000000000010100
+00000000000000010000000110101100_00010_11111111111111111111111111111010
+00000000000000010000000110110000_01110_00000000000000000000000000000000
+00000000000000010000000110110100_00111_00000000000000000000000000000000
+00000000000000010000000110111000_00011_00000000000000000000000000000100
+00000000000000010000000111000000_00001_11111111111111111111111111101100
+00000000000000010000000111000100_00010_11111111111111111111111111111010
+00000000000000010000000111001000_01110_00000000000000000000000000000000
+00000000000000010000000111001100_00111_00000000000000000000000000000000
+00000000000000010000000111010000_00011_00000000000000000000000000000101
+00000000000000010000000111011000_00001_10000000000000000000000000000000
+00000000000000010000000111011100_00010_00000000000000000000000000000001
+00000000000000010000000111100000_01110_10000000000000000000000000000000
+00000000000000010000000111100100_00111_10000000000000000000000000000000
+00000000000000010000000111101000_00011_00000000000000000000000000000110
+00000000000000010000000111110000_00001_10000000000000000000000000000000
+00000000000000010000000111110100_00010_11111111111111111111111111111111
+00000000000000010000000111111000_01110_00000000000000000000000000000000
+00000000000000010000000111111100_00111_00000000000000000000000000000000
+00000000000000010000001000000000_00011_00000000000000000000000000000111
+00000000000000010000001000001000_00001_10000000000000000000000000000000
+00000000000000010000001000001100_00010_00000000000000000000000000000000
+00000000000000010000001000010000_01110_11111111111111111111111111111111
+00000000000000010000001000010100_00111_11111111111111111111111111111111
+00000000000000010000001000011000_00011_00000000000000000000000000001000
+00000000000000010000001000100000_00001_00000000000000000000000000000001
+00000000000000010000001000100100_00010_00000000000000000000000000000000
+00000000000000010000001000101000_01110_11111111111111111111111111111111
+00000000000000010000001000101100_00111_11111111111111111111111111111111
+00000000000000010000001000110000_00011_00000000000000000000000000001001
+00000000000000010000001000111000_00001_00000000000000000000000000000000
+00000000000000010000001000111100_00010_00000000000000000000000000000000
+00000000000000010000001001000000_01110_11111111111111111111111111111111
+00000000000000010000001001000100_00111_11111111111111111111111111111111
+00000000000000010000001001001000_00011_00000000000000000000000000001010
+00000000000000010000001001110100_00011_00000000000000000000000000000001
+00000000000000010000001001111000_10001_00000000000000000000000001011101
+00000000000000010000001001111100_01010_00000000000000000000000000000000
+00000000000000010000001001111100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/divu/dmem.coe b/verilog/dv/test_c0/coe/divu/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/divu/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/divu/imem.coe b/verilog/dv/test_c0/coe/divu/imem.coe
new file mode 100644
index 0000000..1165cb5
--- /dev/null
+++ b/verilog/dv/test_c0/coe/divu/imem.coe
@@ -0,0 +1,177 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+01400093,
+00600113,
+0220D733,
+00300393,
+00200193,
+0C771663,
+FEC00093,
+00600113,
+0220D733,
+2AAAB3B7,
+AA738393,
+00300193,
+0A771863,
+01400093,
+FFA00113,
+0220D733,
+00000393,
+00400193,
+08771C63,
+FEC00093,
+FFA00113,
+0220D733,
+00000393,
+00500193,
+08771063,
+800000B7,
+00100113,
+0220D733,
+800003B7,
+00600193,
+06771463,
+800000B7,
+FFF00113,
+0220D733,
+00000393,
+00700193,
+04771863,
+800000B7,
+00000113,
+0220D733,
+FFF00393,
+00800193,
+02771C63,
+00100093,
+00000113,
+0220D733,
+FFF00393,
+00900193,
+02771063,
+00000093,
+00000113,
+0220D733,
+FFF00393,
+00A00193,
+00771463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/fence_i/dmem.coe b/verilog/dv/test_c0/coe/fence_i/dmem.coe
new file mode 100644
index 0000000..baf57fc
--- /dev/null
+++ b/verilog/dv/test_c0/coe/fence_i/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+14D68693,
+0DE68693,
+000307E7,
+22B68693,
+000307E7,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/fence_i/imem.coe b/verilog/dv/test_c0/coe/fence_i/imem.coe
new file mode 100644
index 0000000..110fdcb
--- /dev/null
+++ b/verilog/dv/test_c0/coe/fence_i/imem.coe
@@ -0,0 +1,173 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+06F00693,
+3FFF0517,
+E8851503,
+3FFF0597,
+E8259583,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+3FFF0297,
+E4A29223,
+3FFF0297,
+E2B29F23,
+0000100F,
+3FFF0797,
+E3078793,
+00078367,
+00000013,
+1BC00393,
+00200193,
+06769A63,
+06400713,
+FFF70713,
+FE071EE3,
+3FFF0297,
+E0A29823,
+3FFF0297,
+E0B29523,
+0000100F,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+00000013,
+3FFF0797,
+DCC78793,
+00078367,
+00000013,
+30900393,
+00300193,
+00769463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/jal/cekirdek_dy.txt b/verilog/dv/test_c0/coe/jal/cekirdek_dy.txt
new file mode 100644
index 0000000..650889e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jal/cekirdek_dy.txt
@@ -0,0 +1,219 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001001101111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111010000010000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000010001101001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000001101111_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100001000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001010001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000000111101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000000111101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000000111110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000000111111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/jal/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/jal/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..4834d2c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jal/cekirdek_ps_bin.txt
@@ -0,0 +1,86 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110000
diff --git a/verilog/dv/test_c0/coe/jal/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/jal/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..8098f9d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jal/cekirdek_ps_hex.txt
@@ -0,0 +1,86 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101e4
+000101e8
+000101ec
+000101f0
+000101f0
diff --git a/verilog/dv/test_c0/coe/jal/cekirdek_yo.txt b/verilog/dv/test_c0/coe/jal/cekirdek_yo.txt
new file mode 100644
index 0000000..88eabcd
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jal/cekirdek_yo.txt
@@ -0,0 +1,64 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00011_00000000000000000000000000000010
+00000000000000010000000101111000_00001_00000000000000000000000000000000
+00000000000000010000000101111100_00100_00000000000000010000000110000000
+00000000000000010000000110001100_00010_00000000000000010000000110001100
+00000000000000010000000110010000_00010_00000000000000010000000110000000
+00000000000000010000000110011000_00001_00000000000000000000000000000001
+00000000000000010000000110011100_00000_00000000000000010000000110100000
+00000000000000010000000110110000_00001_00000000000000000000000000000010
+00000000000000010000000110110100_00001_00000000000000000000000000000011
+00000000000000010000000110111000_00111_00000000000000000000000000000011
+00000000000000010000000110111100_00011_00000000000000000000000000000011
+00000000000000010000000111101000_00011_00000000000000000000000000000001
+00000000000000010000000111101100_10001_00000000000000000000000001011101
+00000000000000010000000111110000_01010_00000000000000000000000000000000
+00000000000000010000000111110000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/jal/dmem.coe b/verilog/dv/test_c0/coe/jal/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jal/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/jal/imem.coe b/verilog/dv/test_c0/coe/jal/imem.coe
new file mode 100644
index 0000000..8b5fc1e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jal/imem.coe
@@ -0,0 +1,129 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00200193,
+00000093,
+0100026F,
+00000013,
+00000013,
+0400006F,
+00000117,
+FF410113,
+02411A63,
+00100093,
+0140006F,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00108093,
+00300393,
+00300193,
+00709463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/jalr/cekirdek_dy.txt b/verilog/dv/test_c0/coe/jalr/cekirdek_dy.txt
new file mode 100644
index 0000000..e2ba756
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jalr/cekirdek_dy.txt
@@ -0,0 +1,339 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000110000001100010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000001011100111_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110000000000000000001101111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110000110000001100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011000101001101001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000001011100111_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100000000000000000001101111_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110000110000001100010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011000101001101001100011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000110000001100010011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000011011100111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001100000001111001100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000110000001100010011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000011011100111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000001100000001111001100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000110000001100010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000011011100111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001100000001101001100011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000110000001100010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000011011100111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110001100000001101001100011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000110000001100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000011011100111_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001100000001010001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001010010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001100010111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000110000001100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000011011100111_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100001100000001010001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001010010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000110000001100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110000110000000001100111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100101000001010010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100101000001010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100101000001010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100101000001010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100101000001010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100101000001010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100101000001010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100101000001010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100101000001010010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100101001010001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001010001100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001010010000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001010010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001010011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/jalr/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/jalr/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..cb21691
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jalr/cekirdek_ps_bin.txt
@@ -0,0 +1,146 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010000
diff --git a/verilog/dv/test_c0/coe/jalr/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/jalr/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..438dd77
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jalr/cekirdek_ps_hex.txt
@@ -0,0 +1,146 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101d0
+000101d4
+000101d8
+000101c0
+000101c4
+000101c8
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f8
+000101fc
+00010200
+000101e4
+000101e8
+000101ec
+000101f0
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010224
+00010228
+0001022c
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010284
+00010288
+0001028c
+00010290
+00010290
diff --git a/verilog/dv/test_c0/coe/jalr/cekirdek_yo.txt b/verilog/dv/test_c0/coe/jalr/cekirdek_yo.txt
new file mode 100644
index 0000000..ab8269a
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jalr/cekirdek_yo.txt
@@ -0,0 +1,117 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00011_00000000000000000000000000000010
+00000000000000010000000101111000_00101_00000000000000000000000000000000
+00000000000000010000000101111100_00110_00000000000000010000000101111100
+00000000000000010000000110000000_00110_00000000000000010000000110001100
+00000000000000010000000110000100_00101_00000000000000010000000110001000
+00000000000000010000000110001100_00110_00000000000000010000000110001100
+00000000000000010000000110010000_00110_00000000000000010000000110001000
+00000000000000010000000110011000_00011_00000000000000000000000000000011
+00000000000000010000000110011100_00101_00000000000000010000000110011100
+00000000000000010000000110100000_00101_00000000000000010000000110101100
+00000000000000010000000110100100_00101_00000000000000010000000110101000
+00000000000000010000000110101100_00110_00000000000000010000000110101100
+00000000000000010000000110110000_00110_00000000000000010000000110101000
+00000000000000010000000110111000_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00100_00000000000000000000000000000000
+00000000000000010000000111000000_00110_00000000000000010000000111000000
+00000000000000010000000111000100_00110_00000000000000010000000111010000
+00000000000000010000000111001000_01101_00000000000000010000000111001100
+00000000000000010000000111010000_00100_00000000000000000000000000000001
+00000000000000010000000111010100_00101_00000000000000000000000000000010
+00000000000000010000000111000000_00110_00000000000000010000000111000000
+00000000000000010000000111000100_00110_00000000000000010000000111010000
+00000000000000010000000111001000_01101_00000000000000010000000111001100
+00000000000000010000000111010000_00100_00000000000000000000000000000010
+00000000000000010000000111010100_00101_00000000000000000000000000000010
+00000000000000010000000111011100_00011_00000000000000000000000000000101
+00000000000000010000000111100000_00100_00000000000000000000000000000000
+00000000000000010000000111100100_00110_00000000000000010000000111100100
+00000000000000010000000111101000_00110_00000000000000010000000111111000
+00000000000000010000000111101100_00000_00000000000000000000000000000000
+00000000000000010000000111110000_01101_00000000000000010000000111110100
+00000000000000010000000111111000_00100_00000000000000000000000000000001
+00000000000000010000000111111100_00101_00000000000000000000000000000010
+00000000000000010000000111100100_00110_00000000000000010000000111100100
+00000000000000010000000111101000_00110_00000000000000010000000111111000
+00000000000000010000000111101100_00000_00000000000000000000000000000000
+00000000000000010000000111110000_01101_00000000000000010000000111110100
+00000000000000010000000111111000_00100_00000000000000000000000000000010
+00000000000000010000000111111100_00101_00000000000000000000000000000010
+00000000000000010000001000000100_00011_00000000000000000000000000000110
+00000000000000010000001000001000_00100_00000000000000000000000000000000
+00000000000000010000001000001100_00110_00000000000000010000001000001100
+00000000000000010000001000010000_00110_00000000000000010000001000100100
+00000000000000010000001000010100_00000_00000000000000000000000000000000
+00000000000000010000001000011000_00000_00000000000000000000000000000000
+00000000000000010000001000011100_01101_00000000000000010000001000100000
+00000000000000010000001000100100_00100_00000000000000000000000000000001
+00000000000000010000001000101000_00101_00000000000000000000000000000010
+00000000000000010000001000001100_00110_00000000000000010000001000001100
+00000000000000010000001000010000_00110_00000000000000010000001000100100
+00000000000000010000001000010100_00000_00000000000000000000000000000000
+00000000000000010000001000011000_00000_00000000000000000000000000000000
+00000000000000010000001000011100_01101_00000000000000010000001000100000
+00000000000000010000001000100100_00100_00000000000000000000000000000010
+00000000000000010000001000101000_00101_00000000000000000000000000000010
+00000000000000010000001000110000_00101_00000000000000000000000000000001
+00000000000000010000001000110100_00110_00000000000000010000001000110100
+00000000000000010000001000111000_00110_00000000000000010000001001010000
+00000000000000010000001000111100_00000_00000000000000010000001001000000
+00000000000000010000001001001100_00101_00000000000000000000000000000010
+00000000000000010000001001010000_00101_00000000000000000000000000000011
+00000000000000010000001001010100_00101_00000000000000000000000000000100
+00000000000000010000001001011000_00111_00000000000000000000000000000100
+00000000000000010000001001011100_00011_00000000000000000000000000000111
+00000000000000010000001010001000_00011_00000000000000000000000000000001
+00000000000000010000001010001100_10001_00000000000000000000000001011101
+00000000000000010000001010010000_01010_00000000000000000000000000000000
+00000000000000010000001010010000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/jalr/dmem.coe b/verilog/dv/test_c0/coe/jalr/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jalr/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/jalr/imem.coe b/verilog/dv/test_c0/coe/jalr/imem.coe
new file mode 100644
index 0000000..2a52be8
--- /dev/null
+++ b/verilog/dv/test_c0/coe/jalr/imem.coe
@@ -0,0 +1,177 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00200193,
+00000293,
+00000317,
+01030313,
+000302E7,
+0E00006F,
+00000317,
+FFC30313,
+0C629A63,
+00300193,
+00000297,
+01028293,
+000282E7,
+0C00006F,
+00000317,
+FFC30313,
+0A629A63,
+00400193,
+00000213,
+00000317,
+01030313,
+000306E7,
+08301E63,
+00120213,
+00200293,
+FE5214E3,
+00500193,
+00000213,
+00000317,
+01430313,
+00000013,
+000306E7,
+06301A63,
+00120213,
+00200293,
+FE5212E3,
+00600193,
+00000213,
+00000317,
+01830313,
+00000013,
+00000013,
+000306E7,
+04301463,
+00120213,
+00200293,
+FE5210E3,
+00100293,
+00000317,
+01C30313,
+FFC30067,
+00128293,
+00128293,
+00128293,
+00128293,
+00128293,
+00128293,
+00400393,
+00700193,
+00729463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lb/cekirdek_dy.txt b/verilog/dv/test_c0/coe/lb/cekirdek_dy.txt
new file mode 100644
index 0000000..2bc1166
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lb/cekirdek_dy.txt
@@ -0,0 +1,577 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101000110000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000011100000011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000110000000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00100010011101110001110001100011_00000000000000010000000110001000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000110001100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11100111010000001000000010010011_00000000000000010000000110010000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100001000011100000011_00000000000000010000000110010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001100000000000110010011_00000000000000010000000110011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00100010011101110001000001100011_00000000000000010000000110100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000000110100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11100101110000001000000010010011_00000000000000010000000110101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000001000011100000011_00000000000000010000000110101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000010000000000000110010011_00000000000000010000000110110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00100000011101110001010001100011_00000000000000010000000110111000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000000110111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11100100010000001000000010010011_00000000000000010000000111000000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001100001000011100000011_00000000000000010000000111000100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000010100000000000110010011_00000000000000010000000111001100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00011110011101110001100001100011_00000000000000010000000111010000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000000111010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11100010111100001000000010010011_00000000000000010000000111011000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11111111110100001000011100000011_00000000000000010000000111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11111111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00011100011101110001110001100011_00000000000000010000000111101000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000111101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11100001011100001000000010010011_00000000000000010000000111110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111000001000011100000011_00000000000000010000000111110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000011100000000000110010011_00000000000000010000000111111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00011100011101110001000001100011_00000000000000010000001000000000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001000000100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11011111111100001000000010010011_00000000000000010000001000001000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111111111100001000011100000011_00000000000000010000001000001100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000100000000000000110010011_00000000000000010000001000010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00011010011101110001010001100011_00000000000000010000001000011000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001000011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11011110011100001000000010010011_00000000000000010000001000100000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000001000011100000011_00000000000000010000001000100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000100100000000000110010011_00000000000000010000001000101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00011000011101110001100001100011_00000000000000010000001000110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001000110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_11011100110000001000000010010011_00000000000000010000001000111000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_11111110000000001000000010010011_00000000000000010000001000111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000010000000001000001010000011_00000000000000010000001001000000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_11111111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001001000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00010110011100101001101001100011_00000000000000010000001001001100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000001001010000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11011011000000001000000010010011_00000000000000010000001001010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111101000001000000010010011_00000000000000010000001001011000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000011100001000001010000011_00000000000000010000001001011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_1_0_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_0_0_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_0_0_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_0_0_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_0_0_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_1_0_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_1_1_1_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000101100000000000110010011_00000000000000010000001001100100_00001111111100000000000011111111_0_1_1_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00010100011100101001110001100011_00000000000000010000001001101000_00001111111100000000000011111111_0_1_1_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000110000000000000110010011_00000000000000010000001001101100_00001111111100000000000011111111_0_1_1_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001000010011_00000000000000010000001001110000_00001111111100000000000011111111_0_1_1_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_11011000110100001000000010010011_00000000000000010000001001111000_00001111111100000000000011111111_0_1_1_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000100001000011100000011_00000000000000010000001001111100_00001111111100000000000011111111_0_1_1_1_11111111111111111111111111111111_0001_0_01000000000000000000000000000001
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001010000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00010010011100110001110001100011_00000000000000010000001010001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001010001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001010010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111110010100100001000011100011_00000000000000010000001010010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000110100000000000110010011_00000000000000010000001010011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11011000110100001000000010010011_00000000000000010000001001111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100001000011100000011_00000000000000010000001001111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001010000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00010010011100110001110001100011_00000000000000010000001010001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001010001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001010010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111110010100100001000011100011_00000000000000010000001010010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000110100000000000110010011_00000000000000010000001010011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001010011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11010110001000001000000010010011_00000000000000010000001010100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100001000011100000011_00000000000000010000001010101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000001110000001100010011_00000000000000010000001010110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001010110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00010000011100110001010001100011_00000000000000010000001010111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001010111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001011000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11111100010100100001111011100011_00000000000000010000001011000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111000000000000110010011_00000000000000010000001011001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11010110001000001000000010010011_00000000000000010000001010100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100001000011100000011_00000000000000010000001010101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000001110000001100010011_00000000000000010000001010110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001010110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00010000011100110001010001100011_00000000000000010000001010111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001010111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001011000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11111100010100100001111011100011_00000000000000010000001011000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111000000000000110010011_00000000000000010000001011001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000001000010011_00000000000000010000001011001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11010011000000001000000010010011_00000000000000010000001011010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100001000011100000011_00000000000000010000001011011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000001110000001100010011_00000000000000010000001011100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001011101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00001100011100110001101001100011_00000000000000010000001011101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001011110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001011110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111100010100100001110011100011_00000000000000010000001011111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000111100000000000110010011_00000000000000010000001011111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11010011000000001000000010010011_00000000000000010000001011010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100001000011100000011_00000000000000010000001011011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000001110000001100010011_00000000000000010000001011100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001011101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00001100011100110001101001100011_00000000000000010000001011101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001011110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001011110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111100010100100001110011100011_00000000000000010000001011111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000111100000000000110010011_00000000000000010000001011111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001000010011_00000000000000010000001100000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11001111110100001000000010010011_00000000000000010000001100001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100001000011100000011_00000000000000010000001100001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001010011101110001011001100011_00000000000000010000001100010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001100011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001100011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111110010100100001001011100011_00000000000000010000001100100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000001000000000000000110010011_00000000000000010000001100100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11001111110100001000000010010011_00000000000000010000001100001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100001000011100000011_00000000000000010000001100001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001010011101110001011001100011_00000000000000010000001100010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001100011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001100011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111110010100100001001011100011_00000000000000010000001100100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000001000000000000000110010011_00000000000000010000001100100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001100101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11001101011000001000000010010011_00000000000000010000001100110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100001000011100000011_00000000000000010000001100111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00001000011101110001000001100011_00000000000000010000001101000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001101000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001101001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11111110010100100001000011100011_00000000000000010000001101001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000001000100000000000110010011_00000000000000010000001101010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11001101011000001000000010010011_00000000000000010000001100110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001100110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100001000011100000011_00000000000000010000001100111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00001000011101110001000001100011_00000000000000010000001101000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001101000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001101001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11111110010100100001000011100011_00000000000000010000001101001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000001000100000000000110010011_00000000000000010000001101010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000001000010011_00000000000000010000001101010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11001010100000001000000010010011_00000000000000010000001101011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001101100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001101100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100001000011100000011_00000000000000010000001101101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000100011101110001100001100011_00000000000000010000001101110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001101110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001101111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111100010100100001111011100011_00000000000000010000001101111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000001010010111_00000000000000010000001110000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11001010100000001000000010010011_00000000000000010000001101011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001101100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001101100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100001000011100000011_00000000000000010000001101101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000100011101110001100001100011_00000000000000010000001101110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001101110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001101111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111100010100100001111011100011_00000000000000010000001101111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000001010010111_00000000000000010000001110000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11001000000000101000001010010011_00000000000000010000001110000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000101000000100000011_00000000000000010000001110001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001110010000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000010011100010001010001100011_00000000000000010000001110011000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000001010010111_00000000000000010000001110011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11000110010000101000001010010011_00000000000000010000001110100000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000101000000100000011_00000000000000010000001110100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001110110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000011100010001010001100011_00000000000000010000001110111000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001110111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111000000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001111100000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001111100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001111101000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001111101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001111110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lb/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/lb/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..d2490d7
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lb/cekirdek_ps_bin.txt
@@ -0,0 +1,276 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101000
diff --git a/verilog/dv/test_c0/coe/lb/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/lb/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..372c118
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lb/cekirdek_ps_hex.txt
@@ -0,0 +1,276 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103dc
+000103e0
+000103e4
+000103e8
+000103e8
diff --git a/verilog/dv/test_c0/coe/lb/cekirdek_yo.txt b/verilog/dv/test_c0/coe/lb/cekirdek_yo.txt
new file mode 100644
index 0000000..14b2380
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lb/cekirdek_yo.txt
@@ -0,0 +1,220 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_01000000000000000000000101110100
+00000000000000010000000101111000_00001_01000000000000000000000000000000
+00000000000000010000000101111100_01110_11111111111111111111111111111111
+00000000000000010000000110000000_00111_11111111111111111111111111111111
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_01000000000000000000000110001100
+00000000000000010000000110010000_00001_01000000000000000000000000000000
+00000000000000010000000110010100_01110_00000000000000000000000000000000
+00000000000000010000000110011000_00111_00000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_01000000000000000000000110100100
+00000000000000010000000110101000_00001_01000000000000000000000000000000
+00000000000000010000000110101100_01110_11111111111111111111111111110000
+00000000000000010000000110110000_00111_11111111111111111111111111110000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_01000000000000000000000110111100
+00000000000000010000000111000000_00001_01000000000000000000000000000000
+00000000000000010000000111000100_01110_00000000000000000000000000001111
+00000000000000010000000111001000_00111_00000000000000000000000000001111
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_01000000000000000000000111010100
+00000000000000010000000111011000_00001_01000000000000000000000000000011
+00000000000000010000000111011100_01110_11111111111111111111111111111111
+00000000000000010000000111100000_00111_11111111111111111111111111111111
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_01000000000000000000000111101100
+00000000000000010000000111110000_00001_01000000000000000000000000000011
+00000000000000010000000111110100_01110_00000000000000000000000000000000
+00000000000000010000000111111000_00111_00000000000000000000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_01000000000000000000001000000100
+00000000000000010000001000001000_00001_01000000000000000000000000000011
+00000000000000010000001000001100_01110_11111111111111111111111111110000
+00000000000000010000001000010000_00111_11111111111111111111111111110000
+00000000000000010000001000010100_00011_00000000000000000000000000001000
+00000000000000010000001000011100_00001_01000000000000000000001000011100
+00000000000000010000001000100000_00001_01000000000000000000000000000011
+00000000000000010000001000100100_01110_00000000000000000000000000001111
+00000000000000010000001000101000_00111_00000000000000000000000000001111
+00000000000000010000001000101100_00011_00000000000000000000000000001001
+00000000000000010000001000110100_00001_01000000000000000000001000110100
+00000000000000010000001000111000_00001_01000000000000000000000000000000
+00000000000000010000001000111100_00001_00111111111111111111111111100000
+00000000000000010000001001000000_00101_11111111111111111111111111111111
+00000000000000010000001001000100_00111_11111111111111111111111111111111
+00000000000000010000001001001000_00011_00000000000000000000000000001010
+00000000000000010000001001010000_00001_01000000000000000000001001010000
+00000000000000010000001001010100_00001_01000000000000000000000000000000
+00000000000000010000001001011000_00001_00111111111111111111111111111010
+00000000000000010000001001011100_00101_00000000000000000000000000000000
+00000000000000010000001001100000_00111_00000000000000000000000000000000
+00000000000000010000001001100100_00011_00000000000000000000000000001011
+00000000000000010000001001101100_00011_00000000000000000000000000001100
+00000000000000010000001001110000_00100_00000000000000000000000000000000
+00000000000000010000001001110100_00001_01000000000000000000001001110100
+00000000000000010000001001111000_00001_01000000000000000000000000000001
+00000000000000010000001001111100_01110_11111111111111111111111111110000
+00000000000000010000001010000000_00110_11111111111111111111111111110000
+00000000000000010000001010000100_00111_11111111111111111111111111110000
+00000000000000010000001010001100_00100_00000000000000000000000000000001
+00000000000000010000001010010000_00101_00000000000000000000000000000010
+00000000000000010000001001110100_00001_01000000000000000000001001110100
+00000000000000010000001001111000_00001_01000000000000000000000000000001
+00000000000000010000001001111100_01110_11111111111111111111111111110000
+00000000000000010000001010000000_00110_11111111111111111111111111110000
+00000000000000010000001010000100_00111_11111111111111111111111111110000
+00000000000000010000001010001100_00100_00000000000000000000000000000010
+00000000000000010000001010010000_00101_00000000000000000000000000000010
+00000000000000010000001010011000_00011_00000000000000000000000000001101
+00000000000000010000001010011100_00100_00000000000000000000000000000000
+00000000000000010000001010100000_00001_01000000000000000000001010100000
+00000000000000010000001010100100_00001_01000000000000000000000000000010
+00000000000000010000001010101000_01110_00000000000000000000000000001111
+00000000000000010000001010101100_00000_00000000000000000000000000000000
+00000000000000010000001010110000_00110_00000000000000000000000000001111
+00000000000000010000001010110100_00111_00000000000000000000000000001111
+00000000000000010000001010111100_00100_00000000000000000000000000000001
+00000000000000010000001011000000_00101_00000000000000000000000000000010
+00000000000000010000001010100000_00001_01000000000000000000001010100000
+00000000000000010000001010100100_00001_01000000000000000000000000000010
+00000000000000010000001010101000_01110_00000000000000000000000000001111
+00000000000000010000001010101100_00000_00000000000000000000000000000000
+00000000000000010000001010110000_00110_00000000000000000000000000001111
+00000000000000010000001010110100_00111_00000000000000000000000000001111
+00000000000000010000001010111100_00100_00000000000000000000000000000010
+00000000000000010000001011000000_00101_00000000000000000000000000000010
+00000000000000010000001011001000_00011_00000000000000000000000000001110
+00000000000000010000001011001100_00100_00000000000000000000000000000000
+00000000000000010000001011010000_00001_01000000000000000000001011010000
+00000000000000010000001011010100_00001_01000000000000000000000000000000
+00000000000000010000001011011000_01110_00000000000000000000000000000000
+00000000000000010000001011011100_00000_00000000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011100100_00110_00000000000000000000000000000000
+00000000000000010000001011101000_00111_00000000000000000000000000000000
+00000000000000010000001011110000_00100_00000000000000000000000000000001
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011010000_00001_01000000000000000000001011010000
+00000000000000010000001011010100_00001_01000000000000000000000000000000
+00000000000000010000001011011000_01110_00000000000000000000000000000000
+00000000000000010000001011011100_00000_00000000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011100100_00110_00000000000000000000000000000000
+00000000000000010000001011101000_00111_00000000000000000000000000000000
+00000000000000010000001011110000_00100_00000000000000000000000000000010
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011111100_00011_00000000000000000000000000001111
+00000000000000010000001100000000_00100_00000000000000000000000000000000
+00000000000000010000001100000100_00001_01000000000000000000001100000100
+00000000000000010000001100001000_00001_01000000000000000000000000000001
+00000000000000010000001100001100_01110_11111111111111111111111111110000
+00000000000000010000001100010000_00111_11111111111111111111111111110000
+00000000000000010000001100011000_00100_00000000000000000000000000000001
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100000100_00001_01000000000000000000001100000100
+00000000000000010000001100001000_00001_01000000000000000000000000000001
+00000000000000010000001100001100_01110_11111111111111111111111111110000
+00000000000000010000001100010000_00111_11111111111111111111111111110000
+00000000000000010000001100011000_00100_00000000000000000000000000000010
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100100100_00011_00000000000000000000000000010000
+00000000000000010000001100101000_00100_00000000000000000000000000000000
+00000000000000010000001100101100_00001_01000000000000000000001100101100
+00000000000000010000001100110000_00001_01000000000000000000000000000010
+00000000000000010000001100110100_00000_00000000000000000000000000000000
+00000000000000010000001100111000_01110_00000000000000000000000000001111
+00000000000000010000001100111100_00111_00000000000000000000000000001111
+00000000000000010000001101000100_00100_00000000000000000000000000000001
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001100101100_00001_01000000000000000000001100101100
+00000000000000010000001100110000_00001_01000000000000000000000000000010
+00000000000000010000001100110100_00000_00000000000000000000000000000000
+00000000000000010000001100111000_01110_00000000000000000000000000001111
+00000000000000010000001100111100_00111_00000000000000000000000000001111
+00000000000000010000001101000100_00100_00000000000000000000000000000010
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00011_00000000000000000000000000010001
+00000000000000010000001101010100_00100_00000000000000000000000000000000
+00000000000000010000001101011000_00001_01000000000000000000001101011000
+00000000000000010000001101011100_00001_01000000000000000000000000000000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101000_01110_00000000000000000000000000000000
+00000000000000010000001101101100_00111_00000000000000000000000000000000
+00000000000000010000001101110100_00100_00000000000000000000000000000001
+00000000000000010000001101111000_00101_00000000000000000000000000000010
+00000000000000010000001101011000_00001_01000000000000000000001101011000
+00000000000000010000001101011100_00001_01000000000000000000000000000000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101000_01110_00000000000000000000000000000000
+00000000000000010000001101101100_00111_00000000000000000000000000000000
+00000000000000010000001101110100_00100_00000000000000000000000000000010
+00000000000000010000001101111000_00101_00000000000000000000000000000010
+00000000000000010000001110000000_00101_01000000000000000000001110000000
+00000000000000010000001110000100_00101_01000000000000000000000000000000
+00000000000000010000001110001000_00010_11111111111111111111111111111111
+00000000000000010000001110001100_00010_00000000000000000000000000000010
+00000000000000010000001110010000_00111_00000000000000000000000000000010
+00000000000000010000001110010100_00011_00000000000000000000000000010010
+00000000000000010000001110011100_00101_01000000000000000000001110011100
+00000000000000010000001110100000_00101_01000000000000000000000000000000
+00000000000000010000001110100100_00010_11111111111111111111111111111111
+00000000000000010000001110101000_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00010_00000000000000000000000000000010
+00000000000000010000001110110000_00111_00000000000000000000000000000010
+00000000000000010000001110110100_00011_00000000000000000000000000010011
+00000000000000010000001111100000_00011_00000000000000000000000000000001
+00000000000000010000001111100100_10001_00000000000000000000000001011101
+00000000000000010000001111101000_01010_00000000000000000000000000000000
+00000000000000010000001111101000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lb/dmem.coe b/verilog/dv/test_c0/coe/lb/dmem.coe
new file mode 100644
index 0000000..58b89cb
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lb/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0FF000FF,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lb/imem.coe b/verilog/dv/test_c0/coe/lb/imem.coe
new file mode 100644
index 0000000..5d7d945
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lb/imem.coe
@@ -0,0 +1,257 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+3FFF0097,
+E8C08093,
+00008703,
+FFF00393,
+00200193,
+22771C63,
+3FFF0097,
+E7408093,
+00108703,
+00000393,
+00300193,
+22771063,
+3FFF0097,
+E5C08093,
+00208703,
+FF000393,
+00400193,
+20771463,
+3FFF0097,
+E4408093,
+00308703,
+00F00393,
+00500193,
+1E771863,
+3FFF0097,
+E2F08093,
+FFD08703,
+FFF00393,
+00600193,
+1C771C63,
+3FFF0097,
+E1708093,
+FFE08703,
+00000393,
+00700193,
+1C771063,
+3FFF0097,
+DFF08093,
+FFF08703,
+FF000393,
+00800193,
+1A771463,
+3FFF0097,
+DE708093,
+00008703,
+00F00393,
+00900193,
+18771863,
+3FFF0097,
+DCC08093,
+FE008093,
+02008283,
+FFF00393,
+00A00193,
+16729A63,
+3FFF0097,
+DB008093,
+FFA08093,
+00708283,
+00000393,
+00B00193,
+14729C63,
+00C00193,
+00000213,
+3FFF0097,
+D8D08093,
+00108703,
+00070313,
+FF000393,
+12731C63,
+00120213,
+00200293,
+FE5210E3,
+00D00193,
+00000213,
+3FFF0097,
+D6208093,
+00108703,
+00000013,
+00070313,
+00F00393,
+10731463,
+00120213,
+00200293,
+FC521EE3,
+00E00193,
+00000213,
+3FFF0097,
+D3008093,
+00108703,
+00000013,
+00000013,
+00070313,
+00000393,
+0C731A63,
+00120213,
+00200293,
+FC521CE3,
+00F00193,
+00000213,
+3FFF0097,
+CFD08093,
+00108703,
+FF000393,
+0A771663,
+00120213,
+00200293,
+FE5212E3,
+01000193,
+00000213,
+3FFF0097,
+CD608093,
+00000013,
+00108703,
+00F00393,
+08771063,
+00120213,
+00200293,
+FE5210E3,
+01100193,
+00000213,
+3FFF0097,
+CA808093,
+00000013,
+00000013,
+00108703,
+00000393,
+04771863,
+00120213,
+00200293,
+FC521EE3,
+3FFF0297,
+C8028293,
+00028103,
+00200113,
+00200393,
+01200193,
+02711463,
+3FFF0297,
+C6428293,
+00028103,
+00000013,
+00200113,
+00200393,
+01300193,
+00711463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lbu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/lbu/cekirdek_dy.txt
new file mode 100644
index 0000000..da8f088
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lbu/cekirdek_dy.txt
@@ -0,0 +1,577 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101000110000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001100011100000011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00100010011101110001110001100011_00000000000000010000000110001000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000110001100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11100111010000001000000010010011_00000000000000010000000110010000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100001100011100000011_00000000000000010000000110010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110011000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001100000000000110010011_00000000000000010000000110011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00100010011101110001000001100011_00000000000000010000000110100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000000110100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11100101110000001000000010010011_00000000000000010000000110101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000001100011100000011_00000000000000010000000110101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00001111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000000110110000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000010000000000000110010011_00000000000000010000000110110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00100000011101110001010001100011_00000000000000010000000110111000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000000110111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11100100010000001000000010010011_00000000000000010000000111000000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001100001100011100000011_00000000000000010000000111000100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000000111001000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000010100000000000110010011_00000000000000010000000111001100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00011110011101110001100001100011_00000000000000010000000111010000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000000111010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11100010111100001000000010010011_00000000000000010000000111011000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11111111110100001100011100000011_00000000000000010000000111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00001111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111100000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00011100011101110001110001100011_00000000000000010000000111101000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000111101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11100001011100001000000010010011_00000000000000010000000111110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111111000001100011100000011_00000000000000010000000111110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000111111000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000011100000000000110010011_00000000000000010000000111111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00011100011101110001000001100011_00000000000000010000001000000000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001000000100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11011111111100001000000010010011_00000000000000010000001000001000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111111111100001100011100000011_00000000000000010000001000001100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00001111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001000010000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000100000000000000110010011_00000000000000010000001000010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00011010011101110001010001100011_00000000000000010000001000011000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001000011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11011110011100001000000010010011_00000000000000010000001000100000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000001100011100000011_00000000000000010000001000100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001000101000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000000100100000000000110010011_00000000000000010000001000101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00011000011101110001100001100011_00000000000000010000001000110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001000110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_11011100110000001000000010010011_00000000000000010000001000111000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_11111110000000001000000010010011_00000000000000010000001000111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00000010000000001100001010000011_00000000000000010000001001000000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000011
+1_00001111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001000100_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001001000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00010110011100101001101001100011_00000000000000010000001001001100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000001001010000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11011011000000001000000010010011_00000000000000010000001001010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111111101000001000000010010011_00000000000000010000001001011000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000011100001100001010000011_00000000000000010000001001011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001001100000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000101100000000000110010011_00000000000000010000001001100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00010100011100101001110001100011_00000000000000010000001001101000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000110000000000000110010011_00000000000000010000001001101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000000000000001000010011_00000000000000010000001001110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_11011000110100001000000010010011_00000000000000010000001001111000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000100001100011100000011_00000000000000010000001001111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000011111111_0001_0_01000000000000000000000000000001
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001010000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00010010011100110001110001100011_00000000000000010000001010001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001010001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001010010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111110010100100001000011100011_00000000000000010000001010010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000110100000000000110010011_00000000000000010000001010011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001001110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11011000110100001000000010010011_00000000000000010000001001111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100001100011100000011_00000000000000010000001001111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010000000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001010000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00010010011100110001110001100011_00000000000000010000001010001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001010001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001010010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111110010100100001000011100011_00000000000000010000001010010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000110100000000000110010011_00000000000000010000001010011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001010011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11010110001000001000000010010011_00000000000000010000001010100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100001100011100000011_00000000000000010000001010101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000001110000001100010011_00000000000000010000001010110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001010110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00010000011100110001010001100011_00000000000000010000001010111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001010111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001011000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11111100010100100001111011100011_00000000000000010000001011000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111000000000000110010011_00000000000000010000001011001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001010100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11010110001000001000000010010011_00000000000000010000001010100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100001100011100000011_00000000000000010000001010101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001010101100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000001110000001100010011_00000000000000010000001010110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001010110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00010000011100110001010001100011_00000000000000010000001010111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001010111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001011000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11111100010100100001111011100011_00000000000000010000001011000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111000000000000110010011_00000000000000010000001011001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000001000010011_00000000000000010000001011001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11010011000000001000000010010011_00000000000000010000001011010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100001100011100000011_00000000000000010000001011011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000001110000001100010011_00000000000000010000001011100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001011101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00001100011100110001101001100011_00000000000000010000001011101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001011110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001011110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111100010100100001110011100011_00000000000000010000001011111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000111100000000000110010011_00000000000000010000001011111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001011010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11010011000000001000000010010011_00000000000000010000001011010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100001100011100000011_00000000000000010000001011011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011011100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001011100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000001110000001100010011_00000000000000010000001011100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001011101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00001100011100110001101001100011_00000000000000010000001011101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001011110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001011110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111100010100100001110011100011_00000000000000010000001011111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000111100000000000110010011_00000000000000010000001011111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001000010011_00000000000000010000001100000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11001111110100001000000010010011_00000000000000010000001100001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100001100011100000011_00000000000000010000001100001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001010011101110001011001100011_00000000000000010000001100010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001100011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001100011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111110010100100001001011100011_00000000000000010000001100100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000001000000000000000110010011_00000000000000010000001100100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001100000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11001111110100001000000010010011_00000000000000010000001100001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100001100011100000011_00000000000000010000001100001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001111000000000000001110010011_00000000000000010000001100010000_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00001010011101110001011001100011_00000000000000010000001100010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001100011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001100011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11111110010100100001001011100011_00000000000000010000001100100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000001000000000000000110010011_00000000000000010000001100100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001100101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_11001101011000001000000010010011_00000000000000010000001100110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000000100001100011100000011_00000000000000010000001100111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000001_0001_0_01000000000000000000000000000010
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00001000011101110001000001100011_00000000000000010000001101000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001101000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001101001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11111110010100100001000011100011_00000000000000010000001101001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000001000100000000000110010011_00000000000000010000001101010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+0_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001100101100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11001101011000001000000010010011_00000000000000010000001100110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001100110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100001100011100000011_00000000000000010000001100111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000111100000000001110010011_00000000000000010000001100111100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00001000011101110001000001100011_00000000000000010000001101000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001101000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001101001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11111110010100100001000011100011_00000000000000010000001101001100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000001000100000000000110010011_00000000000000010000001101010000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000001000010011_00000000000000010000001101010100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_11001010100000001000000010010011_00000000000000010000001101011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001101100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001101100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000100001100011100000011_00000000000000010000001101101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000010_0001_0_01000000000000000000000000000011
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000100011101110001100001100011_00000000000000010000001101110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001101110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001101111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111100010100100001111011100011_00000000000000010000001101111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000001010010111_00000000000000010000001110000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+0_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000001101011000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11001010100000001000000010010011_00000000000000010000001101011100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001101100000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001101100100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100001100011100000011_00000000000000010000001101101000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000001101101100_00001111111100000000000011111111_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000100011101110001100001100011_00000000000000010000001101110000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001101110100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001101111000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111100010100100001111011100011_00000000000000010000001101111100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000001010010111_00000000000000010000001110000000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11001000000000101000001010010011_00000000000000010000001110000100_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000101100000100000011_00000000000000010000001110001000_00001111111100000000000011111111_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110001100_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001110010000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110010100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000010011100010001010001100011_00000000000000010000001110011000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000001010010111_00000000000000010000001110011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11000110010000101000001010010011_00000000000000010000001110100000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000101100000100000011_00000000000000010000001110100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00001111111100000000000011111111_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001110110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110110100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000011100010001010001100011_00000000000000010000001110111000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001110111100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111000000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111011100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001111100000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001111100100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001111101000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001111101100_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001111110000_00001111111100000000000011111111_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lbu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/lbu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..d2490d7
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lbu/cekirdek_ps_bin.txt
@@ -0,0 +1,276 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101000
diff --git a/verilog/dv/test_c0/coe/lbu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/lbu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..372c118
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lbu/cekirdek_ps_hex.txt
@@ -0,0 +1,276 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103dc
+000103e0
+000103e4
+000103e8
+000103e8
diff --git a/verilog/dv/test_c0/coe/lbu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/lbu/cekirdek_yo.txt
new file mode 100644
index 0000000..5c6c5d2
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lbu/cekirdek_yo.txt
@@ -0,0 +1,220 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_01000000000000000000000101110100
+00000000000000010000000101111000_00001_01000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000011111111
+00000000000000010000000110000000_00111_00000000000000000000000011111111
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_01000000000000000000000110001100
+00000000000000010000000110010000_00001_01000000000000000000000000000000
+00000000000000010000000110010100_01110_00000000000000000000000000000000
+00000000000000010000000110011000_00111_00000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_01000000000000000000000110100100
+00000000000000010000000110101000_00001_01000000000000000000000000000000
+00000000000000010000000110101100_01110_00000000000000000000000011110000
+00000000000000010000000110110000_00111_00000000000000000000000011110000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_01000000000000000000000110111100
+00000000000000010000000111000000_00001_01000000000000000000000000000000
+00000000000000010000000111000100_01110_00000000000000000000000000001111
+00000000000000010000000111001000_00111_00000000000000000000000000001111
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_01000000000000000000000111010100
+00000000000000010000000111011000_00001_01000000000000000000000000000011
+00000000000000010000000111011100_01110_00000000000000000000000011111111
+00000000000000010000000111100000_00111_00000000000000000000000011111111
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_01000000000000000000000111101100
+00000000000000010000000111110000_00001_01000000000000000000000000000011
+00000000000000010000000111110100_01110_00000000000000000000000000000000
+00000000000000010000000111111000_00111_00000000000000000000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_01000000000000000000001000000100
+00000000000000010000001000001000_00001_01000000000000000000000000000011
+00000000000000010000001000001100_01110_00000000000000000000000011110000
+00000000000000010000001000010000_00111_00000000000000000000000011110000
+00000000000000010000001000010100_00011_00000000000000000000000000001000
+00000000000000010000001000011100_00001_01000000000000000000001000011100
+00000000000000010000001000100000_00001_01000000000000000000000000000011
+00000000000000010000001000100100_01110_00000000000000000000000000001111
+00000000000000010000001000101000_00111_00000000000000000000000000001111
+00000000000000010000001000101100_00011_00000000000000000000000000001001
+00000000000000010000001000110100_00001_01000000000000000000001000110100
+00000000000000010000001000111000_00001_01000000000000000000000000000000
+00000000000000010000001000111100_00001_00111111111111111111111111100000
+00000000000000010000001001000000_00101_00000000000000000000000011111111
+00000000000000010000001001000100_00111_00000000000000000000000011111111
+00000000000000010000001001001000_00011_00000000000000000000000000001010
+00000000000000010000001001010000_00001_01000000000000000000001001010000
+00000000000000010000001001010100_00001_01000000000000000000000000000000
+00000000000000010000001001011000_00001_00111111111111111111111111111010
+00000000000000010000001001011100_00101_00000000000000000000000000000000
+00000000000000010000001001100000_00111_00000000000000000000000000000000
+00000000000000010000001001100100_00011_00000000000000000000000000001011
+00000000000000010000001001101100_00011_00000000000000000000000000001100
+00000000000000010000001001110000_00100_00000000000000000000000000000000
+00000000000000010000001001110100_00001_01000000000000000000001001110100
+00000000000000010000001001111000_00001_01000000000000000000000000000001
+00000000000000010000001001111100_01110_00000000000000000000000011110000
+00000000000000010000001010000000_00110_00000000000000000000000011110000
+00000000000000010000001010000100_00111_00000000000000000000000011110000
+00000000000000010000001010001100_00100_00000000000000000000000000000001
+00000000000000010000001010010000_00101_00000000000000000000000000000010
+00000000000000010000001001110100_00001_01000000000000000000001001110100
+00000000000000010000001001111000_00001_01000000000000000000000000000001
+00000000000000010000001001111100_01110_00000000000000000000000011110000
+00000000000000010000001010000000_00110_00000000000000000000000011110000
+00000000000000010000001010000100_00111_00000000000000000000000011110000
+00000000000000010000001010001100_00100_00000000000000000000000000000010
+00000000000000010000001010010000_00101_00000000000000000000000000000010
+00000000000000010000001010011000_00011_00000000000000000000000000001101
+00000000000000010000001010011100_00100_00000000000000000000000000000000
+00000000000000010000001010100000_00001_01000000000000000000001010100000
+00000000000000010000001010100100_00001_01000000000000000000000000000010
+00000000000000010000001010101000_01110_00000000000000000000000000001111
+00000000000000010000001010101100_00000_00000000000000000000000000000000
+00000000000000010000001010110000_00110_00000000000000000000000000001111
+00000000000000010000001010110100_00111_00000000000000000000000000001111
+00000000000000010000001010111100_00100_00000000000000000000000000000001
+00000000000000010000001011000000_00101_00000000000000000000000000000010
+00000000000000010000001010100000_00001_01000000000000000000001010100000
+00000000000000010000001010100100_00001_01000000000000000000000000000010
+00000000000000010000001010101000_01110_00000000000000000000000000001111
+00000000000000010000001010101100_00000_00000000000000000000000000000000
+00000000000000010000001010110000_00110_00000000000000000000000000001111
+00000000000000010000001010110100_00111_00000000000000000000000000001111
+00000000000000010000001010111100_00100_00000000000000000000000000000010
+00000000000000010000001011000000_00101_00000000000000000000000000000010
+00000000000000010000001011001000_00011_00000000000000000000000000001110
+00000000000000010000001011001100_00100_00000000000000000000000000000000
+00000000000000010000001011010000_00001_01000000000000000000001011010000
+00000000000000010000001011010100_00001_01000000000000000000000000000000
+00000000000000010000001011011000_01110_00000000000000000000000000000000
+00000000000000010000001011011100_00000_00000000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011100100_00110_00000000000000000000000000000000
+00000000000000010000001011101000_00111_00000000000000000000000000000000
+00000000000000010000001011110000_00100_00000000000000000000000000000001
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011010000_00001_01000000000000000000001011010000
+00000000000000010000001011010100_00001_01000000000000000000000000000000
+00000000000000010000001011011000_01110_00000000000000000000000000000000
+00000000000000010000001011011100_00000_00000000000000000000000000000000
+00000000000000010000001011100000_00000_00000000000000000000000000000000
+00000000000000010000001011100100_00110_00000000000000000000000000000000
+00000000000000010000001011101000_00111_00000000000000000000000000000000
+00000000000000010000001011110000_00100_00000000000000000000000000000010
+00000000000000010000001011110100_00101_00000000000000000000000000000010
+00000000000000010000001011111100_00011_00000000000000000000000000001111
+00000000000000010000001100000000_00100_00000000000000000000000000000000
+00000000000000010000001100000100_00001_01000000000000000000001100000100
+00000000000000010000001100001000_00001_01000000000000000000000000000001
+00000000000000010000001100001100_01110_00000000000000000000000011110000
+00000000000000010000001100010000_00111_00000000000000000000000011110000
+00000000000000010000001100011000_00100_00000000000000000000000000000001
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100000100_00001_01000000000000000000001100000100
+00000000000000010000001100001000_00001_01000000000000000000000000000001
+00000000000000010000001100001100_01110_00000000000000000000000011110000
+00000000000000010000001100010000_00111_00000000000000000000000011110000
+00000000000000010000001100011000_00100_00000000000000000000000000000010
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100100100_00011_00000000000000000000000000010000
+00000000000000010000001100101000_00100_00000000000000000000000000000000
+00000000000000010000001100101100_00001_01000000000000000000001100101100
+00000000000000010000001100110000_00001_01000000000000000000000000000010
+00000000000000010000001100110100_00000_00000000000000000000000000000000
+00000000000000010000001100111000_01110_00000000000000000000000000001111
+00000000000000010000001100111100_00111_00000000000000000000000000001111
+00000000000000010000001101000100_00100_00000000000000000000000000000001
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001100101100_00001_01000000000000000000001100101100
+00000000000000010000001100110000_00001_01000000000000000000000000000010
+00000000000000010000001100110100_00000_00000000000000000000000000000000
+00000000000000010000001100111000_01110_00000000000000000000000000001111
+00000000000000010000001100111100_00111_00000000000000000000000000001111
+00000000000000010000001101000100_00100_00000000000000000000000000000010
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00011_00000000000000000000000000010001
+00000000000000010000001101010100_00100_00000000000000000000000000000000
+00000000000000010000001101011000_00001_01000000000000000000001101011000
+00000000000000010000001101011100_00001_01000000000000000000000000000000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101000_01110_00000000000000000000000000000000
+00000000000000010000001101101100_00111_00000000000000000000000000000000
+00000000000000010000001101110100_00100_00000000000000000000000000000001
+00000000000000010000001101111000_00101_00000000000000000000000000000010
+00000000000000010000001101011000_00001_01000000000000000000001101011000
+00000000000000010000001101011100_00001_01000000000000000000000000000000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101000_01110_00000000000000000000000000000000
+00000000000000010000001101101100_00111_00000000000000000000000000000000
+00000000000000010000001101110100_00100_00000000000000000000000000000010
+00000000000000010000001101111000_00101_00000000000000000000000000000010
+00000000000000010000001110000000_00101_01000000000000000000001110000000
+00000000000000010000001110000100_00101_01000000000000000000000000000000
+00000000000000010000001110001000_00010_00000000000000000000000011111111
+00000000000000010000001110001100_00010_00000000000000000000000000000010
+00000000000000010000001110010000_00111_00000000000000000000000000000010
+00000000000000010000001110010100_00011_00000000000000000000000000010010
+00000000000000010000001110011100_00101_01000000000000000000001110011100
+00000000000000010000001110100000_00101_01000000000000000000000000000000
+00000000000000010000001110100100_00010_00000000000000000000000011111111
+00000000000000010000001110101000_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00010_00000000000000000000000000000010
+00000000000000010000001110110000_00111_00000000000000000000000000000010
+00000000000000010000001110110100_00011_00000000000000000000000000010011
+00000000000000010000001111100000_00011_00000000000000000000000000000001
+00000000000000010000001111100100_10001_00000000000000000000000001011101
+00000000000000010000001111101000_01010_00000000000000000000000000000000
+00000000000000010000001111101000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lbu/dmem.coe b/verilog/dv/test_c0/coe/lbu/dmem.coe
new file mode 100644
index 0000000..58b89cb
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lbu/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0FF000FF,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lbu/imem.coe b/verilog/dv/test_c0/coe/lbu/imem.coe
new file mode 100644
index 0000000..e842ee0
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lbu/imem.coe
@@ -0,0 +1,257 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+3FFF0097,
+E8C08093,
+0000C703,
+0FF00393,
+00200193,
+22771C63,
+3FFF0097,
+E7408093,
+0010C703,
+00000393,
+00300193,
+22771063,
+3FFF0097,
+E5C08093,
+0020C703,
+0F000393,
+00400193,
+20771463,
+3FFF0097,
+E4408093,
+0030C703,
+00F00393,
+00500193,
+1E771863,
+3FFF0097,
+E2F08093,
+FFD0C703,
+0FF00393,
+00600193,
+1C771C63,
+3FFF0097,
+E1708093,
+FFE0C703,
+00000393,
+00700193,
+1C771063,
+3FFF0097,
+DFF08093,
+FFF0C703,
+0F000393,
+00800193,
+1A771463,
+3FFF0097,
+DE708093,
+0000C703,
+00F00393,
+00900193,
+18771863,
+3FFF0097,
+DCC08093,
+FE008093,
+0200C283,
+0FF00393,
+00A00193,
+16729A63,
+3FFF0097,
+DB008093,
+FFA08093,
+0070C283,
+00000393,
+00B00193,
+14729C63,
+00C00193,
+00000213,
+3FFF0097,
+D8D08093,
+0010C703,
+00070313,
+0F000393,
+12731C63,
+00120213,
+00200293,
+FE5210E3,
+00D00193,
+00000213,
+3FFF0097,
+D6208093,
+0010C703,
+00000013,
+00070313,
+00F00393,
+10731463,
+00120213,
+00200293,
+FC521EE3,
+00E00193,
+00000213,
+3FFF0097,
+D3008093,
+0010C703,
+00000013,
+00000013,
+00070313,
+00000393,
+0C731A63,
+00120213,
+00200293,
+FC521CE3,
+00F00193,
+00000213,
+3FFF0097,
+CFD08093,
+0010C703,
+0F000393,
+0A771663,
+00120213,
+00200293,
+FE5212E3,
+01000193,
+00000213,
+3FFF0097,
+CD608093,
+00000013,
+0010C703,
+00F00393,
+08771063,
+00120213,
+00200293,
+FE5210E3,
+01100193,
+00000213,
+3FFF0097,
+CA808093,
+00000013,
+00000013,
+0010C703,
+00000393,
+04771863,
+00120213,
+00200293,
+FC521EE3,
+3FFF0297,
+C8028293,
+0002C103,
+00200113,
+00200393,
+01200193,
+02711463,
+3FFF0297,
+C6428293,
+0002C103,
+00000013,
+00200113,
+00200393,
+01300193,
+00711463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lh/cekirdek_dy.txt b/verilog/dv/test_c0/coe/lh/cekirdek_dy.txt
new file mode 100644
index 0000000..40302e1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lh/cekirdek_dy.txt
@@ -0,0 +1,589 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101000110000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001011100000011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00100100011101110001110001100011_00000000000000010000000110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000110001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11100111010000001000000010010011_00000000000000010000000110010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000001001011100000011_00000000000000010000000110010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11110000000000000000001110010011_00000000000000010000000110011000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000000110011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000000110011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000000110011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000000110011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000000110011000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000000110011000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001100000000000110010011_00000000000000010000000110011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00100100011101110001000001100011_00000000000000010000000110100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000000110100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11100101110000001000000010010011_00000000000000010000000110101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000010000001001011100000011_00000000000000010000000110101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000000110110000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000000110110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000010000000000000110010011_00000000000000010000000110111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00100010011101110001001001100011_00000000000000010000000110111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000000111000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11100100000000001000000010010011_00000000000000010000000111000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000011000001001011100000011_00000000000000010000000111001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111111001110110111_00000000000000010000000111001100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000000111001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000000111001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000000111001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000000111001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000000111001100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000000111001100_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000000111010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000010100000000000110010011_00000000000000010000000111010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00100000011101110001010001100011_00000000000000010000000111011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000000111011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11100010101000001000000010010011_00000000000000010000000111100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111101000001001011100000011_00000000000000010000000111100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001111111100000000001110010011_00000000000000010000000111101000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00011110011101110001100001100011_00000000000000010000000111110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000111110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11100001001000001000000010010011_00000000000000010000000111111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111110000001001011100000011_00000000000000010000000111111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11110000000000000000001110010011_00000000000000010000001000000000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001000000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001000000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001000000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001000000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001000000000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001000000000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000011100000000000110010011_00000000000000010000001000000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00011100011101110001110001100011_00000000000000010000001000001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001000001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11011111101000001000000010010011_00000000000000010000001000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111000001001011100000011_00000000000000010000001000010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000001000011000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000011000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000011000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001000011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000100000000000000110010011_00000000000000010000001000100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00011010011101110001111001100011_00000000000000010000001000100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001000101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11011101111000001000000010010011_00000000000000010000001000101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000001001011100000011_00000000000000010000001000110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111111001110110111_00000000000000010000001000110100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001000110100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001000110100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001000110100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001000110100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001000110100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001000110100_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001000111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000100100000000000110010011_00000000000000010000001000111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00011010011101110001000001100011_00000000000000010000001001000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001001000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11011011110000001000000010010011_00000000000000010000001001001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111110000000001000000010010011_00000000000000010000001001001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000010000000001001001010000011_00000000000000010000001001010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001111111100000000001110010011_00000000000000010000001001010100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001010100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001010100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001010100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001010100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001010100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001010100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00011000011100101001001001100011_00000000000000010000001001011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000001001100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11011010000000001000000010010011_00000000000000010000001001100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111101100001000000010010011_00000000000000010000001001101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000011100001001001010000011_00000000000000010000001001101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11110000000000000000001110010011_00000000000000010000001001110000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001001110000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001001110000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001001110000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001001110000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001001110000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001001110000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000101100000000000110010011_00000000000000010000001001110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00010110011100101001010001100011_00000000000000010000001001111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000110000000000000110010011_00000000000000010000001001111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001010000000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001010000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11010111111000001000000010010011_00000000000000010000001010001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000001000001001011100000011_00000000000000010000001010001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010010000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001010010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001010011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00010100011100110001001001100011_00000000000000010000001010011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001010100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001010100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111100010100100001111011100011_00000000000000010000001010101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000110100000000000110010011_00000000000000010000001010101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001010000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11010111111000001000000010010011_00000000000000010000001010001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000001001011100000011_00000000000000010000001010001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010010000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001010010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001010011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00010100011100110001001001100011_00000000000000010000001010011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001010100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001010100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111100010100100001111011100011_00000000000000010000001010101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000110100000000000110010011_00000000000000010000001010101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001010110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11010101000000001000000010010011_00000000000000010000001010111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000001001011100000011_00000000000000010000001010111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000001110000001100010011_00000000000000010000001011000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001011001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001011001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010000011100110001100001100011_00000000000000010000001011010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001011010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001011011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001110011100011_00000000000000010000001011011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111000000000000110010011_00000000000000010000001011100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11010101000000001000000010010011_00000000000000010000001010111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000001001011100000011_00000000000000010000001010111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011000000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000001110000001100010011_00000000000000010000001011000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001011001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001011001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010000011100110001100001100011_00000000000000010000001011010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001011010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001011011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001110011100011_00000000000000010000001011011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111000000000000110010011_00000000000000010000001011100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000001000010011_00000000000000010000001011100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001011101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11010001100000001000000010010011_00000000000000010000001011101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000001001011100000011_00000000000000010000001011110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011110100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001011111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001100000000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00001100011100110001111001100011_00000000000000010000001100000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001100001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001100001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001110011100011_00000000000000010000001100010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000111100000000000110010011_00000000000000010000001100010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001011101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11010001100000001000000010010011_00000000000000010000001011101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000001001011100000011_00000000000000010000001011110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011110100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001011111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001011111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001100000000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00001100011100110001111001100011_00000000000000010000001100000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001100001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001100001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001110011100011_00000000000000010000001100010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000111100000000000110010011_00000000000000010000001100010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001100011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001100011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11001110011000001000000010010011_00000000000000010000001100100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000001001011100000011_00000000000000010000001100100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000001100101000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001100101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00001010011101110001100001100011_00000000000000010000001100110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001100110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001100111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111110010100100001000011100011_00000000000000010000001100111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000001000000000000000110010011_00000000000000010000001101000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001100011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11001110011000001000000010010011_00000000000000010000001100100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000001001011100000011_00000000000000010000001100100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100101000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001100101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00001010011101110001100001100011_00000000000000010000001100110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001100110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001100111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111110010100100001000011100011_00000000000000010000001100111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000001000000000000000110010011_00000000000000010000001101000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001101000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11001011110000001000000010010011_00000000000000010000001101001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001101010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000001001011100000011_00000000000000010000001101010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001101011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001000011101110001000001100011_00000000000000010000001101100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001101100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001101101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001111011100011_00000000000000010000001101101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001000100000000000110010011_00000000000000010000001101110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11001011110000001000000010010011_00000000000000010000001101001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001101010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000001001011100000011_00000000000000010000001101010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111111001110110111_00000000000000010000001101011000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001101011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001000011101110001000001100011_00000000000000010000001101100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001101100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001101101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001111011100011_00000000000000010000001101101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001000100000000000110010011_00000000000000010000001101110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000001000010011_00000000000000010000001101110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001101111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11001000100000001000000010010011_00000000000000010000001101111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001110000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001110000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000001001011100000011_00000000000000010000001110001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11110000000000000000001110010011_00000000000000010000001110001100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000100011101110001100001100011_00000000000000010000001110010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001110010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001110011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001111011100011_00000000000000010000001110011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000001010010111_00000000000000010000001110100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001101111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001101111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001101111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001101111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001101111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001101111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11001000100000001000000010010011_00000000000000010000001101111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001110000000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001110000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000001001011100000011_00000000000000010000001110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000000000001110010011_00000000000000010000001110001100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000100011101110001100001100011_00000000000000010000001110010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001110010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001110011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001111011100011_00000000000000010000001110011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000001010010111_00000000000000010000001110100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11000110000000101000001010010011_00000000000000010000001110100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000101001000100000011_00000000000000010000001110101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000000100010011_00000000000000010000001110101100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110101100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110101100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110101100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110101100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110101100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001110101100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001110110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001110110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000010011100010001010001100011_00000000000000010000001110111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000001010010111_00000000000000010000001110111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11000100010000101000001010010011_00000000000000010000001111000000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000101001000100000011_00000000000000010000001111000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001111010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001111010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000011100010001010001100011_00000000000000010000001111011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001111011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001111111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010000000000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010000000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010000001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010000001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lh/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/lh/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..5d53845
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lh/cekirdek_ps_bin.txt
@@ -0,0 +1,288 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001000
diff --git a/verilog/dv/test_c0/coe/lh/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/lh/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..1f5ef06
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lh/cekirdek_ps_hex.txt
@@ -0,0 +1,288 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103fc
+00010400
+00010404
+00010408
+00010408
diff --git a/verilog/dv/test_c0/coe/lh/cekirdek_yo.txt b/verilog/dv/test_c0/coe/lh/cekirdek_yo.txt
new file mode 100644
index 0000000..cdcffec
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lh/cekirdek_yo.txt
@@ -0,0 +1,232 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_01000000000000000000000101110100
+00000000000000010000000101111000_00001_01000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000011111111
+00000000000000010000000110000000_00111_00000000000000000000000011111111
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_01000000000000000000000110001100
+00000000000000010000000110010000_00001_01000000000000000000000000000000
+00000000000000010000000110010100_01110_11111111111111111111111100000000
+00000000000000010000000110011000_00111_11111111111111111111111100000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_01000000000000000000000110100100
+00000000000000010000000110101000_00001_01000000000000000000000000000000
+00000000000000010000000110101100_01110_00000000000000000000111111110000
+00000000000000010000000110110000_00111_00000000000000000001000000000000
+00000000000000010000000110110100_00111_00000000000000000000111111110000
+00000000000000010000000110111000_00011_00000000000000000000000000000100
+00000000000000010000000111000000_00001_01000000000000000000000111000000
+00000000000000010000000111000100_00001_01000000000000000000000000000000
+00000000000000010000000111001000_01110_11111111111111111111000000001111
+00000000000000010000000111001100_00111_11111111111111111111000000000000
+00000000000000010000000111010000_00111_11111111111111111111000000001111
+00000000000000010000000111010100_00011_00000000000000000000000000000101
+00000000000000010000000111011100_00001_01000000000000000000000111011100
+00000000000000010000000111100000_00001_01000000000000000000000000000110
+00000000000000010000000111100100_01110_00000000000000000000000011111111
+00000000000000010000000111101000_00111_00000000000000000000000011111111
+00000000000000010000000111101100_00011_00000000000000000000000000000110
+00000000000000010000000111110100_00001_01000000000000000000000111110100
+00000000000000010000000111111000_00001_01000000000000000000000000000110
+00000000000000010000000111111100_01110_11111111111111111111111100000000
+00000000000000010000001000000000_00111_11111111111111111111111100000000
+00000000000000010000001000000100_00011_00000000000000000000000000000111
+00000000000000010000001000001100_00001_01000000000000000000001000001100
+00000000000000010000001000010000_00001_01000000000000000000000000000110
+00000000000000010000001000010100_01110_00000000000000000000111111110000
+00000000000000010000001000011000_00111_00000000000000000001000000000000
+00000000000000010000001000011100_00111_00000000000000000000111111110000
+00000000000000010000001000100000_00011_00000000000000000000000000001000
+00000000000000010000001000101000_00001_01000000000000000000001000101000
+00000000000000010000001000101100_00001_01000000000000000000000000000110
+00000000000000010000001000110000_01110_11111111111111111111000000001111
+00000000000000010000001000110100_00111_11111111111111111111000000000000
+00000000000000010000001000111000_00111_11111111111111111111000000001111
+00000000000000010000001000111100_00011_00000000000000000000000000001001
+00000000000000010000001001000100_00001_01000000000000000000001001000100
+00000000000000010000001001001000_00001_01000000000000000000000000000000
+00000000000000010000001001001100_00001_00111111111111111111111111100000
+00000000000000010000001001010000_00101_00000000000000000000000011111111
+00000000000000010000001001010100_00111_00000000000000000000000011111111
+00000000000000010000001001011000_00011_00000000000000000000000000001010
+00000000000000010000001001100000_00001_01000000000000000000001001100000
+00000000000000010000001001100100_00001_01000000000000000000000000000000
+00000000000000010000001001101000_00001_00111111111111111111111111111011
+00000000000000010000001001101100_00101_11111111111111111111111100000000
+00000000000000010000001001110000_00111_11111111111111111111111100000000
+00000000000000010000001001110100_00011_00000000000000000000000000001011
+00000000000000010000001001111100_00011_00000000000000000000000000001100
+00000000000000010000001010000000_00100_00000000000000000000000000000000
+00000000000000010000001010000100_00001_01000000000000000000001010000100
+00000000000000010000001010001000_00001_01000000000000000000000000000010
+00000000000000010000001010001100_01110_00000000000000000000111111110000
+00000000000000010000001010010000_00110_00000000000000000000111111110000
+00000000000000010000001010010100_00111_00000000000000000001000000000000
+00000000000000010000001010011000_00111_00000000000000000000111111110000
+00000000000000010000001010100000_00100_00000000000000000000000000000001
+00000000000000010000001010100100_00101_00000000000000000000000000000010
+00000000000000010000001010000100_00001_01000000000000000000001010000100
+00000000000000010000001010001000_00001_01000000000000000000000000000010
+00000000000000010000001010001100_01110_00000000000000000000111111110000
+00000000000000010000001010010000_00110_00000000000000000000111111110000
+00000000000000010000001010010100_00111_00000000000000000001000000000000
+00000000000000010000001010011000_00111_00000000000000000000111111110000
+00000000000000010000001010100000_00100_00000000000000000000000000000010
+00000000000000010000001010100100_00101_00000000000000000000000000000010
+00000000000000010000001010101100_00011_00000000000000000000000000001101
+00000000000000010000001010110000_00100_00000000000000000000000000000000
+00000000000000010000001010110100_00001_01000000000000000000001010110100
+00000000000000010000001010111000_00001_01000000000000000000000000000100
+00000000000000010000001010111100_01110_11111111111111111111000000001111
+00000000000000010000001011000000_00000_00000000000000000000000000000000
+00000000000000010000001011000100_00110_11111111111111111111000000001111
+00000000000000010000001011001000_00111_11111111111111111111000000000000
+00000000000000010000001011001100_00111_11111111111111111111000000001111
+00000000000000010000001011010100_00100_00000000000000000000000000000001
+00000000000000010000001011011000_00101_00000000000000000000000000000010
+00000000000000010000001010110100_00001_01000000000000000000001010110100
+00000000000000010000001010111000_00001_01000000000000000000000000000100
+00000000000000010000001010111100_01110_11111111111111111111000000001111
+00000000000000010000001011000000_00000_00000000000000000000000000000000
+00000000000000010000001011000100_00110_11111111111111111111000000001111
+00000000000000010000001011001000_00111_11111111111111111111000000000000
+00000000000000010000001011001100_00111_11111111111111111111000000001111
+00000000000000010000001011010100_00100_00000000000000000000000000000010
+00000000000000010000001011011000_00101_00000000000000000000000000000010
+00000000000000010000001011100000_00011_00000000000000000000000000001110
+00000000000000010000001011100100_00100_00000000000000000000000000000000
+00000000000000010000001011101000_00001_01000000000000000000001011101000
+00000000000000010000001011101100_00001_01000000000000000000000000000000
+00000000000000010000001011110000_01110_11111111111111111111111100000000
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00000_00000000000000000000000000000000
+00000000000000010000001011111100_00110_11111111111111111111111100000000
+00000000000000010000001100000000_00111_11111111111111111111111100000000
+00000000000000010000001100001000_00100_00000000000000000000000000000001
+00000000000000010000001100001100_00101_00000000000000000000000000000010
+00000000000000010000001011101000_00001_01000000000000000000001011101000
+00000000000000010000001011101100_00001_01000000000000000000000000000000
+00000000000000010000001011110000_01110_11111111111111111111111100000000
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00000_00000000000000000000000000000000
+00000000000000010000001011111100_00110_11111111111111111111111100000000
+00000000000000010000001100000000_00111_11111111111111111111111100000000
+00000000000000010000001100001000_00100_00000000000000000000000000000010
+00000000000000010000001100001100_00101_00000000000000000000000000000010
+00000000000000010000001100010100_00011_00000000000000000000000000001111
+00000000000000010000001100011000_00100_00000000000000000000000000000000
+00000000000000010000001100011100_00001_01000000000000000000001100011100
+00000000000000010000001100100000_00001_01000000000000000000000000000010
+00000000000000010000001100100100_01110_00000000000000000000111111110000
+00000000000000010000001100101000_00111_00000000000000000001000000000000
+00000000000000010000001100101100_00111_00000000000000000000111111110000
+00000000000000010000001100110100_00100_00000000000000000000000000000001
+00000000000000010000001100111000_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00001_01000000000000000000001100011100
+00000000000000010000001100100000_00001_01000000000000000000000000000010
+00000000000000010000001100100100_01110_00000000000000000000111111110000
+00000000000000010000001100101000_00111_00000000000000000001000000000000
+00000000000000010000001100101100_00111_00000000000000000000111111110000
+00000000000000010000001100110100_00100_00000000000000000000000000000010
+00000000000000010000001100111000_00101_00000000000000000000000000000010
+00000000000000010000001101000000_00011_00000000000000000000000000010000
+00000000000000010000001101000100_00100_00000000000000000000000000000000
+00000000000000010000001101001000_00001_01000000000000000000001101001000
+00000000000000010000001101001100_00001_01000000000000000000000000000100
+00000000000000010000001101010000_00000_00000000000000000000000000000000
+00000000000000010000001101010100_01110_11111111111111111111000000001111
+00000000000000010000001101011000_00111_11111111111111111111000000000000
+00000000000000010000001101011100_00111_11111111111111111111000000001111
+00000000000000010000001101100100_00100_00000000000000000000000000000001
+00000000000000010000001101101000_00101_00000000000000000000000000000010
+00000000000000010000001101001000_00001_01000000000000000000001101001000
+00000000000000010000001101001100_00001_01000000000000000000000000000100
+00000000000000010000001101010000_00000_00000000000000000000000000000000
+00000000000000010000001101010100_01110_11111111111111111111000000001111
+00000000000000010000001101011000_00111_11111111111111111111000000000000
+00000000000000010000001101011100_00111_11111111111111111111000000001111
+00000000000000010000001101100100_00100_00000000000000000000000000000010
+00000000000000010000001101101000_00101_00000000000000000000000000000010
+00000000000000010000001101110000_00011_00000000000000000000000000010001
+00000000000000010000001101110100_00100_00000000000000000000000000000000
+00000000000000010000001101111000_00001_01000000000000000000001101111000
+00000000000000010000001101111100_00001_01000000000000000000000000000000
+00000000000000010000001110000000_00000_00000000000000000000000000000000
+00000000000000010000001110000100_00000_00000000000000000000000000000000
+00000000000000010000001110001000_01110_11111111111111111111111100000000
+00000000000000010000001110001100_00111_11111111111111111111111100000000
+00000000000000010000001110010100_00100_00000000000000000000000000000001
+00000000000000010000001110011000_00101_00000000000000000000000000000010
+00000000000000010000001101111000_00001_01000000000000000000001101111000
+00000000000000010000001101111100_00001_01000000000000000000000000000000
+00000000000000010000001110000000_00000_00000000000000000000000000000000
+00000000000000010000001110000100_00000_00000000000000000000000000000000
+00000000000000010000001110001000_01110_11111111111111111111111100000000
+00000000000000010000001110001100_00111_11111111111111111111111100000000
+00000000000000010000001110010100_00100_00000000000000000000000000000010
+00000000000000010000001110011000_00101_00000000000000000000000000000010
+00000000000000010000001110100000_00101_01000000000000000000001110100000
+00000000000000010000001110100100_00101_01000000000000000000000000000000
+00000000000000010000001110101000_00010_00000000000000000000000011111111
+00000000000000010000001110101100_00010_00000000000000000000000000000010
+00000000000000010000001110110000_00111_00000000000000000000000000000010
+00000000000000010000001110110100_00011_00000000000000000000000000010010
+00000000000000010000001110111100_00101_01000000000000000000001110111100
+00000000000000010000001111000000_00101_01000000000000000000000000000000
+00000000000000010000001111000100_00010_00000000000000000000000011111111
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111001100_00010_00000000000000000000000000000010
+00000000000000010000001111010000_00111_00000000000000000000000000000010
+00000000000000010000001111010100_00011_00000000000000000000000000010011
+00000000000000010000010000000000_00011_00000000000000000000000000000001
+00000000000000010000010000000100_10001_00000000000000000000000001011101
+00000000000000010000010000001000_01010_00000000000000000000000000000000
+00000000000000010000010000001000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lh/dmem.coe b/verilog/dv/test_c0/coe/lh/dmem.coe
new file mode 100644
index 0000000..377dcff
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lh/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+FF0000FF,
+F00F0FF0,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lh/imem.coe b/verilog/dv/test_c0/coe/lh/imem.coe
new file mode 100644
index 0000000..80e4455
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lh/imem.coe
@@ -0,0 +1,273 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+3FFF0097,
+E8C08093,
+00009703,
+0FF00393,
+00200193,
+24771C63,
+3FFF0097,
+E7408093,
+00209703,
+F0000393,
+00300193,
+24771063,
+3FFF0097,
+E5C08093,
+00409703,
+000013B7,
+FF038393,
+00400193,
+22771263,
+3FFF0097,
+E4008093,
+00609703,
+FFFFF3B7,
+00F38393,
+00500193,
+20771463,
+3FFF0097,
+E2A08093,
+FFA09703,
+0FF00393,
+00600193,
+1E771863,
+3FFF0097,
+E1208093,
+FFC09703,
+F0000393,
+00700193,
+1C771C63,
+3FFF0097,
+DFA08093,
+FFE09703,
+000013B7,
+FF038393,
+00800193,
+1A771E63,
+3FFF0097,
+DDE08093,
+00009703,
+FFFFF3B7,
+00F38393,
+00900193,
+1A771063,
+3FFF0097,
+DBC08093,
+FE008093,
+02009283,
+0FF00393,
+00A00193,
+18729263,
+3FFF0097,
+DA008093,
+FFB08093,
+00709283,
+F0000393,
+00B00193,
+16729463,
+00C00193,
+00000213,
+3FFF0097,
+D7E08093,
+00209703,
+00070313,
+000013B7,
+FF038393,
+14731263,
+00120213,
+00200293,
+FC521EE3,
+00D00193,
+00000213,
+3FFF0097,
+D5008093,
+00209703,
+00000013,
+00070313,
+FFFFF3B7,
+00F38393,
+10731863,
+00120213,
+00200293,
+FC521CE3,
+00E00193,
+00000213,
+3FFF0097,
+D1808093,
+00209703,
+00000013,
+00000013,
+00070313,
+F0000393,
+0C731E63,
+00120213,
+00200293,
+FC521CE3,
+00F00193,
+00000213,
+3FFF0097,
+CE608093,
+00209703,
+000013B7,
+FF038393,
+0A771863,
+00120213,
+00200293,
+FE5210E3,
+01000193,
+00000213,
+3FFF0097,
+CBC08093,
+00000013,
+00209703,
+FFFFF3B7,
+00F38393,
+08771063,
+00120213,
+00200293,
+FC521EE3,
+01100193,
+00000213,
+3FFF0097,
+C8808093,
+00000013,
+00000013,
+00209703,
+F0000393,
+04771863,
+00120213,
+00200293,
+FC521EE3,
+3FFF0297,
+C6028293,
+00029103,
+00200113,
+00200393,
+01200193,
+02711463,
+3FFF0297,
+C4428293,
+00029103,
+00000013,
+00200113,
+00200393,
+01300193,
+00711463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lhu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/lhu/cekirdek_dy.txt
new file mode 100644
index 0000000..b4552bf
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lhu/cekirdek_dy.txt
@@ -0,0 +1,596 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101000110000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001101011100000011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000110000000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00100110011101110001011001100011_00000000000000010000000110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000110001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11100111010000001000000010010011_00000000000000010000000110010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000001101011100000011_00000000000000010000000110010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000000110011000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000000110011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000000110011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000000110011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000000110011000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000000110011000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000000110011000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000111000001110010011_00000000000000010000000110011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001100000000000110010011_00000000000000010000000110100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00100100011101110001100001100011_00000000000000010000000110100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000000110101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11100101100000001000000010010011_00000000000000010000000110101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000010000001101011100000011_00000000000000010000000110110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000000110110100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000000110110100_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000000110111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000010000000000000110010011_00000000000000010000000110111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00100010011101110001101001100011_00000000000000010000000111000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000000111000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11100011110000001000000010010011_00000000000000010000000111001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000011000001101011100000011_00000000000000010000000111001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000001111001110110111_00000000000000010000000111010000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000000111010000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000000111010000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000000111010000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000000111010000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000000111010000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000000111010000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000000111010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000010100000000000110010011_00000000000000010000000111011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00100000011101110001110001100011_00000000000000010000000111011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000000111100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11100010011000001000000010010011_00000000000000010000000111100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111101000001101011100000011_00000000000000010000000111101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001111111100000000001110010011_00000000000000010000000111101100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000000111101100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00100000011101110001000001100011_00000000000000010000000111110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000111111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11100000111000001000000010010011_00000000000000010000000111111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111110000001101011100000011_00000000000000010000001000000000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001000000100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001000000100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001000000100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001000000100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001000000100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001000000100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001000000100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000111000001110010011_00000000000000010000001000001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000011100000000000110010011_00000000000000010000001000001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00011110011101110001001001100011_00000000000000010000001000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001000010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11011111001000001000000010010011_00000000000000010000001000011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111000001101011100000011_00000000000000010000001000011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000001000100000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000100000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000100000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000100000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000100000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000100000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001000100000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001000100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000100000000000000110010011_00000000000000010000001000101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00011100011101110001010001100011_00000000000000010000001000101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001000110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11011101011000001000000010010011_00000000000000010000001000110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000001101011100000011_00000000000000010000001000111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000001111001110110111_00000000000000010000001000111100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001000111100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001000111100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001000111100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001000111100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001000111100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001000111100_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001001000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000100100000000000110010011_00000000000000010000001001000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00011010011101110001011001100011_00000000000000010000001001001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001001001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11011011010000001000000010010011_00000000000000010000001001010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111110000000001000000010010011_00000000000000010000001001010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000010000000001101001010000011_00000000000000010000001001011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001111111100000000001110010011_00000000000000010000001001011100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001011100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000001110010011_00000000000000010000001001011100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00011000011100101001100001100011_00000000000000010000001001100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000001001101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11011001100000001000000010010011_00000000000000010000001001101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111101100001000000010010011_00000000000000010000001001110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000011100001101001010000011_00000000000000010000001001110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001111000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001001111000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001001111000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001001111000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001001111000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001001111000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001001111000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11110000000000111000001110010011_00000000000000010000001001111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000101100000000000110010011_00000000000000010000001010000000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00010110011100101001100001100011_00000000000000010000001010000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000110000000000000110010011_00000000000000010000001010001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001010001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001010010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_11010111001000001000000010010011_00000000000000010000001010010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000001000001101011100000011_00000000000000010000001010011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000011111111_0011_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001010011100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001010100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001010100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00010100011100110001011001100011_00000000000000010000001010101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001010101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001010110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111100010100100001111011100011_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000110100000000000110010011_00000000000000010000001010111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001010010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001010010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11010111001000001000000010010011_00000000000000010000001010010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000001101011100000011_00000000000000010000001010011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010011100_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001010100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001010100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00010100011100110001011001100011_00000000000000010000001010101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001010101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001010110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111100010100100001111011100011_00000000000000010000001010110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000110100000000000110010011_00000000000000010000001010111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001010111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001011000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11010100010000001000000010010011_00000000000000010000001011000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000001101011100000011_00000000000000010000001011001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000001110000001100010011_00000000000000010000001011010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001011010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001011011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010000011100110001110001100011_00000000000000010000001011011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001011100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001011100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001110011100011_00000000000000010000001011101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111000000000000110010011_00000000000000010000001011101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001011000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001011000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001011000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001011000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001011000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001011000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11010100010000001000000010010011_00000000000000010000001011000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000001101011100000011_00000000000000010000001011001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001011001100_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000001110000001100010011_00000000000000010000001011010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001011010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001011011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010000011100110001110001100011_00000000000000010000001011011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001011100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001011100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001110011100011_00000000000000010000001011101000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111000000000000110010011_00000000000000010000001011101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000001000010011_00000000000000010000001011110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001011110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11010000110000001000000010010011_00000000000000010000001011111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000001101011100000011_00000000000000010000001011111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001100000000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001100001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001100001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000111000001110010011_00000000000000010000001100010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00001110011100110001000001100011_00000000000000010000001100010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001100011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001100011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001101011100011_00000000000000010000001100100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000111100000000000110010011_00000000000000010000001100100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001011110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001011110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11010000110000001000000010010011_00000000000000010000001011111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000001101011100000011_00000000000000010000001011111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001100000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000001110000001100010011_00000000000000010000001100001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001100001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000111000001110010011_00000000000000010000001100010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00001110011100110001000001100011_00000000000000010000001100010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001100011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001100011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001101011100011_00000000000000010000001100100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000111100000000000110010011_00000000000000010000001100100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001100101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001100101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11001101011000001000000010010011_00000000000000010000001100110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000001101011100000011_00000000000000010000001100110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000001100111000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001100111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00001010011101110001101001100011_00000000000000010000001101000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001101000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111110010100100001000011100011_00000000000000010000001101001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000001000000000000000110010011_00000000000000010000001101010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001100101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11001101011000001000000010010011_00000000000000010000001100110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000001101011100000011_00000000000000010000001100110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000001100111000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111000000111000001110010011_00000000000000010000001100111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00001010011101110001101001100011_00000000000000010000001101000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001101000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001101001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111110010100100001000011100011_00000000000000010000001101001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000001000000000000000110010011_00000000000000010000001101010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001101010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11001010110000001000000010010011_00000000000000010000001101011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001101100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000001101011100000011_00000000000000010000001101100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001101101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001000011101110001001001100011_00000000000000010000001101110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001101110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001101111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001111011100011_00000000000000010000001101111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001000100000000000110010011_00000000000000010000001110000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000010010111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001101011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11001010110000001000000010010011_00000000000000010000001101011100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001101100000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000001101011100000011_00000000000000010000001101100100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000001111001110110111_00000000000000010000001101101000_11110000000011110000111111110000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000111100111000001110010011_00000000000000010000001101101100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001000011101110001001001100011_00000000000000010000001101110000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001101110100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001101111000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001111011100011_00000000000000010000001101111100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001000100000000000110010011_00000000000000010000001110000000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000001000010011_00000000000000010000001110000100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001110001000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11000111100000001000000010010011_00000000000000010000001110001100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001110010000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001110010100_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000001101011100000011_00000000000000010000001110011000_11110000000011110000111111110000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000010000001110110111_00000000000000010000001110011100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11110000000011110000111111110000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11110000000011110000111111110000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000111000001110010011_00000000000000010000001110100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000100011101110001100001100011_00000000000000010000001110100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001110101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001110101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001110011100011_00000000000000010000001110110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000001010010111_00000000000000010000001110110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000010010111_00000000000000010000001110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000001110001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11000111100000001000000010010011_00000000000000010000001110001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001110010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001110010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000001101011100000011_00000000000000010000001110011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000010000001110110111_00000000000000010000001110011100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11110000000000111000001110010011_00000000000000010000001110100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000100011101110001100001100011_00000000000000010000001110100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001110101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001110101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001110011100011_00000000000000010000001110110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000001010010111_00000000000000010000001110110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11000100110000101000001010010011_00000000000000010000001110111000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000101101000100000011_00000000000000010000001110111100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000000100010011_00000000000000010000001111000000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111000000_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111000000_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111000000_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001111000100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001111001000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000010011100010001010001100011_00000000000000010000001111001100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000001010010111_00000000000000010000001111010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11000011000000101000001010010011_00000000000000010000001111010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000101101000100000011_00000000000000010000001111011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_11111111000000000000000011111111_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_11111111000000000000000011111111_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111011100_11111111000000000000000011111111_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001111100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001111101000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000011100010001010001100011_00000000000000010000001111101100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001111110000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111110100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000010000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010000010100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010000011000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010000011100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010000100000_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010000100100_11111111000000000000000011111111_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lhu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/lhu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..98174ad
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lhu/cekirdek_ps_bin.txt
@@ -0,0 +1,295 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000011100
diff --git a/verilog/dv/test_c0/coe/lhu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/lhu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..6a09abe
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lhu/cekirdek_ps_hex.txt
@@ -0,0 +1,295 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+00010410
+00010414
+00010418
+0001041c
+0001041c
diff --git a/verilog/dv/test_c0/coe/lhu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/lhu/cekirdek_yo.txt
new file mode 100644
index 0000000..36f683e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lhu/cekirdek_yo.txt
@@ -0,0 +1,239 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_01000000000000000000000101110100
+00000000000000010000000101111000_00001_01000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000011111111
+00000000000000010000000110000000_00111_00000000000000000000000011111111
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_01000000000000000000000110001100
+00000000000000010000000110010000_00001_01000000000000000000000000000000
+00000000000000010000000110010100_01110_00000000000000001111111100000000
+00000000000000010000000110011000_00111_00000000000000010000000000000000
+00000000000000010000000110011100_00111_00000000000000001111111100000000
+00000000000000010000000110100000_00011_00000000000000000000000000000011
+00000000000000010000000110101000_00001_01000000000000000000000110101000
+00000000000000010000000110101100_00001_01000000000000000000000000000000
+00000000000000010000000110110000_01110_00000000000000000000111111110000
+00000000000000010000000110110100_00111_00000000000000000001000000000000
+00000000000000010000000110111000_00111_00000000000000000000111111110000
+00000000000000010000000110111100_00011_00000000000000000000000000000100
+00000000000000010000000111000100_00001_01000000000000000000000111000100
+00000000000000010000000111001000_00001_01000000000000000000000000000000
+00000000000000010000000111001100_01110_00000000000000001111000000001111
+00000000000000010000000111010000_00111_00000000000000001111000000000000
+00000000000000010000000111010100_00111_00000000000000001111000000001111
+00000000000000010000000111011000_00011_00000000000000000000000000000101
+00000000000000010000000111100000_00001_01000000000000000000000111100000
+00000000000000010000000111100100_00001_01000000000000000000000000000110
+00000000000000010000000111101000_01110_00000000000000000000000011111111
+00000000000000010000000111101100_00111_00000000000000000000000011111111
+00000000000000010000000111110000_00011_00000000000000000000000000000110
+00000000000000010000000111111000_00001_01000000000000000000000111111000
+00000000000000010000000111111100_00001_01000000000000000000000000000110
+00000000000000010000001000000000_01110_00000000000000001111111100000000
+00000000000000010000001000000100_00111_00000000000000010000000000000000
+00000000000000010000001000001000_00111_00000000000000001111111100000000
+00000000000000010000001000001100_00011_00000000000000000000000000000111
+00000000000000010000001000010100_00001_01000000000000000000001000010100
+00000000000000010000001000011000_00001_01000000000000000000000000000110
+00000000000000010000001000011100_01110_00000000000000000000111111110000
+00000000000000010000001000100000_00111_00000000000000000001000000000000
+00000000000000010000001000100100_00111_00000000000000000000111111110000
+00000000000000010000001000101000_00011_00000000000000000000000000001000
+00000000000000010000001000110000_00001_01000000000000000000001000110000
+00000000000000010000001000110100_00001_01000000000000000000000000000110
+00000000000000010000001000111000_01110_00000000000000001111000000001111
+00000000000000010000001000111100_00111_00000000000000001111000000000000
+00000000000000010000001001000000_00111_00000000000000001111000000001111
+00000000000000010000001001000100_00011_00000000000000000000000000001001
+00000000000000010000001001001100_00001_01000000000000000000001001001100
+00000000000000010000001001010000_00001_01000000000000000000000000000000
+00000000000000010000001001010100_00001_00111111111111111111111111100000
+00000000000000010000001001011000_00101_00000000000000000000000011111111
+00000000000000010000001001011100_00111_00000000000000000000000011111111
+00000000000000010000001001100000_00011_00000000000000000000000000001010
+00000000000000010000001001101000_00001_01000000000000000000001001101000
+00000000000000010000001001101100_00001_01000000000000000000000000000000
+00000000000000010000001001110000_00001_00111111111111111111111111111011
+00000000000000010000001001110100_00101_00000000000000001111111100000000
+00000000000000010000001001111000_00111_00000000000000010000000000000000
+00000000000000010000001001111100_00111_00000000000000001111111100000000
+00000000000000010000001010000000_00011_00000000000000000000000000001011
+00000000000000010000001010001000_00011_00000000000000000000000000001100
+00000000000000010000001010001100_00100_00000000000000000000000000000000
+00000000000000010000001010010000_00001_01000000000000000000001010010000
+00000000000000010000001010010100_00001_01000000000000000000000000000010
+00000000000000010000001010011000_01110_00000000000000000000111111110000
+00000000000000010000001010011100_00110_00000000000000000000111111110000
+00000000000000010000001010100000_00111_00000000000000000001000000000000
+00000000000000010000001010100100_00111_00000000000000000000111111110000
+00000000000000010000001010101100_00100_00000000000000000000000000000001
+00000000000000010000001010110000_00101_00000000000000000000000000000010
+00000000000000010000001010010000_00001_01000000000000000000001010010000
+00000000000000010000001010010100_00001_01000000000000000000000000000010
+00000000000000010000001010011000_01110_00000000000000000000111111110000
+00000000000000010000001010011100_00110_00000000000000000000111111110000
+00000000000000010000001010100000_00111_00000000000000000001000000000000
+00000000000000010000001010100100_00111_00000000000000000000111111110000
+00000000000000010000001010101100_00100_00000000000000000000000000000010
+00000000000000010000001010110000_00101_00000000000000000000000000000010
+00000000000000010000001010111000_00011_00000000000000000000000000001101
+00000000000000010000001010111100_00100_00000000000000000000000000000000
+00000000000000010000001011000000_00001_01000000000000000000001011000000
+00000000000000010000001011000100_00001_01000000000000000000000000000100
+00000000000000010000001011001000_01110_00000000000000001111000000001111
+00000000000000010000001011001100_00000_00000000000000000000000000000000
+00000000000000010000001011010000_00110_00000000000000001111000000001111
+00000000000000010000001011010100_00111_00000000000000001111000000000000
+00000000000000010000001011011000_00111_00000000000000001111000000001111
+00000000000000010000001011100000_00100_00000000000000000000000000000001
+00000000000000010000001011100100_00101_00000000000000000000000000000010
+00000000000000010000001011000000_00001_01000000000000000000001011000000
+00000000000000010000001011000100_00001_01000000000000000000000000000100
+00000000000000010000001011001000_01110_00000000000000001111000000001111
+00000000000000010000001011001100_00000_00000000000000000000000000000000
+00000000000000010000001011010000_00110_00000000000000001111000000001111
+00000000000000010000001011010100_00111_00000000000000001111000000000000
+00000000000000010000001011011000_00111_00000000000000001111000000001111
+00000000000000010000001011100000_00100_00000000000000000000000000000010
+00000000000000010000001011100100_00101_00000000000000000000000000000010
+00000000000000010000001011101100_00011_00000000000000000000000000001110
+00000000000000010000001011110000_00100_00000000000000000000000000000000
+00000000000000010000001011110100_00001_01000000000000000000001011110100
+00000000000000010000001011111000_00001_01000000000000000000000000000000
+00000000000000010000001011111100_01110_00000000000000001111111100000000
+00000000000000010000001100000000_00000_00000000000000000000000000000000
+00000000000000010000001100000100_00000_00000000000000000000000000000000
+00000000000000010000001100001000_00110_00000000000000001111111100000000
+00000000000000010000001100001100_00111_00000000000000010000000000000000
+00000000000000010000001100010000_00111_00000000000000001111111100000000
+00000000000000010000001100011000_00100_00000000000000000000000000000001
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001011110100_00001_01000000000000000000001011110100
+00000000000000010000001011111000_00001_01000000000000000000000000000000
+00000000000000010000001011111100_01110_00000000000000001111111100000000
+00000000000000010000001100000000_00000_00000000000000000000000000000000
+00000000000000010000001100000100_00000_00000000000000000000000000000000
+00000000000000010000001100001000_00110_00000000000000001111111100000000
+00000000000000010000001100001100_00111_00000000000000010000000000000000
+00000000000000010000001100010000_00111_00000000000000001111111100000000
+00000000000000010000001100011000_00100_00000000000000000000000000000010
+00000000000000010000001100011100_00101_00000000000000000000000000000010
+00000000000000010000001100100100_00011_00000000000000000000000000001111
+00000000000000010000001100101000_00100_00000000000000000000000000000000
+00000000000000010000001100101100_00001_01000000000000000000001100101100
+00000000000000010000001100110000_00001_01000000000000000000000000000010
+00000000000000010000001100110100_01110_00000000000000000000111111110000
+00000000000000010000001100111000_00111_00000000000000000001000000000000
+00000000000000010000001100111100_00111_00000000000000000000111111110000
+00000000000000010000001101000100_00100_00000000000000000000000000000001
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001100101100_00001_01000000000000000000001100101100
+00000000000000010000001100110000_00001_01000000000000000000000000000010
+00000000000000010000001100110100_01110_00000000000000000000111111110000
+00000000000000010000001100111000_00111_00000000000000000001000000000000
+00000000000000010000001100111100_00111_00000000000000000000111111110000
+00000000000000010000001101000100_00100_00000000000000000000000000000010
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00011_00000000000000000000000000010000
+00000000000000010000001101010100_00100_00000000000000000000000000000000
+00000000000000010000001101011000_00001_01000000000000000000001101011000
+00000000000000010000001101011100_00001_01000000000000000000000000000100
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_01110_00000000000000001111000000001111
+00000000000000010000001101101000_00111_00000000000000001111000000000000
+00000000000000010000001101101100_00111_00000000000000001111000000001111
+00000000000000010000001101110100_00100_00000000000000000000000000000001
+00000000000000010000001101111000_00101_00000000000000000000000000000010
+00000000000000010000001101011000_00001_01000000000000000000001101011000
+00000000000000010000001101011100_00001_01000000000000000000000000000100
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_01110_00000000000000001111000000001111
+00000000000000010000001101101000_00111_00000000000000001111000000000000
+00000000000000010000001101101100_00111_00000000000000001111000000001111
+00000000000000010000001101110100_00100_00000000000000000000000000000010
+00000000000000010000001101111000_00101_00000000000000000000000000000010
+00000000000000010000001110000000_00011_00000000000000000000000000010001
+00000000000000010000001110000100_00100_00000000000000000000000000000000
+00000000000000010000001110001000_00001_01000000000000000000001110001000
+00000000000000010000001110001100_00001_01000000000000000000000000000000
+00000000000000010000001110010000_00000_00000000000000000000000000000000
+00000000000000010000001110010100_00000_00000000000000000000000000000000
+00000000000000010000001110011000_01110_00000000000000001111111100000000
+00000000000000010000001110011100_00111_00000000000000010000000000000000
+00000000000000010000001110100000_00111_00000000000000001111111100000000
+00000000000000010000001110101000_00100_00000000000000000000000000000001
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00001_01000000000000000000001110001000
+00000000000000010000001110001100_00001_01000000000000000000000000000000
+00000000000000010000001110010000_00000_00000000000000000000000000000000
+00000000000000010000001110010100_00000_00000000000000000000000000000000
+00000000000000010000001110011000_01110_00000000000000001111111100000000
+00000000000000010000001110011100_00111_00000000000000010000000000000000
+00000000000000010000001110100000_00111_00000000000000001111111100000000
+00000000000000010000001110101000_00100_00000000000000000000000000000010
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110110100_00101_01000000000000000000001110110100
+00000000000000010000001110111000_00101_01000000000000000000000000000000
+00000000000000010000001110111100_00010_00000000000000000000000011111111
+00000000000000010000001111000000_00010_00000000000000000000000000000010
+00000000000000010000001111000100_00111_00000000000000000000000000000010
+00000000000000010000001111001000_00011_00000000000000000000000000010010
+00000000000000010000001111010000_00101_01000000000000000000001111010000
+00000000000000010000001111010100_00101_01000000000000000000000000000000
+00000000000000010000001111011000_00010_00000000000000000000000011111111
+00000000000000010000001111011100_00000_00000000000000000000000000000000
+00000000000000010000001111100000_00010_00000000000000000000000000000010
+00000000000000010000001111100100_00111_00000000000000000000000000000010
+00000000000000010000001111101000_00011_00000000000000000000000000010011
+00000000000000010000010000010100_00011_00000000000000000000000000000001
+00000000000000010000010000011000_10001_00000000000000000000000001011101
+00000000000000010000010000011100_01010_00000000000000000000000000000000
+00000000000000010000010000011100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lhu/dmem.coe b/verilog/dv/test_c0/coe/lhu/dmem.coe
new file mode 100644
index 0000000..377dcff
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lhu/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+FF0000FF,
+F00F0FF0,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lhu/imem.coe b/verilog/dv/test_c0/coe/lhu/imem.coe
new file mode 100644
index 0000000..ea6d4ab
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lhu/imem.coe
@@ -0,0 +1,273 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+3FFF0097,
+E8C08093,
+0000D703,
+0FF00393,
+00200193,
+26771663,
+3FFF0097,
+E7408093,
+0020D703,
+000103B7,
+F0038393,
+00300193,
+24771863,
+3FFF0097,
+E5808093,
+0040D703,
+000013B7,
+FF038393,
+00400193,
+22771A63,
+3FFF0097,
+E3C08093,
+0060D703,
+0000F3B7,
+00F38393,
+00500193,
+20771C63,
+3FFF0097,
+E2608093,
+FFA0D703,
+0FF00393,
+00600193,
+20771063,
+3FFF0097,
+E0E08093,
+FFC0D703,
+000103B7,
+F0038393,
+00700193,
+1E771263,
+3FFF0097,
+DF208093,
+FFE0D703,
+000013B7,
+FF038393,
+00800193,
+1C771463,
+3FFF0097,
+DD608093,
+0000D703,
+0000F3B7,
+00F38393,
+00900193,
+1A771663,
+3FFF0097,
+DB408093,
+FE008093,
+0200D283,
+0FF00393,
+00A00193,
+18729863,
+3FFF0097,
+D9808093,
+FFB08093,
+0070D283,
+000103B7,
+F0038393,
+00B00193,
+16729863,
+00C00193,
+00000213,
+3FFF0097,
+D7208093,
+0020D703,
+00070313,
+000013B7,
+FF038393,
+14731663,
+00120213,
+00200293,
+FC521EE3,
+00D00193,
+00000213,
+3FFF0097,
+D4408093,
+0020D703,
+00000013,
+00070313,
+0000F3B7,
+00F38393,
+10731C63,
+00120213,
+00200293,
+FC521CE3,
+00E00193,
+00000213,
+3FFF0097,
+D0C08093,
+0020D703,
+00000013,
+00000013,
+00070313,
+000103B7,
+F0038393,
+0E731063,
+00120213,
+00200293,
+FC521AE3,
+00F00193,
+00000213,
+3FFF0097,
+CD608093,
+0020D703,
+000013B7,
+FF038393,
+0A771A63,
+00120213,
+00200293,
+FE5210E3,
+01000193,
+00000213,
+3FFF0097,
+CAC08093,
+00000013,
+0020D703,
+0000F3B7,
+00F38393,
+08771263,
+00120213,
+00200293,
+FC521EE3,
+01100193,
+00000213,
+3FFF0097,
+C7808093,
+00000013,
+00000013,
+0020D703,
+000103B7,
+F0038393,
+04771863,
+00120213,
+00200293,
+FC521CE3,
+3FFF0297,
+C4C28293,
+0002D103,
+00200113,
+00200393,
+01200193,
+02711463,
+3FFF0297,
+C3028293,
+0002D103,
+00000013,
+00200113,
+00200393,
+01300193,
+00711463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lui/cekirdek_dy.txt b/verilog/dv/test_c0/coe/lui/cekirdek_dy.txt
new file mode 100644
index 0000000..b32eabb
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lui/cekirdek_dy.txt
@@ -0,0 +1,217 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100001001101001100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111111000010110111_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000100001101000010010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100001001000001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111111000010110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001010000001101000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100000000001110010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001011001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001010000001101000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001110001100011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000000110111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000000111111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000111111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001000000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001000000100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lui/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/lui/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..b39e7e1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lui/cekirdek_ps_bin.txt
@@ -0,0 +1,96 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000000111111100
diff --git a/verilog/dv/test_c0/coe/lui/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/lui/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..cf04082
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lui/cekirdek_ps_hex.txt
@@ -0,0 +1,96 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101f0
+000101f4
+000101f8
+000101fc
+000101fc
diff --git a/verilog/dv/test_c0/coe/lui/cekirdek_yo.txt b/verilog/dv/test_c0/coe/lui/cekirdek_yo.txt
new file mode 100644
index 0000000..c9bc99f
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lui/cekirdek_yo.txt
@@ -0,0 +1,71 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_00111_00000000000000000000000000000000
+00000000000000010000000101111100_00011_00000000000000000000000000000010
+00000000000000010000000110000100_00001_11111111111111111111000000000000
+00000000000000010000000110001000_00001_11111111111111111111100000000000
+00000000000000010000000110001100_00111_11111111111111111111100000000000
+00000000000000010000000110010000_00011_00000000000000000000000000000011
+00000000000000010000000110011000_00001_01111111111111111111000000000000
+00000000000000010000000110011100_00001_00000000000000000000011111111111
+00000000000000010000000110100000_00111_00000000000000000000011111111111
+00000000000000010000000110100100_00011_00000000000000000000000000000100
+00000000000000010000000110101100_00001_10000000000000000000000000000000
+00000000000000010000000110110000_00001_11111111111111111111100000000000
+00000000000000010000000110110100_00111_11111111111111111111100000000000
+00000000000000010000000110111000_00011_00000000000000000000000000000101
+00000000000000010000000111000000_00000_10000000000000000000000000000000
+00000000000000010000000111000100_00111_00000000000000000000000000000000
+00000000000000010000000111001000_00011_00000000000000000000000000000110
+00000000000000010000000111110100_00011_00000000000000000000000000000001
+00000000000000010000000111111000_10001_00000000000000000000000001011101
+00000000000000010000000111111100_01010_00000000000000000000000000000000
+00000000000000010000000111111100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lui/dmem.coe b/verilog/dv/test_c0/coe/lui/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lui/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lui/imem.coe b/verilog/dv/test_c0/coe/lui/imem.coe
new file mode 100644
index 0000000..908d9ff
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lui/imem.coe
@@ -0,0 +1,145 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+000000B7,
+00000393,
+00200193,
+04709A63,
+FFFFF0B7,
+4010D093,
+80000393,
+00300193,
+04709063,
+7FFFF0B7,
+4140D093,
+7FF00393,
+00400193,
+02709663,
+800000B7,
+4140D093,
+80000393,
+00500193,
+00709C63,
+80000037,
+00000393,
+00600193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lw/cekirdek_dy.txt b/verilog/dv/test_c0/coe/lw/cekirdek_dy.txt
new file mode 100644
index 0000000..63048a4
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lw/cekirdek_dy.txt
@@ -0,0 +1,599 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101000110000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010011100000011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000110000000_00000000111111110000000011111111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000000110000100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110001000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00100110011101110001101001100011_00000000000000010000000110001100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000110010000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11100111000000001000000010010011_00000000000000010000000110010100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000010000001010011100000011_00000000000000010000000110011000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000000110011100_00000000111111110000000011111111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000000110011100_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000000110011100_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000000110011100_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000000110011100_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000000110011100_00000000111111110000000011111111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000000110011100_11111111000000001111111100000000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11110000000000111000001110010011_00000000000000010000000110100000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000001100000000000110010011_00000000000000010000000110100100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00100100011101110001110001100011_00000000000000010000000110101000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000000110101100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11100101010000001000000010010011_00000000000000010000000110110000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000100000001010011100000011_00000000000000010000000110110100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00001111111100000001001110110111_00000000000000010000000110111000_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000000110111000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000000110111000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000000110111000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000000110111000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000000110111000_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000000110111000_00001111111100000000111111110000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111111000000111000001110010011_00000000000000010000000110111100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000010000000000000110010011_00000000000000010000000111000000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00100010011101110001111001100011_00000000000000010000000111000100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000000111001000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11100011100000001000000010010011_00000000000000010000000111001100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000110000001010011100000011_00000000000000010000000111010000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11110000000011111111001110110111_00000000000000010000000111010100_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000000111010100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000000111010100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000000111010100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000000111010100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000000111010100_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000000111010100_11110000000011111111000000001111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000111100111000001110010011_00000000000000010000000111011000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000010100000000000110010011_00000000000000010000000111011100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100010011101110001000001100011_00000000000000010000000111100000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000010010111_00000000000000010000000111100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11100010100000001000000010010011_00000000000000010000000111101000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111111010000001010011100000011_00000000000000010000000111101100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000111111110000001110110111_00000000000000010000000111110000_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000111110000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000111110000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000111110000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000111110000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000111110000_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000111110000_00000000111111110000000011111111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000000111110100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111111000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00100000011101110001001001100011_00000000000000010000000111111100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000001000000000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11100000110000001000000010010011_00000000000000010000001000000100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111111100000001010011100000011_00000000000000010000001000001000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001000001100_00000000111111110000000011111111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001000001100_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001000001100_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001000001100_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001000001100_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001000001100_00000000111111110000000011111111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001000001100_11111111000000001111111100000000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11110000000000111000001110010011_00000000000000010000001000010000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000011100000000000110010011_00000000000000010000001000010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00011110011101110001010001100011_00000000000000010000001000011000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001000011100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11011111000000001000000010010011_00000000000000010000001000100000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111110000001010011100000011_00000000000000010000001000100100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00001111111100000001001110110111_00000000000000010000001000101000_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001000101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001000101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001000101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001000101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001000101000_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001000101000_00001111111100000000111111110000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111111000000111000001110010011_00000000000000010000001000101100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000100000000000000110010011_00000000000000010000001000110000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00011100011101110001011001100011_00000000000000010000001000110100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000001000111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101010000001000000010010011_00000000000000010000001000111100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000001010011100000011_00000000000000010000001001000000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11110000000011111111001110110111_00000000000000010000001001000100_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001001000100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001001000100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001001000100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001001000100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001001000100_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001001000100_11110000000011111111000000001111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000111100111000001110010011_00000000000000010000001001001000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000100100000000000110010011_00000000000000010000001001001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00011010011101110001100001100011_00000000000000010000001001010000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000010010111_00000000000000010000001001010100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11011010110000001000000010010011_00000000000000010000001001011000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111110000000001000000010010011_00000000000000010000001001011100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000010000000001010001010000011_00000000000000010000001001100000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000111111110000001110110111_00000000000000010000001001100100_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000001001100100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000001001100100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000001001100100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000001001100100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000001001100100_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000001001100100_00000000111111110000000011111111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000001001101000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001101100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00011000011100101001100001100011_00000000000000010000001001110000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000001001110100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11011000110000001000000010010011_00000000000000010000001001111000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111111110100001000000010010011_00000000000000010000001001111100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000011100001010001010000011_00000000000000010000001010000000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001010000100_00000000111111110000000011111111_0_1_0_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001010000100_00000000111111110000000011111111_0_0_0_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001010000100_00000000111111110000000011111111_0_0_0_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001010000100_00000000111111110000000011111111_0_0_0_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001010000100_00000000111111110000000011111111_0_0_0_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001010000100_00000000111111110000000011111111_0_1_0_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001010000100_11111111000000001111111100000000_1_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_11110000000000111000001110010011_00000000000000010000001010001000_11111111000000001111111100000000_0_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_00000000101100000000000110010011_00000000000000010000001010001100_11111111000000001111111100000000_0_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_00010110011100101001100001100011_00000000000000010000001010010000_11111111000000001111111100000000_0_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_00000000110000000000000110010011_00000000000000010000001010010100_11111111000000001111111100000000_0_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001010011000_11111111000000001111111100000000_0_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001010011100_11111111000000001111111100000000_0_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_11010110100000001000000010010011_00000000000000010000001010100000_11111111000000001111111100000000_0_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_00000000010000001010011100000011_00000000000000010000001010100100_11111111000000001111111100000000_0_1_1_1_00000000111111110000000011111111_0111_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001010101000_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_00001111111100000000111111110000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001010101100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111111000000111000001110010011_00000000000000010000001010110000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00010100011100110001011001100011_00000000000000010000001010110100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000001010111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000001010111100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111100010100100001111011100011_00000000000000010000001011000000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000110100000000000110010011_00000000000000010000001011000100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001010011100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001010011100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001010011100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001010011100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001010011100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000001010011100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11010110100000001000000010010011_00000000000000010000001010100000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000010000001010011100000011_00000000000000010000001010100100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000001110000001100010011_00000000000000010000001010101000_00001111111100000000111111110000_1_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001010101100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_11111111000000111000001110010011_00000000000000010000001010110000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00010100011100110001011001100011_00000000000000010000001010110100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000001010111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000001010111100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_11111100010100100001111011100011_00000000000000010000001011000000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000110100000000000110010011_00000000000000010000001011000100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000000000000001000010011_00000000000000010000001011001000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000001011001100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_11010011110000001000000010010011_00000000000000010000001011010000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000010000001010011100000011_00000000000000010000001011010100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000001011011000_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_11110000000011111111000000001111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000001110000001100010011_00000000000000010000001011011100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001011100000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000111100111000001110010011_00000000000000010000001011100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00010000011100110001110001100011_00000000000000010000001011101000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100100000001000010011_00000000000000010000001011101100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000001000000000001010010011_00000000000000010000001011110000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111100010100100001110011100011_00000000000000010000001011110100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000111000000000000110010011_00000000000000010000001011111000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001011001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001011001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001011001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001011001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001011001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000010010111_00000000000000010000001011001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11010011110000001000000010010011_00000000000000010000001011010000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000010000001010011100000011_00000000000000010000001011010100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001011011000_11110000000011111111000000001111_1_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000001110000001100010011_00000000000000010000001011011100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001011100000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000111100111000001110010011_00000000000000010000001011100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00010000011100110001110001100011_00000000000000010000001011101000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000100100000001000010011_00000000000000010000001011101100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000001000000000001010010011_00000000000000010000001011110000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11111100010100100001110011100011_00000000000000010000001011110100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000111000000000000110010011_00000000000000010000001011111000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000001000010011_00000000000000010000001011111100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00111111111111110000000010010111_00000000000000010000001100000000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11010000000000001000000010010011_00000000000000010000001100000100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000010000001010011100000011_00000000000000010000001100001000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11111111000000001111111100000000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100010000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001100010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001100011000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11110000000000111000001110010011_00000000000000010000001100011100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00001110011100110001000001100011_00000000000000010000001100100000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001100100100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001100101000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111100010100100001101011100011_00000000000000010000001100101100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000111100000000000110010011_00000000000000010000001100110000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001100000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001100000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11010000000000001000000010010011_00000000000000010000001100000100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000010000001010011100000011_00000000000000010000001100001000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100001100_11111111000000001111111100000000_1_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001100010000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000001110000001100010011_00000000000000010000001100010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001100011000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11110000000000111000001110010011_00000000000000010000001100011100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00001110011100110001000001100011_00000000000000010000001100100000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001100100100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001100101000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111100010100100001101011100011_00000000000000010000001100101100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000111100000000000110010011_00000000000000010000001100110000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001100110100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001100111000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11001100110000001000000010010011_00000000000000010000001100111100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000010000001010011100000011_00000000000000010000001101000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00001111111100000001001110110111_00000000000000010000001101000100_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_00001111111100000000111111110000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111111000000111000001110010011_00000000000000010000001101001000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001010011101110001101001100011_00000000000000010000001101001100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000001101010000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000001101010100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111110010100100001000011100011_00000000000000010000001101011000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000001000000000000000110010011_00000000000000010000001101011100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001100111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001100111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001100111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001100111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000010010111_00000000000000010000001100111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000001100111000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11001100110000001000000010010011_00000000000000010000001100111100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000010000001010011100000011_00000000000000010000001101000000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00001111111100000001001110110111_00000000000000010000001101000100_00001111111100000000111111110000_1_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_11111111000000111000001110010011_00000000000000010000001101001000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00001010011101110001101001100011_00000000000000010000001101001100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000001101010000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000001101010100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_11111110010100100001000011100011_00000000000000010000001101011000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000001000000000000000110010011_00000000000000010000001101011100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000000000000001000010011_00000000000000010000001101100000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000001101100100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_11001010010000001000000010010011_00000000000000010000001101101000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000001101101100_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_00000000010000001010011100000011_00000000000000010000001101110000_00001111111100000000111111110000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001000
+1_11110000000011111111001110110111_00000000000000010000001101110100_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_00001111111100000000111111110000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_00001111111100000000111111110000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_11110000000011111111000000001111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000111100111000001110010011_00000000000000010000001101111000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00001000011101110001001001100011_00000000000000010000001101111100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100100000001000010011_00000000000000010000001110000000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000001000000000001010010011_00000000000000010000001110000100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111100010100100001111011100011_00000000000000010000001110001000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000001000100000000000110010011_00000000000000010000001110001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001101100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001101100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001101100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001101100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000010010111_00000000000000010000001101100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000010010111_00000000000000010000001101100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001010010000001000000010010011_00000000000000010000001101101000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001101101100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000010000001010011100000011_00000000000000010000001101110000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11110000000011111111001110110111_00000000000000010000001101110100_11110000000011111111000000001111_1_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000111100111000001110010011_00000000000000010000001101111000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00001000011101110001001001100011_00000000000000010000001101111100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000100100000001000010011_00000000000000010000001110000000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000001000000000001010010011_00000000000000010000001110000100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11111100010100100001111011100011_00000000000000010000001110001000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000001000100000000000110010011_00000000000000010000001110001100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000001000010011_00000000000000010000001110010000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00111111111111110000000010010111_00000000000000010000001110010100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11000110110000001000000010010011_00000000000000010000001110011000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001110011100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001110100000_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_00000000010000001010011100000011_00000000000000010000001110100100_11110000000011111111000000001111_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000001100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11110000000011111111000000001111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11110000000011111111000000001111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11111111000000001111111100000000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11110000000000111000001110010011_00000000000000010000001110101100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000100011101110001100001100011_00000000000000010000001110110000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001110110100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001110111000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111100010100100001110011100011_00000000000000010000001110111100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000001010010111_00000000000000010000001111000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001110010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001110010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001110010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001110010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000010010111_00000000000000010000001110010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001110010100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11000110110000001000000010010011_00000000000000010000001110011000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001110011100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001110100000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000010000001010011100000011_00000000000000010000001110100100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111111000000010000001110110111_00000000000000010000001110101000_11111111000000001111111100000000_1_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11110000000000111000001110010011_00000000000000010000001110101100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000100011101110001100001100011_00000000000000010000001110110000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001110110100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001110111000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111100010100100001110011100011_00000000000000010000001110111100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00111111111111110000001010010111_00000000000000010000001111000000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11000100000000101000001010010011_00000000000000010000001111000100_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000101010000100000011_00000000000000010000001111001000_11111111000000001111111100000000_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000001000000000000100010011_00000000000000010000001111001100_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111001100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111001100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111001100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111001100_11111111000000001111111100000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111001100_11111111000000001111111100000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111001100_00000000111111110000000011111111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001111010000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001111010100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000010011100010001010001100011_00000000000000010000001111011000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000001010010111_00000000000000010000001111011100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11000010010000101000001010010011_00000000000000010000001111100000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000101010000100000011_00000000000000010000001111100100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000111111110000000011111111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000111111110000000011111111_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000111111110000000011111111_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111101000_00000000111111110000000011111111_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000100010011_00000000000000010000001111101100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001111110000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001111110100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000011100010001010001100011_00000000000000010000001111111000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001111111100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000000000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000011100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000011100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010000100000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010000100100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010000101000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010000101100_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010000110000_00000000111111110000000011111111_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lw/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/lw/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..8f44896
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lw/cekirdek_ps_bin.txt
@@ -0,0 +1,298 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101000
diff --git a/verilog/dv/test_c0/coe/lw/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/lw/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..478cf0e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lw/cekirdek_ps_hex.txt
@@ -0,0 +1,298 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+0001041c
+00010420
+00010424
+00010428
+00010428
diff --git a/verilog/dv/test_c0/coe/lw/cekirdek_yo.txt b/verilog/dv/test_c0/coe/lw/cekirdek_yo.txt
new file mode 100644
index 0000000..78accca
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lw/cekirdek_yo.txt
@@ -0,0 +1,242 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_01000000000000000000000101110100
+00000000000000010000000101111000_00001_01000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000111111110000000011111111
+00000000000000010000000110000000_00111_00000000111111110000000000000000
+00000000000000010000000110000100_00111_00000000111111110000000011111111
+00000000000000010000000110001000_00011_00000000000000000000000000000010
+00000000000000010000000110010000_00001_01000000000000000000000110010000
+00000000000000010000000110010100_00001_01000000000000000000000000000000
+00000000000000010000000110011000_01110_11111111000000001111111100000000
+00000000000000010000000110011100_00111_11111111000000010000000000000000
+00000000000000010000000110100000_00111_11111111000000001111111100000000
+00000000000000010000000110100100_00011_00000000000000000000000000000011
+00000000000000010000000110101100_00001_01000000000000000000000110101100
+00000000000000010000000110110000_00001_01000000000000000000000000000000
+00000000000000010000000110110100_01110_00001111111100000000111111110000
+00000000000000010000000110111000_00111_00001111111100000001000000000000
+00000000000000010000000110111100_00111_00001111111100000000111111110000
+00000000000000010000000111000000_00011_00000000000000000000000000000100
+00000000000000010000000111001000_00001_01000000000000000000000111001000
+00000000000000010000000111001100_00001_01000000000000000000000000000000
+00000000000000010000000111010000_01110_11110000000011111111000000001111
+00000000000000010000000111010100_00111_11110000000011111111000000000000
+00000000000000010000000111011000_00111_11110000000011111111000000001111
+00000000000000010000000111011100_00011_00000000000000000000000000000101
+00000000000000010000000111100100_00001_01000000000000000000000111100100
+00000000000000010000000111101000_00001_01000000000000000000000000001100
+00000000000000010000000111101100_01110_00000000111111110000000011111111
+00000000000000010000000111110000_00111_00000000111111110000000000000000
+00000000000000010000000111110100_00111_00000000111111110000000011111111
+00000000000000010000000111111000_00011_00000000000000000000000000000110
+00000000000000010000001000000000_00001_01000000000000000000001000000000
+00000000000000010000001000000100_00001_01000000000000000000000000001100
+00000000000000010000001000001000_01110_11111111000000001111111100000000
+00000000000000010000001000001100_00111_11111111000000010000000000000000
+00000000000000010000001000010000_00111_11111111000000001111111100000000
+00000000000000010000001000010100_00011_00000000000000000000000000000111
+00000000000000010000001000011100_00001_01000000000000000000001000011100
+00000000000000010000001000100000_00001_01000000000000000000000000001100
+00000000000000010000001000100100_01110_00001111111100000000111111110000
+00000000000000010000001000101000_00111_00001111111100000001000000000000
+00000000000000010000001000101100_00111_00001111111100000000111111110000
+00000000000000010000001000110000_00011_00000000000000000000000000001000
+00000000000000010000001000111000_00001_01000000000000000000001000111000
+00000000000000010000001000111100_00001_01000000000000000000000000001100
+00000000000000010000001001000000_01110_11110000000011111111000000001111
+00000000000000010000001001000100_00111_11110000000011111111000000000000
+00000000000000010000001001001000_00111_11110000000011111111000000001111
+00000000000000010000001001001100_00011_00000000000000000000000000001001
+00000000000000010000001001010100_00001_01000000000000000000001001010100
+00000000000000010000001001011000_00001_01000000000000000000000000000000
+00000000000000010000001001011100_00001_00111111111111111111111111100000
+00000000000000010000001001100000_00101_00000000111111110000000011111111
+00000000000000010000001001100100_00111_00000000111111110000000000000000
+00000000000000010000001001101000_00111_00000000111111110000000011111111
+00000000000000010000001001101100_00011_00000000000000000000000000001010
+00000000000000010000001001110100_00001_01000000000000000000001001110100
+00000000000000010000001001111000_00001_01000000000000000000000000000000
+00000000000000010000001001111100_00001_00111111111111111111111111111101
+00000000000000010000001010000000_00101_11111111000000001111111100000000
+00000000000000010000001010000100_00111_11111111000000010000000000000000
+00000000000000010000001010001000_00111_11111111000000001111111100000000
+00000000000000010000001010001100_00011_00000000000000000000000000001011
+00000000000000010000001010010100_00011_00000000000000000000000000001100
+00000000000000010000001010011000_00100_00000000000000000000000000000000
+00000000000000010000001010011100_00001_01000000000000000000001010011100
+00000000000000010000001010100000_00001_01000000000000000000000000000100
+00000000000000010000001010100100_01110_00001111111100000000111111110000
+00000000000000010000001010101000_00110_00001111111100000000111111110000
+00000000000000010000001010101100_00111_00001111111100000001000000000000
+00000000000000010000001010110000_00111_00001111111100000000111111110000
+00000000000000010000001010111000_00100_00000000000000000000000000000001
+00000000000000010000001010111100_00101_00000000000000000000000000000010
+00000000000000010000001010011100_00001_01000000000000000000001010011100
+00000000000000010000001010100000_00001_01000000000000000000000000000100
+00000000000000010000001010100100_01110_00001111111100000000111111110000
+00000000000000010000001010101000_00110_00001111111100000000111111110000
+00000000000000010000001010101100_00111_00001111111100000001000000000000
+00000000000000010000001010110000_00111_00001111111100000000111111110000
+00000000000000010000001010111000_00100_00000000000000000000000000000010
+00000000000000010000001010111100_00101_00000000000000000000000000000010
+00000000000000010000001011000100_00011_00000000000000000000000000001101
+00000000000000010000001011001000_00100_00000000000000000000000000000000
+00000000000000010000001011001100_00001_01000000000000000000001011001100
+00000000000000010000001011010000_00001_01000000000000000000000000001000
+00000000000000010000001011010100_01110_11110000000011111111000000001111
+00000000000000010000001011011000_00000_00000000000000000000000000000000
+00000000000000010000001011011100_00110_11110000000011111111000000001111
+00000000000000010000001011100000_00111_11110000000011111111000000000000
+00000000000000010000001011100100_00111_11110000000011111111000000001111
+00000000000000010000001011101100_00100_00000000000000000000000000000001
+00000000000000010000001011110000_00101_00000000000000000000000000000010
+00000000000000010000001011001100_00001_01000000000000000000001011001100
+00000000000000010000001011010000_00001_01000000000000000000000000001000
+00000000000000010000001011010100_01110_11110000000011111111000000001111
+00000000000000010000001011011000_00000_00000000000000000000000000000000
+00000000000000010000001011011100_00110_11110000000011111111000000001111
+00000000000000010000001011100000_00111_11110000000011111111000000000000
+00000000000000010000001011100100_00111_11110000000011111111000000001111
+00000000000000010000001011101100_00100_00000000000000000000000000000010
+00000000000000010000001011110000_00101_00000000000000000000000000000010
+00000000000000010000001011111000_00011_00000000000000000000000000001110
+00000000000000010000001011111100_00100_00000000000000000000000000000000
+00000000000000010000001100000000_00001_01000000000000000000001100000000
+00000000000000010000001100000100_00001_01000000000000000000000000000000
+00000000000000010000001100001000_01110_11111111000000001111111100000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00000_00000000000000000000000000000000
+00000000000000010000001100010100_00110_11111111000000001111111100000000
+00000000000000010000001100011000_00111_11111111000000010000000000000000
+00000000000000010000001100011100_00111_11111111000000001111111100000000
+00000000000000010000001100100100_00100_00000000000000000000000000000001
+00000000000000010000001100101000_00101_00000000000000000000000000000010
+00000000000000010000001100000000_00001_01000000000000000000001100000000
+00000000000000010000001100000100_00001_01000000000000000000000000000000
+00000000000000010000001100001000_01110_11111111000000001111111100000000
+00000000000000010000001100001100_00000_00000000000000000000000000000000
+00000000000000010000001100010000_00000_00000000000000000000000000000000
+00000000000000010000001100010100_00110_11111111000000001111111100000000
+00000000000000010000001100011000_00111_11111111000000010000000000000000
+00000000000000010000001100011100_00111_11111111000000001111111100000000
+00000000000000010000001100100100_00100_00000000000000000000000000000010
+00000000000000010000001100101000_00101_00000000000000000000000000000010
+00000000000000010000001100110000_00011_00000000000000000000000000001111
+00000000000000010000001100110100_00100_00000000000000000000000000000000
+00000000000000010000001100111000_00001_01000000000000000000001100111000
+00000000000000010000001100111100_00001_01000000000000000000000000000100
+00000000000000010000001101000000_01110_00001111111100000000111111110000
+00000000000000010000001101000100_00111_00001111111100000001000000000000
+00000000000000010000001101001000_00111_00001111111100000000111111110000
+00000000000000010000001101010000_00100_00000000000000000000000000000001
+00000000000000010000001101010100_00101_00000000000000000000000000000010
+00000000000000010000001100111000_00001_01000000000000000000001100111000
+00000000000000010000001100111100_00001_01000000000000000000000000000100
+00000000000000010000001101000000_01110_00001111111100000000111111110000
+00000000000000010000001101000100_00111_00001111111100000001000000000000
+00000000000000010000001101001000_00111_00001111111100000000111111110000
+00000000000000010000001101010000_00100_00000000000000000000000000000010
+00000000000000010000001101010100_00101_00000000000000000000000000000010
+00000000000000010000001101011100_00011_00000000000000000000000000010000
+00000000000000010000001101100000_00100_00000000000000000000000000000000
+00000000000000010000001101100100_00001_01000000000000000000001101100100
+00000000000000010000001101101000_00001_01000000000000000000000000001000
+00000000000000010000001101101100_00000_00000000000000000000000000000000
+00000000000000010000001101110000_01110_11110000000011111111000000001111
+00000000000000010000001101110100_00111_11110000000011111111000000000000
+00000000000000010000001101111000_00111_11110000000011111111000000001111
+00000000000000010000001110000000_00100_00000000000000000000000000000001
+00000000000000010000001110000100_00101_00000000000000000000000000000010
+00000000000000010000001101100100_00001_01000000000000000000001101100100
+00000000000000010000001101101000_00001_01000000000000000000000000001000
+00000000000000010000001101101100_00000_00000000000000000000000000000000
+00000000000000010000001101110000_01110_11110000000011111111000000001111
+00000000000000010000001101110100_00111_11110000000011111111000000000000
+00000000000000010000001101111000_00111_11110000000011111111000000001111
+00000000000000010000001110000000_00100_00000000000000000000000000000010
+00000000000000010000001110000100_00101_00000000000000000000000000000010
+00000000000000010000001110001100_00011_00000000000000000000000000010001
+00000000000000010000001110010000_00100_00000000000000000000000000000000
+00000000000000010000001110010100_00001_01000000000000000000001110010100
+00000000000000010000001110011000_00001_01000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_01110_11111111000000001111111100000000
+00000000000000010000001110101000_00111_11111111000000010000000000000000
+00000000000000010000001110101100_00111_11111111000000001111111100000000
+00000000000000010000001110110100_00100_00000000000000000000000000000001
+00000000000000010000001110111000_00101_00000000000000000000000000000010
+00000000000000010000001110010100_00001_01000000000000000000001110010100
+00000000000000010000001110011000_00001_01000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_01110_11111111000000001111111100000000
+00000000000000010000001110101000_00111_11111111000000010000000000000000
+00000000000000010000001110101100_00111_11111111000000001111111100000000
+00000000000000010000001110110100_00100_00000000000000000000000000000010
+00000000000000010000001110111000_00101_00000000000000000000000000000010
+00000000000000010000001111000000_00101_01000000000000000000001111000000
+00000000000000010000001111000100_00101_01000000000000000000000000000000
+00000000000000010000001111001000_00010_00000000111111110000000011111111
+00000000000000010000001111001100_00010_00000000000000000000000000000010
+00000000000000010000001111010000_00111_00000000000000000000000000000010
+00000000000000010000001111010100_00011_00000000000000000000000000010010
+00000000000000010000001111011100_00101_01000000000000000000001111011100
+00000000000000010000001111100000_00101_01000000000000000000000000000000
+00000000000000010000001111100100_00010_00000000111111110000000011111111
+00000000000000010000001111101000_00000_00000000000000000000000000000000
+00000000000000010000001111101100_00010_00000000000000000000000000000010
+00000000000000010000001111110000_00111_00000000000000000000000000000010
+00000000000000010000001111110100_00011_00000000000000000000000000010011
+00000000000000010000010000100000_00011_00000000000000000000000000000001
+00000000000000010000010000100100_10001_00000000000000000000000001011101
+00000000000000010000010000101000_01010_00000000000000000000000000000000
+00000000000000010000010000101000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/lw/dmem.coe b/verilog/dv/test_c0/coe/lw/dmem.coe
new file mode 100644
index 0000000..dd2dba9
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lw/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00FF00FF,
+FF00FF00,
+0FF00FF0,
+F00FF00F,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/lw/imem.coe b/verilog/dv/test_c0/coe/lw/imem.coe
new file mode 100644
index 0000000..972e719
--- /dev/null
+++ b/verilog/dv/test_c0/coe/lw/imem.coe
@@ -0,0 +1,273 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+3FFF0097,
+E8C08093,
+0000A703,
+00FF03B7,
+0FF38393,
+00200193,
+26771A63,
+3FFF0097,
+E7008093,
+0040A703,
+FF0103B7,
+F0038393,
+00300193,
+24771C63,
+3FFF0097,
+E5408093,
+0080A703,
+0FF013B7,
+FF038393,
+00400193,
+22771E63,
+3FFF0097,
+E3808093,
+00C0A703,
+F00FF3B7,
+00F38393,
+00500193,
+22771063,
+3FFF0097,
+E2808093,
+FF40A703,
+00FF03B7,
+0FF38393,
+00600193,
+20771263,
+3FFF0097,
+E0C08093,
+FF80A703,
+FF0103B7,
+F0038393,
+00700193,
+1E771463,
+3FFF0097,
+DF008093,
+FFC0A703,
+0FF013B7,
+FF038393,
+00800193,
+1C771663,
+3FFF0097,
+DD408093,
+0000A703,
+F00FF3B7,
+00F38393,
+00900193,
+1A771863,
+3FFF0097,
+DAC08093,
+FE008093,
+0200A283,
+00FF03B7,
+0FF38393,
+00A00193,
+18729863,
+3FFF0097,
+D8C08093,
+FFD08093,
+0070A283,
+FF0103B7,
+F0038393,
+00B00193,
+16729863,
+00C00193,
+00000213,
+3FFF0097,
+D6808093,
+0040A703,
+00070313,
+0FF013B7,
+FF038393,
+14731663,
+00120213,
+00200293,
+FC521EE3,
+00D00193,
+00000213,
+3FFF0097,
+D3C08093,
+0040A703,
+00000013,
+00070313,
+F00FF3B7,
+00F38393,
+10731C63,
+00120213,
+00200293,
+FC521CE3,
+00E00193,
+00000213,
+3FFF0097,
+D0008093,
+0040A703,
+00000013,
+00000013,
+00070313,
+FF0103B7,
+F0038393,
+0E731063,
+00120213,
+00200293,
+FC521AE3,
+00F00193,
+00000213,
+3FFF0097,
+CCC08093,
+0040A703,
+0FF013B7,
+FF038393,
+0A771A63,
+00120213,
+00200293,
+FE5210E3,
+01000193,
+00000213,
+3FFF0097,
+CA408093,
+00000013,
+0040A703,
+F00FF3B7,
+00F38393,
+08771263,
+00120213,
+00200293,
+FC521EE3,
+01100193,
+00000213,
+3FFF0097,
+C6C08093,
+00000013,
+00000013,
+0040A703,
+FF0103B7,
+F0038393,
+04771863,
+00120213,
+00200293,
+FC521CE3,
+3FFF0297,
+C4028293,
+0002A103,
+00200113,
+00200393,
+01200193,
+02711463,
+3FFF0297,
+C2428293,
+0002A103,
+00000013,
+00200113,
+00200393,
+01300193,
+00711463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/mul/cekirdek_dy.txt b/verilog/dv/test_c0/coe/mul/cekirdek_dy.txt
new file mode 100644
index 0000000..bbbb592
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mul/cekirdek_dy.txt
@@ -0,0 +1,1619 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000001000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000001000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000001000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000001000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000001000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100000000000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10110110110110110111000100110111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11011011011100010000000100010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000000000111000001110010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001010001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000010110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100000000001000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10110110110110110111000100110111_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11011011011100010000000100010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100000000111000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001001001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001011001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001101001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001111001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001001001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001011001100011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001101001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101010101011000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101100001000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000000100110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100111110100010000000100010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110111111100111000001110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001100001100011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000000010110111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100111110100001000000010010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101010101011000100110111_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101100010000000100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110111111100111000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001011001100011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000000010110111_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000000100110111_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001101001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001111001100011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001001001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010100000000000110010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011101110001011001100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000000010110011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100001001101001100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000000100110011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100010001111001100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100001000000010110011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010100100000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100001001010001100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100011100110001111001100011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001011001100011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011100110001110001100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001100001100011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001001001100011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001101001100011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001010001100011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001110001100011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001010001100011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001000001100011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001101001100011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001001001100011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000111100000000001110010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001110001100011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001101000000000001110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001010001100011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000011100110011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010010100000000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000010010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000100110011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001001001100011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000010010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000001000000100110011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001100001100011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000010110011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000010010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000100010011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001000000000110011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/mul/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/mul/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..d79353c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mul/cekirdek_ps_bin.txt
@@ -0,0 +1,490 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001100100
diff --git a/verilog/dv/test_c0/coe/mul/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/mul/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..e58533d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mul/cekirdek_ps_hex.txt
@@ -0,0 +1,490 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+00010658
+0001065c
+00010660
+00010664
+00010664
diff --git a/verilog/dv/test_c0/coe/mul/cekirdek_yo.txt b/verilog/dv/test_c0/coe/mul/cekirdek_yo.txt
new file mode 100644
index 0000000..6e9646e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mul/cekirdek_yo.txt
@@ -0,0 +1,404 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000001000000000000000
+00000000000000010000000101111000_00001_00000000000000000111111000000000
+00000000000000010000000101111100_00010_10110110110110110111000000000000
+00000000000000010000000110000000_00010_10110110110110110110110110110111
+00000000000000010000000110000100_01110_00000000000000000001001000000000
+00000000000000010000000110001000_00111_00000000000000000001000000000000
+00000000000000010000000110001100_00111_00000000000000000001001000000000
+00000000000000010000000110010000_00011_00000000000000000000000000100000
+00000000000000010000000110011000_00001_00000000000000001000000000000000
+00000000000000010000000110011100_00001_00000000000000000111111111000000
+00000000000000010000000110100000_00010_10110110110110110111000000000000
+00000000000000010000000110100100_00010_10110110110110110110110110110111
+00000000000000010000000110101000_01110_00000000000000000001001001000000
+00000000000000010000000110101100_00111_00000000000000000001000000000000
+00000000000000010000000110110000_00111_00000000000000000001001001000000
+00000000000000010000000110110100_00011_00000000000000000000000000100001
+00000000000000010000000110111100_00001_00000000000000000000000000000000
+00000000000000010000000111000000_00010_00000000000000000000000000000000
+00000000000000010000000111000100_01110_00000000000000000000000000000000
+00000000000000010000000111001000_00111_00000000000000000000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000010
+00000000000000010000000111010100_00001_00000000000000000000000000000001
+00000000000000010000000111011000_00010_00000000000000000000000000000001
+00000000000000010000000111011100_01110_00000000000000000000000000000001
+00000000000000010000000111100000_00111_00000000000000000000000000000001
+00000000000000010000000111100100_00011_00000000000000000000000000000011
+00000000000000010000000111101100_00001_00000000000000000000000000000011
+00000000000000010000000111110000_00010_00000000000000000000000000000111
+00000000000000010000000111110100_01110_00000000000000000000000000010101
+00000000000000010000000111111000_00111_00000000000000000000000000010101
+00000000000000010000000111111100_00011_00000000000000000000000000000100
+00000000000000010000001000000100_00001_00000000000000000000000000000000
+00000000000000010000001000001000_00010_11111111111111111000000000000000
+00000000000000010000001000001100_01110_00000000000000000000000000000000
+00000000000000010000001000010000_00111_00000000000000000000000000000000
+00000000000000010000001000010100_00011_00000000000000000000000000000101
+00000000000000010000001000011100_00001_10000000000000000000000000000000
+00000000000000010000001000100000_00010_00000000000000000000000000000000
+00000000000000010000001000100100_01110_00000000000000000000000000000000
+00000000000000010000001000101000_00111_00000000000000000000000000000000
+00000000000000010000001000101100_00011_00000000000000000000000000000110
+00000000000000010000001000110100_00001_10000000000000000000000000000000
+00000000000000010000001000111000_00010_11111111111111111000000000000000
+00000000000000010000001000111100_01110_00000000000000000000000000000000
+00000000000000010000001001000000_00111_00000000000000000000000000000000
+00000000000000010000001001000100_00011_00000000000000000000000000000111
+00000000000000010000001001001100_00001_10101010101010101011000000000000
+00000000000000010000001001010000_00001_10101010101010101010101010101011
+00000000000000010000001001010100_00010_00000000000000110000000000000000
+00000000000000010000001001011000_00010_00000000000000101111111001111101
+00000000000000010000001001011100_01110_00000000000000001111111101111111
+00000000000000010000001001100000_00111_00000000000000010000000000000000
+00000000000000010000001001100100_00111_00000000000000001111111101111111
+00000000000000010000001001101000_00011_00000000000000000000000000011110
+00000000000000010000001001110000_00001_00000000000000110000000000000000
+00000000000000010000001001110100_00001_00000000000000101111111001111101
+00000000000000010000001001111000_00010_10101010101010101011000000000000
+00000000000000010000001001111100_00010_10101010101010101010101010101011
+00000000000000010000001010000000_01110_00000000000000001111111101111111
+00000000000000010000001010000100_00111_00000000000000010000000000000000
+00000000000000010000001010001000_00111_00000000000000001111111101111111
+00000000000000010000001010001100_00011_00000000000000000000000000011111
+00000000000000010000001010010100_00001_11111111000000000000000000000000
+00000000000000010000001010011000_00010_11111111000000000000000000000000
+00000000000000010000001010011100_01110_00000000000000000000000000000000
+00000000000000010000001010100000_00111_00000000000000000000000000000000
+00000000000000010000001010100100_00011_00000000000000000000000000100010
+00000000000000010000001010101100_00001_11111111111111111111111111111111
+00000000000000010000001010110000_00010_11111111111111111111111111111111
+00000000000000010000001010110100_01110_00000000000000000000000000000001
+00000000000000010000001010111000_00111_00000000000000000000000000000001
+00000000000000010000001010111100_00011_00000000000000000000000000100011
+00000000000000010000001011000100_00001_11111111111111111111111111111111
+00000000000000010000001011001000_00010_00000000000000000000000000000001
+00000000000000010000001011001100_01110_11111111111111111111111111111111
+00000000000000010000001011010000_00111_11111111111111111111111111111111
+00000000000000010000001011010100_00011_00000000000000000000000000100100
+00000000000000010000001011011100_00001_00000000000000000000000000000001
+00000000000000010000001011100000_00010_11111111111111111111111111111111
+00000000000000010000001011100100_01110_11111111111111111111111111111111
+00000000000000010000001011101000_00111_11111111111111111111111111111111
+00000000000000010000001011101100_00011_00000000000000000000000000100101
+00000000000000010000001011110100_00001_00000000000000000000000000001101
+00000000000000010000001011111000_00010_00000000000000000000000000001011
+00000000000000010000001011111100_00001_00000000000000000000000010001111
+00000000000000010000001100000000_00111_00000000000000000000000010001111
+00000000000000010000001100000100_00011_00000000000000000000000000001000
+00000000000000010000001100001100_00001_00000000000000000000000000001110
+00000000000000010000001100010000_00010_00000000000000000000000000001011
+00000000000000010000001100010100_00010_00000000000000000000000010011010
+00000000000000010000001100011000_00111_00000000000000000000000010011010
+00000000000000010000001100011100_00011_00000000000000000000000000001001
+00000000000000010000001100100100_00001_00000000000000000000000000001101
+00000000000000010000001100101000_00001_00000000000000000000000010101001
+00000000000000010000001100101100_00111_00000000000000000000000010101001
+00000000000000010000001100110000_00011_00000000000000000000000000001010
+00000000000000010000001100111000_00100_00000000000000000000000000000000
+00000000000000010000001100111100_00001_00000000000000000000000000001101
+00000000000000010000001101000000_00010_00000000000000000000000000001011
+00000000000000010000001101000100_01110_00000000000000000000000010001111
+00000000000000010000001101001000_00110_00000000000000000000000010001111
+00000000000000010000001101001100_00100_00000000000000000000000000000001
+00000000000000010000001101010000_00101_00000000000000000000000000000010
+00000000000000010000001100111100_00001_00000000000000000000000000001101
+00000000000000010000001101000000_00010_00000000000000000000000000001011
+00000000000000010000001101000100_01110_00000000000000000000000010001111
+00000000000000010000001101001000_00110_00000000000000000000000010001111
+00000000000000010000001101001100_00100_00000000000000000000000000000010
+00000000000000010000001101010000_00101_00000000000000000000000000000010
+00000000000000010000001101011000_00111_00000000000000000000000010001111
+00000000000000010000001101011100_00011_00000000000000000000000000001011
+00000000000000010000001101100100_00100_00000000000000000000000000000000
+00000000000000010000001101101000_00001_00000000000000000000000000001110
+00000000000000010000001101101100_00010_00000000000000000000000000001011
+00000000000000010000001101110000_01110_00000000000000000000000010011010
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000000000000010011010
+00000000000000010000001101111100_00100_00000000000000000000000000000001
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001101101000_00001_00000000000000000000000000001110
+00000000000000010000001101101100_00010_00000000000000000000000000001011
+00000000000000010000001101110000_01110_00000000000000000000000010011010
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000000000000010011010
+00000000000000010000001101111100_00100_00000000000000000000000000000010
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00111_00000000000000000000000010011010
+00000000000000010000001110001100_00011_00000000000000000000000000001100
+00000000000000010000001110010100_00100_00000000000000000000000000000000
+00000000000000010000001110011000_00001_00000000000000000000000000001111
+00000000000000010000001110011100_00010_00000000000000000000000000001011
+00000000000000010000001110100000_01110_00000000000000000000000010100101
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101000_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00110_00000000000000000000000010100101
+00000000000000010000001110110000_00100_00000000000000000000000000000001
+00000000000000010000001110110100_00101_00000000000000000000000000000010
+00000000000000010000001110011000_00001_00000000000000000000000000001111
+00000000000000010000001110011100_00010_00000000000000000000000000001011
+00000000000000010000001110100000_01110_00000000000000000000000010100101
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101000_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00110_00000000000000000000000010100101
+00000000000000010000001110110000_00100_00000000000000000000000000000010
+00000000000000010000001110110100_00101_00000000000000000000000000000010
+00000000000000010000001110111100_00111_00000000000000000000000010100101
+00000000000000010000001111000000_00011_00000000000000000000000000001101
+00000000000000010000001111001000_00100_00000000000000000000000000000000
+00000000000000010000001111001100_00001_00000000000000000000000000001101
+00000000000000010000001111010000_00010_00000000000000000000000000001011
+00000000000000010000001111010100_01110_00000000000000000000000010001111
+00000000000000010000001111011000_00100_00000000000000000000000000000001
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111001100_00001_00000000000000000000000000001101
+00000000000000010000001111010000_00010_00000000000000000000000000001011
+00000000000000010000001111010100_01110_00000000000000000000000010001111
+00000000000000010000001111011000_00100_00000000000000000000000000000010
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00111_00000000000000000000000010001111
+00000000000000010000001111101000_00011_00000000000000000000000000001110
+00000000000000010000001111110000_00100_00000000000000000000000000000000
+00000000000000010000001111110100_00001_00000000000000000000000000001110
+00000000000000010000001111111000_00010_00000000000000000000000000001011
+00000000000000010000001111111100_00000_00000000000000000000000000000000
+00000000000000010000010000000000_01110_00000000000000000000000010011010
+00000000000000010000010000000100_00100_00000000000000000000000000000001
+00000000000000010000010000001000_00101_00000000000000000000000000000010
+00000000000000010000001111110100_00001_00000000000000000000000000001110
+00000000000000010000001111111000_00010_00000000000000000000000000001011
+00000000000000010000001111111100_00000_00000000000000000000000000000000
+00000000000000010000010000000000_01110_00000000000000000000000010011010
+00000000000000010000010000000100_00100_00000000000000000000000000000010
+00000000000000010000010000001000_00101_00000000000000000000000000000010
+00000000000000010000010000010000_00111_00000000000000000000000010011010
+00000000000000010000010000010100_00011_00000000000000000000000000001111
+00000000000000010000010000011100_00100_00000000000000000000000000000000
+00000000000000010000010000100000_00001_00000000000000000000000000001111
+00000000000000010000010000100100_00010_00000000000000000000000000001011
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_01110_00000000000000000000000010100101
+00000000000000010000010000110100_00100_00000000000000000000000000000001
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010000100000_00001_00000000000000000000000000001111
+00000000000000010000010000100100_00010_00000000000000000000000000001011
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_01110_00000000000000000000000010100101
+00000000000000010000010000110100_00100_00000000000000000000000000000010
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010001000000_00111_00000000000000000000000010100101
+00000000000000010000010001000100_00011_00000000000000000000000000010000
+00000000000000010000010001001100_00100_00000000000000000000000000000000
+00000000000000010000010001010000_00001_00000000000000000000000000001101
+00000000000000010000010001010100_00000_00000000000000000000000000000000
+00000000000000010000010001011000_00010_00000000000000000000000000001011
+00000000000000010000010001011100_01110_00000000000000000000000010001111
+00000000000000010000010001100000_00100_00000000000000000000000000000001
+00000000000000010000010001100100_00101_00000000000000000000000000000010
+00000000000000010000010001010000_00001_00000000000000000000000000001101
+00000000000000010000010001010100_00000_00000000000000000000000000000000
+00000000000000010000010001011000_00010_00000000000000000000000000001011
+00000000000000010000010001011100_01110_00000000000000000000000010001111
+00000000000000010000010001100000_00100_00000000000000000000000000000010
+00000000000000010000010001100100_00101_00000000000000000000000000000010
+00000000000000010000010001101100_00111_00000000000000000000000010001111
+00000000000000010000010001110000_00011_00000000000000000000000000010001
+00000000000000010000010001111000_00100_00000000000000000000000000000000
+00000000000000010000010001111100_00001_00000000000000000000000000001110
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_00010_00000000000000000000000000001011
+00000000000000010000010010001000_00000_00000000000000000000000000000000
+00000000000000010000010010001100_01110_00000000000000000000000010011010
+00000000000000010000010010010000_00100_00000000000000000000000000000001
+00000000000000010000010010010100_00101_00000000000000000000000000000010
+00000000000000010000010001111100_00001_00000000000000000000000000001110
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_00010_00000000000000000000000000001011
+00000000000000010000010010001000_00000_00000000000000000000000000000000
+00000000000000010000010010001100_01110_00000000000000000000000010011010
+00000000000000010000010010010000_00100_00000000000000000000000000000010
+00000000000000010000010010010100_00101_00000000000000000000000000000010
+00000000000000010000010010011100_00111_00000000000000000000000010011010
+00000000000000010000010010100000_00011_00000000000000000000000000010010
+00000000000000010000010010101000_00100_00000000000000000000000000000000
+00000000000000010000010010101100_00001_00000000000000000000000000001111
+00000000000000010000010010110000_00000_00000000000000000000000000000000
+00000000000000010000010010110100_00000_00000000000000000000000000000000
+00000000000000010000010010111000_00010_00000000000000000000000000001011
+00000000000000010000010010111100_01110_00000000000000000000000010100101
+00000000000000010000010011000000_00100_00000000000000000000000000000001
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010010101100_00001_00000000000000000000000000001111
+00000000000000010000010010110000_00000_00000000000000000000000000000000
+00000000000000010000010010110100_00000_00000000000000000000000000000000
+00000000000000010000010010111000_00010_00000000000000000000000000001011
+00000000000000010000010010111100_01110_00000000000000000000000010100101
+00000000000000010000010011000000_00100_00000000000000000000000000000010
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010011001100_00111_00000000000000000000000010100101
+00000000000000010000010011010000_00011_00000000000000000000000000010011
+00000000000000010000010011011000_00100_00000000000000000000000000000000
+00000000000000010000010011011100_00010_00000000000000000000000000001011
+00000000000000010000010011100000_00001_00000000000000000000000000001101
+00000000000000010000010011100100_01110_00000000000000000000000010001111
+00000000000000010000010011101000_00100_00000000000000000000000000000001
+00000000000000010000010011101100_00101_00000000000000000000000000000010
+00000000000000010000010011011100_00010_00000000000000000000000000001011
+00000000000000010000010011100000_00001_00000000000000000000000000001101
+00000000000000010000010011100100_01110_00000000000000000000000010001111
+00000000000000010000010011101000_00100_00000000000000000000000000000010
+00000000000000010000010011101100_00101_00000000000000000000000000000010
+00000000000000010000010011110100_00111_00000000000000000000000010001111
+00000000000000010000010011111000_00011_00000000000000000000000000010100
+00000000000000010000010100000000_00100_00000000000000000000000000000000
+00000000000000010000010100000100_00010_00000000000000000000000000001011
+00000000000000010000010100001000_00001_00000000000000000000000000001110
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_01110_00000000000000000000000010011010
+00000000000000010000010100010100_00100_00000000000000000000000000000001
+00000000000000010000010100011000_00101_00000000000000000000000000000010
+00000000000000010000010100000100_00010_00000000000000000000000000001011
+00000000000000010000010100001000_00001_00000000000000000000000000001110
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_01110_00000000000000000000000010011010
+00000000000000010000010100010100_00100_00000000000000000000000000000010
+00000000000000010000010100011000_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00111_00000000000000000000000010011010
+00000000000000010000010100100100_00011_00000000000000000000000000010101
+00000000000000010000010100101100_00100_00000000000000000000000000000000
+00000000000000010000010100110000_00010_00000000000000000000000000001011
+00000000000000010000010100110100_00001_00000000000000000000000000001111
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00000_00000000000000000000000000000000
+00000000000000010000010101000000_01110_00000000000000000000000010100101
+00000000000000010000010101000100_00100_00000000000000000000000000000001
+00000000000000010000010101001000_00101_00000000000000000000000000000010
+00000000000000010000010100110000_00010_00000000000000000000000000001011
+00000000000000010000010100110100_00001_00000000000000000000000000001111
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00000_00000000000000000000000000000000
+00000000000000010000010101000000_01110_00000000000000000000000010100101
+00000000000000010000010101000100_00100_00000000000000000000000000000010
+00000000000000010000010101001000_00101_00000000000000000000000000000010
+00000000000000010000010101010000_00111_00000000000000000000000010100101
+00000000000000010000010101010100_00011_00000000000000000000000000010110
+00000000000000010000010101011100_00100_00000000000000000000000000000000
+00000000000000010000010101100000_00010_00000000000000000000000000001011
+00000000000000010000010101100100_00000_00000000000000000000000000000000
+00000000000000010000010101101000_00001_00000000000000000000000000001101
+00000000000000010000010101101100_01110_00000000000000000000000010001111
+00000000000000010000010101110000_00100_00000000000000000000000000000001
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101100000_00010_00000000000000000000000000001011
+00000000000000010000010101100100_00000_00000000000000000000000000000000
+00000000000000010000010101101000_00001_00000000000000000000000000001101
+00000000000000010000010101101100_01110_00000000000000000000000010001111
+00000000000000010000010101110000_00100_00000000000000000000000000000010
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101111100_00111_00000000000000000000000010001111
+00000000000000010000010110000000_00011_00000000000000000000000000010111
+00000000000000010000010110001000_00100_00000000000000000000000000000000
+00000000000000010000010110001100_00010_00000000000000000000000000001011
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_00001_00000000000000000000000000001110
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_01110_00000000000000000000000010011010
+00000000000000010000010110100000_00100_00000000000000000000000000000001
+00000000000000010000010110100100_00101_00000000000000000000000000000010
+00000000000000010000010110001100_00010_00000000000000000000000000001011
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_00001_00000000000000000000000000001110
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_01110_00000000000000000000000010011010
+00000000000000010000010110100000_00100_00000000000000000000000000000010
+00000000000000010000010110100100_00101_00000000000000000000000000000010
+00000000000000010000010110101100_00111_00000000000000000000000010011010
+00000000000000010000010110110000_00011_00000000000000000000000000011000
+00000000000000010000010110111000_00100_00000000000000000000000000000000
+00000000000000010000010110111100_00010_00000000000000000000000000001011
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_00001_00000000000000000000000000001111
+00000000000000010000010111001100_01110_00000000000000000000000010100101
+00000000000000010000010111010000_00100_00000000000000000000000000000001
+00000000000000010000010111010100_00101_00000000000000000000000000000010
+00000000000000010000010110111100_00010_00000000000000000000000000001011
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_00001_00000000000000000000000000001111
+00000000000000010000010111001100_01110_00000000000000000000000010100101
+00000000000000010000010111010000_00100_00000000000000000000000000000010
+00000000000000010000010111010100_00101_00000000000000000000000000000010
+00000000000000010000010111011100_00111_00000000000000000000000010100101
+00000000000000010000010111100000_00011_00000000000000000000000000011001
+00000000000000010000010111101000_00001_00000000000000000000000000011111
+00000000000000010000010111101100_00010_00000000000000000000000000000000
+00000000000000010000010111110000_00111_00000000000000000000000000000000
+00000000000000010000010111110100_00011_00000000000000000000000000011010
+00000000000000010000010111111100_00001_00000000000000000000000000100000
+00000000000000010000011000000000_00010_00000000000000000000000000000000
+00000000000000010000011000000100_00111_00000000000000000000000000000000
+00000000000000010000011000001000_00011_00000000000000000000000000011011
+00000000000000010000011000010000_00001_00000000000000000000000000000000
+00000000000000010000011000010100_00111_00000000000000000000000000000000
+00000000000000010000011000011000_00011_00000000000000000000000000011100
+00000000000000010000011000100000_00001_00000000000000000000000000100001
+00000000000000010000011000100100_00010_00000000000000000000000000100010
+00000000000000010000011000101000_00000_00000000000000000000010001100010
+00000000000000010000011000101100_00111_00000000000000000000000000000000
+00000000000000010000011000110000_00011_00000000000000000000000000011101
+00000000000000010000011001011100_00011_00000000000000000000000000000001
+00000000000000010000011001100000_10001_00000000000000000000000001011101
+00000000000000010000011001100100_01010_00000000000000000000000000000000
+00000000000000010000011001100100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/mul/dmem.coe b/verilog/dv/test_c0/coe/mul/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mul/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/mul/imem.coe b/verilog/dv/test_c0/coe/mul/imem.coe
new file mode 100644
index 0000000..a272679
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mul/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+000080B7,
+E0008093,
+B6DB7137,
+DB710113,
+02208733,
+000013B7,
+20038393,
+02000193,
+4A771463,
+000080B7,
+FC008093,
+B6DB7137,
+DB710113,
+02208733,
+000013B7,
+24038393,
+02100193,
+48771263,
+00000093,
+00000113,
+02208733,
+00000393,
+00200193,
+46771663,
+00100093,
+00100113,
+02208733,
+00100393,
+00300193,
+44771A63,
+00300093,
+00700113,
+02208733,
+01500393,
+00400193,
+42771E63,
+00000093,
+FFFF8137,
+02208733,
+00000393,
+00500193,
+42771263,
+800000B7,
+00000113,
+02208733,
+00000393,
+00600193,
+40771663,
+800000B7,
+FFFF8137,
+02208733,
+00000393,
+00700193,
+3E771A63,
+AAAAB0B7,
+AAB08093,
+00030137,
+E7D10113,
+02208733,
+000103B7,
+F7F38393,
+01E00193,
+3C771863,
+000300B7,
+E7D08093,
+AAAAB137,
+AAB10113,
+02208733,
+000103B7,
+F7F38393,
+01F00193,
+3A771663,
+FF0000B7,
+FF000137,
+02208733,
+00000393,
+02200193,
+38771A63,
+FFF00093,
+FFF00113,
+02208733,
+00100393,
+02300193,
+36771E63,
+FFF00093,
+00100113,
+02208733,
+FFF00393,
+02400193,
+36771263,
+00100093,
+FFF00113,
+02208733,
+FFF00393,
+02500193,
+34771663,
+00D00093,
+00B00113,
+022080B3,
+08F00393,
+00800193,
+32709A63,
+00E00093,
+00B00113,
+02208133,
+09A00393,
+00900193,
+30711E63,
+00D00093,
+021080B3,
+0A900393,
+00A00193,
+30709463,
+00000213,
+00D00093,
+00B00113,
+02208733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+08F00393,
+00B00193,
+2C731E63,
+00000213,
+00E00093,
+00B00113,
+02208733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+09A00393,
+00C00193,
+2A731663,
+00000213,
+00F00093,
+00B00113,
+02208733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+0A500393,
+00D00193,
+26731C63,
+00000213,
+00D00093,
+00B00113,
+02208733,
+00120213,
+00200293,
+FE5216E3,
+08F00393,
+00E00193,
+24771863,
+00000213,
+00E00093,
+00B00113,
+00000013,
+02208733,
+00120213,
+00200293,
+FE5214E3,
+09A00393,
+00F00193,
+22771263,
+00000213,
+00F00093,
+00B00113,
+00000013,
+00000013,
+02208733,
+00120213,
+00200293,
+FE5212E3,
+0A500393,
+01000193,
+1E771A63,
+00000213,
+00D00093,
+00000013,
+00B00113,
+02208733,
+00120213,
+00200293,
+FE5214E3,
+08F00393,
+01100193,
+1C771463,
+00000213,
+00E00093,
+00000013,
+00B00113,
+00000013,
+02208733,
+00120213,
+00200293,
+FE5212E3,
+09A00393,
+01200193,
+18771C63,
+00000213,
+00F00093,
+00000013,
+00000013,
+00B00113,
+02208733,
+00120213,
+00200293,
+FE5212E3,
+0A500393,
+01300193,
+16771463,
+00000213,
+00B00113,
+00D00093,
+02208733,
+00120213,
+00200293,
+FE5216E3,
+08F00393,
+01400193,
+14771063,
+00000213,
+00B00113,
+00E00093,
+00000013,
+02208733,
+00120213,
+00200293,
+FE5214E3,
+09A00393,
+01500193,
+10771A63,
+00000213,
+00B00113,
+00F00093,
+00000013,
+00000013,
+02208733,
+00120213,
+00200293,
+FE5212E3,
+0A500393,
+01600193,
+0E771263,
+00000213,
+00B00113,
+00000013,
+00D00093,
+02208733,
+00120213,
+00200293,
+FE5214E3,
+08F00393,
+01700193,
+0A771C63,
+00000213,
+00B00113,
+00000013,
+00E00093,
+00000013,
+02208733,
+00120213,
+00200293,
+FE5212E3,
+09A00393,
+01800193,
+08771463,
+00000213,
+00B00113,
+00000013,
+00000013,
+00F00093,
+02208733,
+00120213,
+00200293,
+FE5212E3,
+0A500393,
+01900193,
+04771C63,
+01F00093,
+02100133,
+00000393,
+01A00193,
+04711263,
+02000093,
+02008133,
+00000393,
+01B00193,
+02711863,
+020000B3,
+00000393,
+01C00193,
+02709063,
+02100093,
+02200113,
+02208033,
+00000393,
+01D00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/mulh/cekirdek_dy.txt b/verilog/dv/test_c0/coe/mulh/cekirdek_dy.txt
new file mode 100644
index 0000000..17dd52c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulh/cekirdek_dy.txt
@@ -0,0 +1,1583 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001101001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001111001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001001001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001011001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001101001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001111001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101010101011000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101100001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000000100110111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100111110100010000000100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000100111000001110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001110001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000000010110111_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100111110100001000000010010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101010101011000100110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101100010000000100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000100111000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001101001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000000100110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001111001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001001001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001011001100011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001101001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001000010110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011100001001110001100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001000100110011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011100010001111001100011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100001001000010110011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10010000000000111000001110010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011100001001001001100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100110001101001100011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011100110001000001100011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001010001100011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001111001100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001011001100011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001110001100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001010001100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001101001100011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001000001100011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001101001100011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011101110001001001100011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001100001100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011101110001000001100011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001011001100011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001011100110011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111100000000000000000010110111_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000001000100110011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001001001100011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000001001000100110011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001100001100011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000001000010110011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000010110111_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000100110111_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001001000000110011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/mulh/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/mulh/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..a5877d5
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulh/cekirdek_ps_bin.txt
@@ -0,0 +1,490 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001100100
diff --git a/verilog/dv/test_c0/coe/mulh/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/mulh/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..b7c2b11
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulh/cekirdek_ps_hex.txt
@@ -0,0 +1,490 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+00010658
+0001065c
+00010660
+00010664
+00010664
diff --git a/verilog/dv/test_c0/coe/mulh/cekirdek_yo.txt b/verilog/dv/test_c0/coe/mulh/cekirdek_yo.txt
new file mode 100644
index 0000000..ef2fdc9
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulh/cekirdek_yo.txt
@@ -0,0 +1,406 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000000000000
+00000000000000010000000110000000_00111_00000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00000000000000000000000000000001
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_00000000000000000000000000000000
+00000000000000010000000110011000_00111_00000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000000011
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_00000000000000000000000000000000
+00000000000000010000000110110000_00111_00000000000000000000000000000000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_00000000000000000000000000000000
+00000000000000010000000111000000_00010_11111111111111111000000000000000
+00000000000000010000000111000100_01110_00000000000000000000000000000000
+00000000000000010000000111001000_00111_00000000000000000000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00010_00000000000000000000000000000000
+00000000000000010000000111011100_01110_00000000000000000000000000000000
+00000000000000010000000111100000_00111_00000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_00000000000000000000000000000000
+00000000000000010000000111110100_01110_00000000000000000000000000000000
+00000000000000010000000111111000_00111_00000000000000000000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_10101010101010101011000000000000
+00000000000000010000001000001000_00001_10101010101010101010101010101011
+00000000000000010000001000001100_00010_00000000000000110000000000000000
+00000000000000010000001000010000_00010_00000000000000101111111001111101
+00000000000000010000001000010100_01110_11111111111111110000000010000001
+00000000000000010000001000011000_00111_11111111111111110000000000000000
+00000000000000010000001000011100_00111_11111111111111110000000010000001
+00000000000000010000001000100000_00011_00000000000000000000000000011110
+00000000000000010000001000101000_00001_00000000000000110000000000000000
+00000000000000010000001000101100_00001_00000000000000101111111001111101
+00000000000000010000001000110000_00010_10101010101010101011000000000000
+00000000000000010000001000110100_00010_10101010101010101010101010101011
+00000000000000010000001000111000_01110_11111111111111110000000010000001
+00000000000000010000001000111100_00111_11111111111111110000000000000000
+00000000000000010000001001000000_00111_11111111111111110000000010000001
+00000000000000010000001001000100_00011_00000000000000000000000000011111
+00000000000000010000001001001100_00001_11111111000000000000000000000000
+00000000000000010000001001010000_00010_11111111000000000000000000000000
+00000000000000010000001001010100_01110_00000000000000010000000000000000
+00000000000000010000001001011000_00111_00000000000000010000000000000000
+00000000000000010000001001011100_00011_00000000000000000000000000100000
+00000000000000010000001001100100_00001_11111111111111111111111111111111
+00000000000000010000001001101000_00010_11111111111111111111111111111111
+00000000000000010000001001101100_01110_00000000000000000000000000000000
+00000000000000010000001001110000_00111_00000000000000000000000000000000
+00000000000000010000001001110100_00011_00000000000000000000000000100001
+00000000000000010000001001111100_00001_11111111111111111111111111111111
+00000000000000010000001010000000_00010_00000000000000000000000000000001
+00000000000000010000001010000100_01110_11111111111111111111111111111111
+00000000000000010000001010001000_00111_11111111111111111111111111111111
+00000000000000010000001010001100_00011_00000000000000000000000000100010
+00000000000000010000001010010100_00001_00000000000000000000000000000001
+00000000000000010000001010011000_00010_11111111111111111111111111111111
+00000000000000010000001010011100_01110_11111111111111111111111111111111
+00000000000000010000001010100000_00111_11111111111111111111111111111111
+00000000000000010000001010100100_00011_00000000000000000000000000100011
+00000000000000010000001010101100_00001_00000000110100000000000000000000
+00000000000000010000001010110000_00010_00000000101100000000000000000000
+00000000000000010000001010110100_00001_00000000000000001000111100000000
+00000000000000010000001010111000_00111_00000000000000001001000000000000
+00000000000000010000001010111100_00111_00000000000000001000111100000000
+00000000000000010000001011000000_00011_00000000000000000000000000001000
+00000000000000010000001011001000_00001_00000000111000000000000000000000
+00000000000000010000001011001100_00010_00000000101100000000000000000000
+00000000000000010000001011010000_00010_00000000000000001001101000000000
+00000000000000010000001011010100_00111_00000000000000001010000000000000
+00000000000000010000001011011000_00111_00000000000000001001101000000000
+00000000000000010000001011011100_00011_00000000000000000000000000001001
+00000000000000010000001011100100_00001_00000000110100000000000000000000
+00000000000000010000001011101000_00001_00000000000000001010100100000000
+00000000000000010000001011101100_00111_00000000000000001011000000000000
+00000000000000010000001011110000_00111_00000000000000001010100100000000
+00000000000000010000001011110100_00011_00000000000000000000000000001010
+00000000000000010000001011111100_00100_00000000000000000000000000000000
+00000000000000010000001100000000_00001_00000000110100000000000000000000
+00000000000000010000001100000100_00010_00000000101100000000000000000000
+00000000000000010000001100001000_01110_00000000000000001000111100000000
+00000000000000010000001100001100_00110_00000000000000001000111100000000
+00000000000000010000001100010000_00100_00000000000000000000000000000001
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100000000_00001_00000000110100000000000000000000
+00000000000000010000001100000100_00010_00000000101100000000000000000000
+00000000000000010000001100001000_01110_00000000000000001000111100000000
+00000000000000010000001100001100_00110_00000000000000001000111100000000
+00000000000000010000001100010000_00100_00000000000000000000000000000010
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00111_00000000000000001001000000000000
+00000000000000010000001100100000_00111_00000000000000001000111100000000
+00000000000000010000001100100100_00011_00000000000000000000000000001011
+00000000000000010000001100101100_00100_00000000000000000000000000000000
+00000000000000010000001100110000_00001_00000000111000000000000000000000
+00000000000000010000001100110100_00010_00000000101100000000000000000000
+00000000000000010000001100111000_01110_00000000000000001001101000000000
+00000000000000010000001100111100_00000_00000000000000000000000000000000
+00000000000000010000001101000000_00110_00000000000000001001101000000000
+00000000000000010000001101000100_00100_00000000000000000000000000000001
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001100110000_00001_00000000111000000000000000000000
+00000000000000010000001100110100_00010_00000000101100000000000000000000
+00000000000000010000001100111000_01110_00000000000000001001101000000000
+00000000000000010000001100111100_00000_00000000000000000000000000000000
+00000000000000010000001101000000_00110_00000000000000001001101000000000
+00000000000000010000001101000100_00100_00000000000000000000000000000010
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00111_00000000000000001010000000000000
+00000000000000010000001101010100_00111_00000000000000001001101000000000
+00000000000000010000001101011000_00011_00000000000000000000000000001100
+00000000000000010000001101100000_00100_00000000000000000000000000000000
+00000000000000010000001101100100_00001_00000000111100000000000000000000
+00000000000000010000001101101000_00010_00000000101100000000000000000000
+00000000000000010000001101101100_01110_00000000000000001010010100000000
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000001010010100000000
+00000000000000010000001101111100_00100_00000000000000000000000000000001
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001101100100_00001_00000000111100000000000000000000
+00000000000000010000001101101000_00010_00000000101100000000000000000000
+00000000000000010000001101101100_01110_00000000000000001010010100000000
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000001010010100000000
+00000000000000010000001101111100_00100_00000000000000000000000000000010
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00111_00000000000000001010000000000000
+00000000000000010000001110001100_00111_00000000000000001010010100000000
+00000000000000010000001110010000_00011_00000000000000000000000000001101
+00000000000000010000001110011000_00100_00000000000000000000000000000000
+00000000000000010000001110011100_00001_00000000110100000000000000000000
+00000000000000010000001110100000_00010_00000000101100000000000000000000
+00000000000000010000001110100100_01110_00000000000000001000111100000000
+00000000000000010000001110101000_00100_00000000000000000000000000000001
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110011100_00001_00000000110100000000000000000000
+00000000000000010000001110100000_00010_00000000101100000000000000000000
+00000000000000010000001110100100_01110_00000000000000001000111100000000
+00000000000000010000001110101000_00100_00000000000000000000000000000010
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110110100_00111_00000000000000001001000000000000
+00000000000000010000001110111000_00111_00000000000000001000111100000000
+00000000000000010000001110111100_00011_00000000000000000000000000001110
+00000000000000010000001111000100_00100_00000000000000000000000000000000
+00000000000000010000001111001000_00001_00000000111000000000000000000000
+00000000000000010000001111001100_00010_00000000101100000000000000000000
+00000000000000010000001111010000_00000_00000000000000000000000000000000
+00000000000000010000001111010100_01110_00000000000000001001101000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000001
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111001000_00001_00000000111000000000000000000000
+00000000000000010000001111001100_00010_00000000101100000000000000000000
+00000000000000010000001111010000_00000_00000000000000000000000000000000
+00000000000000010000001111010100_01110_00000000000000001001101000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000010
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00111_00000000000000001010000000000000
+00000000000000010000001111101000_00111_00000000000000001001101000000000
+00000000000000010000001111101100_00011_00000000000000000000000000001111
+00000000000000010000001111110100_00100_00000000000000000000000000000000
+00000000000000010000001111111000_00001_00000000111100000000000000000000
+00000000000000010000001111111100_00010_00000000101100000000000000000000
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_01110_00000000000000001010010100000000
+00000000000000010000010000001100_00100_00000000000000000000000000000001
+00000000000000010000010000010000_00101_00000000000000000000000000000010
+00000000000000010000001111111000_00001_00000000111100000000000000000000
+00000000000000010000001111111100_00010_00000000101100000000000000000000
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_01110_00000000000000001010010100000000
+00000000000000010000010000001100_00100_00000000000000000000000000000010
+00000000000000010000010000010000_00101_00000000000000000000000000000010
+00000000000000010000010000011000_00111_00000000000000001010000000000000
+00000000000000010000010000011100_00111_00000000000000001010010100000000
+00000000000000010000010000100000_00011_00000000000000000000000000010000
+00000000000000010000010000101000_00100_00000000000000000000000000000000
+00000000000000010000010000101100_00001_00000000110100000000000000000000
+00000000000000010000010000110000_00000_00000000000000000000000000000000
+00000000000000010000010000110100_00010_00000000101100000000000000000000
+00000000000000010000010000111000_01110_00000000000000001000111100000000
+00000000000000010000010000111100_00100_00000000000000000000000000000001
+00000000000000010000010001000000_00101_00000000000000000000000000000010
+00000000000000010000010000101100_00001_00000000110100000000000000000000
+00000000000000010000010000110000_00000_00000000000000000000000000000000
+00000000000000010000010000110100_00010_00000000101100000000000000000000
+00000000000000010000010000111000_01110_00000000000000001000111100000000
+00000000000000010000010000111100_00100_00000000000000000000000000000010
+00000000000000010000010001000000_00101_00000000000000000000000000000010
+00000000000000010000010001001000_00111_00000000000000001001000000000000
+00000000000000010000010001001100_00111_00000000000000001000111100000000
+00000000000000010000010001010000_00011_00000000000000000000000000010001
+00000000000000010000010001011000_00100_00000000000000000000000000000000
+00000000000000010000010001011100_00001_00000000111000000000000000000000
+00000000000000010000010001100000_00000_00000000000000000000000000000000
+00000000000000010000010001100100_00010_00000000101100000000000000000000
+00000000000000010000010001101000_00000_00000000000000000000000000000000
+00000000000000010000010001101100_01110_00000000000000001001101000000000
+00000000000000010000010001110000_00100_00000000000000000000000000000001
+00000000000000010000010001110100_00101_00000000000000000000000000000010
+00000000000000010000010001011100_00001_00000000111000000000000000000000
+00000000000000010000010001100000_00000_00000000000000000000000000000000
+00000000000000010000010001100100_00010_00000000101100000000000000000000
+00000000000000010000010001101000_00000_00000000000000000000000000000000
+00000000000000010000010001101100_01110_00000000000000001001101000000000
+00000000000000010000010001110000_00100_00000000000000000000000000000010
+00000000000000010000010001110100_00101_00000000000000000000000000000010
+00000000000000010000010001111100_00111_00000000000000001010000000000000
+00000000000000010000010010000000_00111_00000000000000001001101000000000
+00000000000000010000010010000100_00011_00000000000000000000000000010010
+00000000000000010000010010001100_00100_00000000000000000000000000000000
+00000000000000010000010010010000_00001_00000000111100000000000000000000
+00000000000000010000010010010100_00000_00000000000000000000000000000000
+00000000000000010000010010011000_00000_00000000000000000000000000000000
+00000000000000010000010010011100_00010_00000000101100000000000000000000
+00000000000000010000010010100000_01110_00000000000000001010010100000000
+00000000000000010000010010100100_00100_00000000000000000000000000000001
+00000000000000010000010010101000_00101_00000000000000000000000000000010
+00000000000000010000010010010000_00001_00000000111100000000000000000000
+00000000000000010000010010010100_00000_00000000000000000000000000000000
+00000000000000010000010010011000_00000_00000000000000000000000000000000
+00000000000000010000010010011100_00010_00000000101100000000000000000000
+00000000000000010000010010100000_01110_00000000000000001010010100000000
+00000000000000010000010010100100_00100_00000000000000000000000000000010
+00000000000000010000010010101000_00101_00000000000000000000000000000010
+00000000000000010000010010110000_00111_00000000000000001010000000000000
+00000000000000010000010010110100_00111_00000000000000001010010100000000
+00000000000000010000010010111000_00011_00000000000000000000000000010011
+00000000000000010000010011000000_00100_00000000000000000000000000000000
+00000000000000010000010011000100_00010_00000000101100000000000000000000
+00000000000000010000010011001000_00001_00000000110100000000000000000000
+00000000000000010000010011001100_01110_00000000000000001000111100000000
+00000000000000010000010011010000_00100_00000000000000000000000000000001
+00000000000000010000010011010100_00101_00000000000000000000000000000010
+00000000000000010000010011000100_00010_00000000101100000000000000000000
+00000000000000010000010011001000_00001_00000000110100000000000000000000
+00000000000000010000010011001100_01110_00000000000000001000111100000000
+00000000000000010000010011010000_00100_00000000000000000000000000000010
+00000000000000010000010011010100_00101_00000000000000000000000000000010
+00000000000000010000010011011100_00111_00000000000000001001000000000000
+00000000000000010000010011100000_00111_00000000000000001000111100000000
+00000000000000010000010011100100_00011_00000000000000000000000000010100
+00000000000000010000010011101100_00100_00000000000000000000000000000000
+00000000000000010000010011110000_00010_00000000101100000000000000000000
+00000000000000010000010011110100_00001_00000000111000000000000000000000
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_01110_00000000000000001001101000000000
+00000000000000010000010100000000_00100_00000000000000000000000000000001
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010011110000_00010_00000000101100000000000000000000
+00000000000000010000010011110100_00001_00000000111000000000000000000000
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_01110_00000000000000001001101000000000
+00000000000000010000010100000000_00100_00000000000000000000000000000010
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010100001100_00111_00000000000000001010000000000000
+00000000000000010000010100010000_00111_00000000000000001001101000000000
+00000000000000010000010100010100_00011_00000000000000000000000000010101
+00000000000000010000010100011100_00100_00000000000000000000000000000000
+00000000000000010000010100100000_00010_00000000101100000000000000000000
+00000000000000010000010100100100_00001_00000000111100000000000000000000
+00000000000000010000010100101000_00000_00000000000000000000000000000000
+00000000000000010000010100101100_00000_00000000000000000000000000000000
+00000000000000010000010100110000_01110_00000000000000001010010100000000
+00000000000000010000010100110100_00100_00000000000000000000000000000001
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00010_00000000101100000000000000000000
+00000000000000010000010100100100_00001_00000000111100000000000000000000
+00000000000000010000010100101000_00000_00000000000000000000000000000000
+00000000000000010000010100101100_00000_00000000000000000000000000000000
+00000000000000010000010100110000_01110_00000000000000001010010100000000
+00000000000000010000010100110100_00100_00000000000000000000000000000010
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010101000000_00111_00000000000000001010000000000000
+00000000000000010000010101000100_00111_00000000000000001010010100000000
+00000000000000010000010101001000_00011_00000000000000000000000000010110
+00000000000000010000010101010000_00100_00000000000000000000000000000000
+00000000000000010000010101010100_00010_00000000101100000000000000000000
+00000000000000010000010101011000_00000_00000000000000000000000000000000
+00000000000000010000010101011100_00001_00000000110100000000000000000000
+00000000000000010000010101100000_01110_00000000000000001000111100000000
+00000000000000010000010101100100_00100_00000000000000000000000000000001
+00000000000000010000010101101000_00101_00000000000000000000000000000010
+00000000000000010000010101010100_00010_00000000101100000000000000000000
+00000000000000010000010101011000_00000_00000000000000000000000000000000
+00000000000000010000010101011100_00001_00000000110100000000000000000000
+00000000000000010000010101100000_01110_00000000000000001000111100000000
+00000000000000010000010101100100_00100_00000000000000000000000000000010
+00000000000000010000010101101000_00101_00000000000000000000000000000010
+00000000000000010000010101110000_00111_00000000000000001001000000000000
+00000000000000010000010101110100_00111_00000000000000001000111100000000
+00000000000000010000010101111000_00011_00000000000000000000000000010111
+00000000000000010000010110000000_00100_00000000000000000000000000000000
+00000000000000010000010110000100_00010_00000000101100000000000000000000
+00000000000000010000010110001000_00000_00000000000000000000000000000000
+00000000000000010000010110001100_00001_00000000111000000000000000000000
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000001001101000000000
+00000000000000010000010110011000_00100_00000000000000000000000000000001
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110000100_00010_00000000101100000000000000000000
+00000000000000010000010110001000_00000_00000000000000000000000000000000
+00000000000000010000010110001100_00001_00000000111000000000000000000000
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000001001101000000000
+00000000000000010000010110011000_00100_00000000000000000000000000000010
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110100100_00111_00000000000000001010000000000000
+00000000000000010000010110101000_00111_00000000000000001001101000000000
+00000000000000010000010110101100_00011_00000000000000000000000000011000
+00000000000000010000010110110100_00100_00000000000000000000000000000000
+00000000000000010000010110111000_00010_00000000101100000000000000000000
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00001_00000000111100000000000000000000
+00000000000000010000010111001000_01110_00000000000000001010010100000000
+00000000000000010000010111001100_00100_00000000000000000000000000000001
+00000000000000010000010111010000_00101_00000000000000000000000000000010
+00000000000000010000010110111000_00010_00000000101100000000000000000000
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00001_00000000111100000000000000000000
+00000000000000010000010111001000_01110_00000000000000001010010100000000
+00000000000000010000010111001100_00100_00000000000000000000000000000010
+00000000000000010000010111010000_00101_00000000000000000000000000000010
+00000000000000010000010111011000_00111_00000000000000001010000000000000
+00000000000000010000010111011100_00111_00000000000000001010010100000000
+00000000000000010000010111100000_00011_00000000000000000000000000011001
+00000000000000010000010111101000_00001_01111100000000000000000000000000
+00000000000000010000010111101100_00010_00000000000000000000000000000000
+00000000000000010000010111110000_00111_00000000000000000000000000000000
+00000000000000010000010111110100_00011_00000000000000000000000000011010
+00000000000000010000010111111100_00001_10000000000000000000000000000000
+00000000000000010000011000000000_00010_00000000000000000000000000000000
+00000000000000010000011000000100_00111_00000000000000000000000000000000
+00000000000000010000011000001000_00011_00000000000000000000000000011011
+00000000000000010000011000010000_00001_00000000000000000000000000000000
+00000000000000010000011000010100_00111_00000000000000000000000000000000
+00000000000000010000011000011000_00011_00000000000000000000000000011100
+00000000000000010000011000100000_00001_00000010000100000000000000000000
+00000000000000010000011000100100_00010_00000010001000000000000000000000
+00000000000000010000011000101000_00000_00000000000001000110001000000000
+00000000000000010000011000101100_00111_00000000000000000000000000000000
+00000000000000010000011000110000_00011_00000000000000000000000000011101
+00000000000000010000011001011100_00011_00000000000000000000000000000001
+00000000000000010000011001100000_10001_00000000000000000000000001011101
+00000000000000010000011001100100_01010_00000000000000000000000000000000
+00000000000000010000011001100100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/mulh/dmem.coe b/verilog/dv/test_c0/coe/mulh/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulh/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/mulh/imem.coe b/verilog/dv/test_c0/coe/mulh/imem.coe
new file mode 100644
index 0000000..528456e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulh/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+00000113,
+02209733,
+00000393,
+00200193,
+4A771A63,
+00100093,
+00100113,
+02209733,
+00000393,
+00300193,
+48771E63,
+00300093,
+00700113,
+02209733,
+00000393,
+00400193,
+48771263,
+00000093,
+FFFF8137,
+02209733,
+00000393,
+00500193,
+46771663,
+800000B7,
+00000113,
+02209733,
+00000393,
+00600193,
+44771A63,
+800000B7,
+00000113,
+02209733,
+00000393,
+00700193,
+42771E63,
+AAAAB0B7,
+AAB08093,
+00030137,
+E7D10113,
+02209733,
+FFFF03B7,
+08138393,
+01E00193,
+40771C63,
+000300B7,
+E7D08093,
+AAAAB137,
+AAB10113,
+02209733,
+FFFF03B7,
+08138393,
+01F00193,
+3E771A63,
+FF0000B7,
+FF000137,
+02209733,
+000103B7,
+02000193,
+3C771E63,
+FFF00093,
+FFF00113,
+02209733,
+00000393,
+02100193,
+3C771263,
+FFF00093,
+00100113,
+02209733,
+FFF00393,
+02200193,
+3A771663,
+00100093,
+FFF00113,
+02209733,
+FFF00393,
+02300193,
+38771A63,
+00D000B7,
+00B00137,
+022090B3,
+000093B7,
+F0038393,
+00800193,
+36709C63,
+00E000B7,
+00B00137,
+02209133,
+0000A3B7,
+A0038393,
+00900193,
+34711E63,
+00D000B7,
+021090B3,
+0000B3B7,
+90038393,
+00A00193,
+34709263,
+00000213,
+00D000B7,
+00B00137,
+02209733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+00B00193,
+30731A63,
+00000213,
+00E000B7,
+00B00137,
+02209733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+00C00193,
+2E731063,
+00000213,
+00F000B7,
+00B00137,
+02209733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+0000A3B7,
+50038393,
+00D00193,
+2A731463,
+00000213,
+00D000B7,
+00B00137,
+02209733,
+00120213,
+00200293,
+FE5216E3,
+000093B7,
+F0038393,
+00E00193,
+26771E63,
+00000213,
+00E000B7,
+00B00137,
+00000013,
+02209733,
+00120213,
+00200293,
+FE5214E3,
+0000A3B7,
+A0038393,
+00F00193,
+24771663,
+00000213,
+00F000B7,
+00B00137,
+00000013,
+00000013,
+02209733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01000193,
+20771C63,
+00000213,
+00D000B7,
+00000013,
+00B00137,
+02209733,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+01100193,
+1E771463,
+00000213,
+00E000B7,
+00000013,
+00B00137,
+00000013,
+02209733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+01200193,
+1A771A63,
+00000213,
+00F000B7,
+00000013,
+00000013,
+00B00137,
+02209733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01300193,
+18771063,
+00000213,
+00B00137,
+00D000B7,
+02209733,
+00120213,
+00200293,
+FE5216E3,
+000093B7,
+F0038393,
+01400193,
+14771A63,
+00000213,
+00B00137,
+00E000B7,
+00000013,
+02209733,
+00120213,
+00200293,
+FE5214E3,
+0000A3B7,
+A0038393,
+01500193,
+12771263,
+00000213,
+00B00137,
+00F000B7,
+00000013,
+00000013,
+02209733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01600193,
+0E771863,
+00000213,
+00B00137,
+00000013,
+00D000B7,
+02209733,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+01700193,
+0C771063,
+00000213,
+00B00137,
+00000013,
+00E000B7,
+00000013,
+02209733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+01800193,
+08771663,
+00000213,
+00B00137,
+00000013,
+00000013,
+00F000B7,
+02209733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01900193,
+04771C63,
+7C0000B7,
+02101133,
+00000393,
+01A00193,
+04711263,
+800000B7,
+02009133,
+00000393,
+01B00193,
+02711863,
+020010B3,
+00000393,
+01C00193,
+02709063,
+021000B7,
+02200137,
+02209033,
+00000393,
+01D00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/mulhsu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/mulhsu/cekirdek_dy.txt
new file mode 100644
index 0000000..82d428f
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhsu/cekirdek_dy.txt
@@ -0,0 +1,1583 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001101001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001111001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001001001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001011001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001101001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001111001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101010101011000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101100001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000000100110111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100111110100010000000100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111110000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000100111000001110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001110001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000000010110111_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100111110100001000000010010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101010101011000100110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101100010000000100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101111111000111000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001101001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000000100110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001111001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001001001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001011001100011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001101001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010000010110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011100001001110001100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010000100110011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011100010001111001100011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100001010000010110011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10010000000000111000001110010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011100001001001001100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100110001101001100011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011100110001000001100011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001010001100011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001111001100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001011001100011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001110001100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001010001100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001101001100011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001000001100011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001101001100011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011101110001001001100011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001100001100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011101110001000001100011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001011001100011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010011100110011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111100000000000000000010110111_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000010000100110011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001001001100011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000001010000100110011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001100001100011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000010000010110011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000010110111_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000100110111_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001010000000110011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/mulhsu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/mulhsu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..a5877d5
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhsu/cekirdek_ps_bin.txt
@@ -0,0 +1,490 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001100100
diff --git a/verilog/dv/test_c0/coe/mulhsu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/mulhsu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..b7c2b11
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhsu/cekirdek_ps_hex.txt
@@ -0,0 +1,490 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+00010658
+0001065c
+00010660
+00010664
+00010664
diff --git a/verilog/dv/test_c0/coe/mulhsu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/mulhsu/cekirdek_yo.txt
new file mode 100644
index 0000000..cedc085
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhsu/cekirdek_yo.txt
@@ -0,0 +1,406 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000000000000
+00000000000000010000000110000000_00111_00000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00000000000000000000000000000001
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_00000000000000000000000000000000
+00000000000000010000000110011000_00111_00000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000000011
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_00000000000000000000000000000000
+00000000000000010000000110110000_00111_00000000000000000000000000000000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_00000000000000000000000000000000
+00000000000000010000000111000000_00010_11111111111111111000000000000000
+00000000000000010000000111000100_01110_00000000000000000000000000000000
+00000000000000010000000111001000_00111_00000000000000000000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00010_00000000000000000000000000000000
+00000000000000010000000111011100_01110_00000000000000000000000000000000
+00000000000000010000000111100000_00111_00000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_11111111111111111000000000000000
+00000000000000010000000111110100_01110_10000000000000000100000000000000
+00000000000000010000000111111000_00111_10000000000000000100000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_10101010101010101011000000000000
+00000000000000010000001000001000_00001_10101010101010101010101010101011
+00000000000000010000001000001100_00010_00000000000000110000000000000000
+00000000000000010000001000010000_00010_00000000000000101111111001111101
+00000000000000010000001000010100_01110_11111111111111110000000010000001
+00000000000000010000001000011000_00111_11111111111111110000000000000000
+00000000000000010000001000011100_00111_11111111111111110000000010000001
+00000000000000010000001000100000_00011_00000000000000000000000000011110
+00000000000000010000001000101000_00001_00000000000000110000000000000000
+00000000000000010000001000101100_00001_00000000000000101111111001111101
+00000000000000010000001000110000_00010_10101010101010101011000000000000
+00000000000000010000001000110100_00010_10101010101010101010101010101011
+00000000000000010000001000111000_01110_00000000000000011111111011111110
+00000000000000010000001000111100_00111_00000000000000100000000000000000
+00000000000000010000001001000000_00111_00000000000000011111111011111110
+00000000000000010000001001000100_00011_00000000000000000000000000011111
+00000000000000010000001001001100_00001_11111111000000000000000000000000
+00000000000000010000001001010000_00010_11111111000000000000000000000000
+00000000000000010000001001010100_01110_11111111000000010000000000000000
+00000000000000010000001001011000_00111_11111111000000010000000000000000
+00000000000000010000001001011100_00011_00000000000000000000000000100000
+00000000000000010000001001100100_00001_11111111111111111111111111111111
+00000000000000010000001001101000_00010_11111111111111111111111111111111
+00000000000000010000001001101100_01110_11111111111111111111111111111111
+00000000000000010000001001110000_00111_11111111111111111111111111111111
+00000000000000010000001001110100_00011_00000000000000000000000000100001
+00000000000000010000001001111100_00001_11111111111111111111111111111111
+00000000000000010000001010000000_00010_00000000000000000000000000000001
+00000000000000010000001010000100_01110_11111111111111111111111111111111
+00000000000000010000001010001000_00111_11111111111111111111111111111111
+00000000000000010000001010001100_00011_00000000000000000000000000100010
+00000000000000010000001010010100_00001_00000000000000000000000000000001
+00000000000000010000001010011000_00010_11111111111111111111111111111111
+00000000000000010000001010011100_01110_00000000000000000000000000000000
+00000000000000010000001010100000_00111_00000000000000000000000000000000
+00000000000000010000001010100100_00011_00000000000000000000000000100011
+00000000000000010000001010101100_00001_00000000110100000000000000000000
+00000000000000010000001010110000_00010_00000000101100000000000000000000
+00000000000000010000001010110100_00001_00000000000000001000111100000000
+00000000000000010000001010111000_00111_00000000000000001001000000000000
+00000000000000010000001010111100_00111_00000000000000001000111100000000
+00000000000000010000001011000000_00011_00000000000000000000000000001000
+00000000000000010000001011001000_00001_00000000111000000000000000000000
+00000000000000010000001011001100_00010_00000000101100000000000000000000
+00000000000000010000001011010000_00010_00000000000000001001101000000000
+00000000000000010000001011010100_00111_00000000000000001010000000000000
+00000000000000010000001011011000_00111_00000000000000001001101000000000
+00000000000000010000001011011100_00011_00000000000000000000000000001001
+00000000000000010000001011100100_00001_00000000110100000000000000000000
+00000000000000010000001011101000_00001_00000000000000001010100100000000
+00000000000000010000001011101100_00111_00000000000000001011000000000000
+00000000000000010000001011110000_00111_00000000000000001010100100000000
+00000000000000010000001011110100_00011_00000000000000000000000000001010
+00000000000000010000001011111100_00100_00000000000000000000000000000000
+00000000000000010000001100000000_00001_00000000110100000000000000000000
+00000000000000010000001100000100_00010_00000000101100000000000000000000
+00000000000000010000001100001000_01110_00000000000000001000111100000000
+00000000000000010000001100001100_00110_00000000000000001000111100000000
+00000000000000010000001100010000_00100_00000000000000000000000000000001
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100000000_00001_00000000110100000000000000000000
+00000000000000010000001100000100_00010_00000000101100000000000000000000
+00000000000000010000001100001000_01110_00000000000000001000111100000000
+00000000000000010000001100001100_00110_00000000000000001000111100000000
+00000000000000010000001100010000_00100_00000000000000000000000000000010
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00111_00000000000000001001000000000000
+00000000000000010000001100100000_00111_00000000000000001000111100000000
+00000000000000010000001100100100_00011_00000000000000000000000000001011
+00000000000000010000001100101100_00100_00000000000000000000000000000000
+00000000000000010000001100110000_00001_00000000111000000000000000000000
+00000000000000010000001100110100_00010_00000000101100000000000000000000
+00000000000000010000001100111000_01110_00000000000000001001101000000000
+00000000000000010000001100111100_00000_00000000000000000000000000000000
+00000000000000010000001101000000_00110_00000000000000001001101000000000
+00000000000000010000001101000100_00100_00000000000000000000000000000001
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001100110000_00001_00000000111000000000000000000000
+00000000000000010000001100110100_00010_00000000101100000000000000000000
+00000000000000010000001100111000_01110_00000000000000001001101000000000
+00000000000000010000001100111100_00000_00000000000000000000000000000000
+00000000000000010000001101000000_00110_00000000000000001001101000000000
+00000000000000010000001101000100_00100_00000000000000000000000000000010
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00111_00000000000000001010000000000000
+00000000000000010000001101010100_00111_00000000000000001001101000000000
+00000000000000010000001101011000_00011_00000000000000000000000000001100
+00000000000000010000001101100000_00100_00000000000000000000000000000000
+00000000000000010000001101100100_00001_00000000111100000000000000000000
+00000000000000010000001101101000_00010_00000000101100000000000000000000
+00000000000000010000001101101100_01110_00000000000000001010010100000000
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000001010010100000000
+00000000000000010000001101111100_00100_00000000000000000000000000000001
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001101100100_00001_00000000111100000000000000000000
+00000000000000010000001101101000_00010_00000000101100000000000000000000
+00000000000000010000001101101100_01110_00000000000000001010010100000000
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000001010010100000000
+00000000000000010000001101111100_00100_00000000000000000000000000000010
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00111_00000000000000001010000000000000
+00000000000000010000001110001100_00111_00000000000000001010010100000000
+00000000000000010000001110010000_00011_00000000000000000000000000001101
+00000000000000010000001110011000_00100_00000000000000000000000000000000
+00000000000000010000001110011100_00001_00000000110100000000000000000000
+00000000000000010000001110100000_00010_00000000101100000000000000000000
+00000000000000010000001110100100_01110_00000000000000001000111100000000
+00000000000000010000001110101000_00100_00000000000000000000000000000001
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110011100_00001_00000000110100000000000000000000
+00000000000000010000001110100000_00010_00000000101100000000000000000000
+00000000000000010000001110100100_01110_00000000000000001000111100000000
+00000000000000010000001110101000_00100_00000000000000000000000000000010
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110110100_00111_00000000000000001001000000000000
+00000000000000010000001110111000_00111_00000000000000001000111100000000
+00000000000000010000001110111100_00011_00000000000000000000000000001110
+00000000000000010000001111000100_00100_00000000000000000000000000000000
+00000000000000010000001111001000_00001_00000000111000000000000000000000
+00000000000000010000001111001100_00010_00000000101100000000000000000000
+00000000000000010000001111010000_00000_00000000000000000000000000000000
+00000000000000010000001111010100_01110_00000000000000001001101000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000001
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111001000_00001_00000000111000000000000000000000
+00000000000000010000001111001100_00010_00000000101100000000000000000000
+00000000000000010000001111010000_00000_00000000000000000000000000000000
+00000000000000010000001111010100_01110_00000000000000001001101000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000010
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00111_00000000000000001010000000000000
+00000000000000010000001111101000_00111_00000000000000001001101000000000
+00000000000000010000001111101100_00011_00000000000000000000000000001111
+00000000000000010000001111110100_00100_00000000000000000000000000000000
+00000000000000010000001111111000_00001_00000000111100000000000000000000
+00000000000000010000001111111100_00010_00000000101100000000000000000000
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_01110_00000000000000001010010100000000
+00000000000000010000010000001100_00100_00000000000000000000000000000001
+00000000000000010000010000010000_00101_00000000000000000000000000000010
+00000000000000010000001111111000_00001_00000000111100000000000000000000
+00000000000000010000001111111100_00010_00000000101100000000000000000000
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_01110_00000000000000001010010100000000
+00000000000000010000010000001100_00100_00000000000000000000000000000010
+00000000000000010000010000010000_00101_00000000000000000000000000000010
+00000000000000010000010000011000_00111_00000000000000001010000000000000
+00000000000000010000010000011100_00111_00000000000000001010010100000000
+00000000000000010000010000100000_00011_00000000000000000000000000010000
+00000000000000010000010000101000_00100_00000000000000000000000000000000
+00000000000000010000010000101100_00001_00000000110100000000000000000000
+00000000000000010000010000110000_00000_00000000000000000000000000000000
+00000000000000010000010000110100_00010_00000000101100000000000000000000
+00000000000000010000010000111000_01110_00000000000000001000111100000000
+00000000000000010000010000111100_00100_00000000000000000000000000000001
+00000000000000010000010001000000_00101_00000000000000000000000000000010
+00000000000000010000010000101100_00001_00000000110100000000000000000000
+00000000000000010000010000110000_00000_00000000000000000000000000000000
+00000000000000010000010000110100_00010_00000000101100000000000000000000
+00000000000000010000010000111000_01110_00000000000000001000111100000000
+00000000000000010000010000111100_00100_00000000000000000000000000000010
+00000000000000010000010001000000_00101_00000000000000000000000000000010
+00000000000000010000010001001000_00111_00000000000000001001000000000000
+00000000000000010000010001001100_00111_00000000000000001000111100000000
+00000000000000010000010001010000_00011_00000000000000000000000000010001
+00000000000000010000010001011000_00100_00000000000000000000000000000000
+00000000000000010000010001011100_00001_00000000111000000000000000000000
+00000000000000010000010001100000_00000_00000000000000000000000000000000
+00000000000000010000010001100100_00010_00000000101100000000000000000000
+00000000000000010000010001101000_00000_00000000000000000000000000000000
+00000000000000010000010001101100_01110_00000000000000001001101000000000
+00000000000000010000010001110000_00100_00000000000000000000000000000001
+00000000000000010000010001110100_00101_00000000000000000000000000000010
+00000000000000010000010001011100_00001_00000000111000000000000000000000
+00000000000000010000010001100000_00000_00000000000000000000000000000000
+00000000000000010000010001100100_00010_00000000101100000000000000000000
+00000000000000010000010001101000_00000_00000000000000000000000000000000
+00000000000000010000010001101100_01110_00000000000000001001101000000000
+00000000000000010000010001110000_00100_00000000000000000000000000000010
+00000000000000010000010001110100_00101_00000000000000000000000000000010
+00000000000000010000010001111100_00111_00000000000000001010000000000000
+00000000000000010000010010000000_00111_00000000000000001001101000000000
+00000000000000010000010010000100_00011_00000000000000000000000000010010
+00000000000000010000010010001100_00100_00000000000000000000000000000000
+00000000000000010000010010010000_00001_00000000111100000000000000000000
+00000000000000010000010010010100_00000_00000000000000000000000000000000
+00000000000000010000010010011000_00000_00000000000000000000000000000000
+00000000000000010000010010011100_00010_00000000101100000000000000000000
+00000000000000010000010010100000_01110_00000000000000001010010100000000
+00000000000000010000010010100100_00100_00000000000000000000000000000001
+00000000000000010000010010101000_00101_00000000000000000000000000000010
+00000000000000010000010010010000_00001_00000000111100000000000000000000
+00000000000000010000010010010100_00000_00000000000000000000000000000000
+00000000000000010000010010011000_00000_00000000000000000000000000000000
+00000000000000010000010010011100_00010_00000000101100000000000000000000
+00000000000000010000010010100000_01110_00000000000000001010010100000000
+00000000000000010000010010100100_00100_00000000000000000000000000000010
+00000000000000010000010010101000_00101_00000000000000000000000000000010
+00000000000000010000010010110000_00111_00000000000000001010000000000000
+00000000000000010000010010110100_00111_00000000000000001010010100000000
+00000000000000010000010010111000_00011_00000000000000000000000000010011
+00000000000000010000010011000000_00100_00000000000000000000000000000000
+00000000000000010000010011000100_00010_00000000101100000000000000000000
+00000000000000010000010011001000_00001_00000000110100000000000000000000
+00000000000000010000010011001100_01110_00000000000000001000111100000000
+00000000000000010000010011010000_00100_00000000000000000000000000000001
+00000000000000010000010011010100_00101_00000000000000000000000000000010
+00000000000000010000010011000100_00010_00000000101100000000000000000000
+00000000000000010000010011001000_00001_00000000110100000000000000000000
+00000000000000010000010011001100_01110_00000000000000001000111100000000
+00000000000000010000010011010000_00100_00000000000000000000000000000010
+00000000000000010000010011010100_00101_00000000000000000000000000000010
+00000000000000010000010011011100_00111_00000000000000001001000000000000
+00000000000000010000010011100000_00111_00000000000000001000111100000000
+00000000000000010000010011100100_00011_00000000000000000000000000010100
+00000000000000010000010011101100_00100_00000000000000000000000000000000
+00000000000000010000010011110000_00010_00000000101100000000000000000000
+00000000000000010000010011110100_00001_00000000111000000000000000000000
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_01110_00000000000000001001101000000000
+00000000000000010000010100000000_00100_00000000000000000000000000000001
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010011110000_00010_00000000101100000000000000000000
+00000000000000010000010011110100_00001_00000000111000000000000000000000
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_01110_00000000000000001001101000000000
+00000000000000010000010100000000_00100_00000000000000000000000000000010
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010100001100_00111_00000000000000001010000000000000
+00000000000000010000010100010000_00111_00000000000000001001101000000000
+00000000000000010000010100010100_00011_00000000000000000000000000010101
+00000000000000010000010100011100_00100_00000000000000000000000000000000
+00000000000000010000010100100000_00010_00000000101100000000000000000000
+00000000000000010000010100100100_00001_00000000111100000000000000000000
+00000000000000010000010100101000_00000_00000000000000000000000000000000
+00000000000000010000010100101100_00000_00000000000000000000000000000000
+00000000000000010000010100110000_01110_00000000000000001010010100000000
+00000000000000010000010100110100_00100_00000000000000000000000000000001
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00010_00000000101100000000000000000000
+00000000000000010000010100100100_00001_00000000111100000000000000000000
+00000000000000010000010100101000_00000_00000000000000000000000000000000
+00000000000000010000010100101100_00000_00000000000000000000000000000000
+00000000000000010000010100110000_01110_00000000000000001010010100000000
+00000000000000010000010100110100_00100_00000000000000000000000000000010
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010101000000_00111_00000000000000001010000000000000
+00000000000000010000010101000100_00111_00000000000000001010010100000000
+00000000000000010000010101001000_00011_00000000000000000000000000010110
+00000000000000010000010101010000_00100_00000000000000000000000000000000
+00000000000000010000010101010100_00010_00000000101100000000000000000000
+00000000000000010000010101011000_00000_00000000000000000000000000000000
+00000000000000010000010101011100_00001_00000000110100000000000000000000
+00000000000000010000010101100000_01110_00000000000000001000111100000000
+00000000000000010000010101100100_00100_00000000000000000000000000000001
+00000000000000010000010101101000_00101_00000000000000000000000000000010
+00000000000000010000010101010100_00010_00000000101100000000000000000000
+00000000000000010000010101011000_00000_00000000000000000000000000000000
+00000000000000010000010101011100_00001_00000000110100000000000000000000
+00000000000000010000010101100000_01110_00000000000000001000111100000000
+00000000000000010000010101100100_00100_00000000000000000000000000000010
+00000000000000010000010101101000_00101_00000000000000000000000000000010
+00000000000000010000010101110000_00111_00000000000000001001000000000000
+00000000000000010000010101110100_00111_00000000000000001000111100000000
+00000000000000010000010101111000_00011_00000000000000000000000000010111
+00000000000000010000010110000000_00100_00000000000000000000000000000000
+00000000000000010000010110000100_00010_00000000101100000000000000000000
+00000000000000010000010110001000_00000_00000000000000000000000000000000
+00000000000000010000010110001100_00001_00000000111000000000000000000000
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000001001101000000000
+00000000000000010000010110011000_00100_00000000000000000000000000000001
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110000100_00010_00000000101100000000000000000000
+00000000000000010000010110001000_00000_00000000000000000000000000000000
+00000000000000010000010110001100_00001_00000000111000000000000000000000
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000001001101000000000
+00000000000000010000010110011000_00100_00000000000000000000000000000010
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110100100_00111_00000000000000001010000000000000
+00000000000000010000010110101000_00111_00000000000000001001101000000000
+00000000000000010000010110101100_00011_00000000000000000000000000011000
+00000000000000010000010110110100_00100_00000000000000000000000000000000
+00000000000000010000010110111000_00010_00000000101100000000000000000000
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00001_00000000111100000000000000000000
+00000000000000010000010111001000_01110_00000000000000001010010100000000
+00000000000000010000010111001100_00100_00000000000000000000000000000001
+00000000000000010000010111010000_00101_00000000000000000000000000000010
+00000000000000010000010110111000_00010_00000000101100000000000000000000
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00001_00000000111100000000000000000000
+00000000000000010000010111001000_01110_00000000000000001010010100000000
+00000000000000010000010111001100_00100_00000000000000000000000000000010
+00000000000000010000010111010000_00101_00000000000000000000000000000010
+00000000000000010000010111011000_00111_00000000000000001010000000000000
+00000000000000010000010111011100_00111_00000000000000001010010100000000
+00000000000000010000010111100000_00011_00000000000000000000000000011001
+00000000000000010000010111101000_00001_01111100000000000000000000000000
+00000000000000010000010111101100_00010_00000000000000000000000000000000
+00000000000000010000010111110000_00111_00000000000000000000000000000000
+00000000000000010000010111110100_00011_00000000000000000000000000011010
+00000000000000010000010111111100_00001_10000000000000000000000000000000
+00000000000000010000011000000000_00010_00000000000000000000000000000000
+00000000000000010000011000000100_00111_00000000000000000000000000000000
+00000000000000010000011000001000_00011_00000000000000000000000000011011
+00000000000000010000011000010000_00001_00000000000000000000000000000000
+00000000000000010000011000010100_00111_00000000000000000000000000000000
+00000000000000010000011000011000_00011_00000000000000000000000000011100
+00000000000000010000011000100000_00001_00000010000100000000000000000000
+00000000000000010000011000100100_00010_00000010001000000000000000000000
+00000000000000010000011000101000_00000_00000000000001000110001000000000
+00000000000000010000011000101100_00111_00000000000000000000000000000000
+00000000000000010000011000110000_00011_00000000000000000000000000011101
+00000000000000010000011001011100_00011_00000000000000000000000000000001
+00000000000000010000011001100000_10001_00000000000000000000000001011101
+00000000000000010000011001100100_01010_00000000000000000000000000000000
+00000000000000010000011001100100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/mulhsu/dmem.coe b/verilog/dv/test_c0/coe/mulhsu/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhsu/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/mulhsu/imem.coe b/verilog/dv/test_c0/coe/mulhsu/imem.coe
new file mode 100644
index 0000000..631fbcf
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhsu/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+00000113,
+0220A733,
+00000393,
+00200193,
+4A771A63,
+00100093,
+00100113,
+0220A733,
+00000393,
+00300193,
+48771E63,
+00300093,
+00700113,
+0220A733,
+00000393,
+00400193,
+48771263,
+00000093,
+FFFF8137,
+0220A733,
+00000393,
+00500193,
+46771663,
+800000B7,
+00000113,
+0220A733,
+00000393,
+00600193,
+44771A63,
+800000B7,
+FFFF8137,
+0220A733,
+800043B7,
+00700193,
+42771E63,
+AAAAB0B7,
+AAB08093,
+00030137,
+E7D10113,
+0220A733,
+FFFF03B7,
+08138393,
+01E00193,
+40771C63,
+000300B7,
+E7D08093,
+AAAAB137,
+AAB10113,
+0220A733,
+000203B7,
+EFE38393,
+01F00193,
+3E771A63,
+FF0000B7,
+FF000137,
+0220A733,
+FF0103B7,
+02000193,
+3C771E63,
+FFF00093,
+FFF00113,
+0220A733,
+FFF00393,
+02100193,
+3C771263,
+FFF00093,
+00100113,
+0220A733,
+FFF00393,
+02200193,
+3A771663,
+00100093,
+FFF00113,
+0220A733,
+00000393,
+02300193,
+38771A63,
+00D000B7,
+00B00137,
+0220A0B3,
+000093B7,
+F0038393,
+00800193,
+36709C63,
+00E000B7,
+00B00137,
+0220A133,
+0000A3B7,
+A0038393,
+00900193,
+34711E63,
+00D000B7,
+0210A0B3,
+0000B3B7,
+90038393,
+00A00193,
+34709263,
+00000213,
+00D000B7,
+00B00137,
+0220A733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+00B00193,
+30731A63,
+00000213,
+00E000B7,
+00B00137,
+0220A733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+00C00193,
+2E731063,
+00000213,
+00F000B7,
+00B00137,
+0220A733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+0000A3B7,
+50038393,
+00D00193,
+2A731463,
+00000213,
+00D000B7,
+00B00137,
+0220A733,
+00120213,
+00200293,
+FE5216E3,
+000093B7,
+F0038393,
+00E00193,
+26771E63,
+00000213,
+00E000B7,
+00B00137,
+00000013,
+0220A733,
+00120213,
+00200293,
+FE5214E3,
+0000A3B7,
+A0038393,
+00F00193,
+24771663,
+00000213,
+00F000B7,
+00B00137,
+00000013,
+00000013,
+0220A733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01000193,
+20771C63,
+00000213,
+00D000B7,
+00000013,
+00B00137,
+0220A733,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+01100193,
+1E771463,
+00000213,
+00E000B7,
+00000013,
+00B00137,
+00000013,
+0220A733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+01200193,
+1A771A63,
+00000213,
+00F000B7,
+00000013,
+00000013,
+00B00137,
+0220A733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01300193,
+18771063,
+00000213,
+00B00137,
+00D000B7,
+0220A733,
+00120213,
+00200293,
+FE5216E3,
+000093B7,
+F0038393,
+01400193,
+14771A63,
+00000213,
+00B00137,
+00E000B7,
+00000013,
+0220A733,
+00120213,
+00200293,
+FE5214E3,
+0000A3B7,
+A0038393,
+01500193,
+12771263,
+00000213,
+00B00137,
+00F000B7,
+00000013,
+00000013,
+0220A733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01600193,
+0E771863,
+00000213,
+00B00137,
+00000013,
+00D000B7,
+0220A733,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+01700193,
+0C771063,
+00000213,
+00B00137,
+00000013,
+00E000B7,
+00000013,
+0220A733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+01800193,
+08771663,
+00000213,
+00B00137,
+00000013,
+00000013,
+00F000B7,
+0220A733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01900193,
+04771C63,
+7C0000B7,
+02102133,
+00000393,
+01A00193,
+04711263,
+800000B7,
+0200A133,
+00000393,
+01B00193,
+02711863,
+020020B3,
+00000393,
+01C00193,
+02709063,
+021000B7,
+02200137,
+0220A033,
+00000393,
+01D00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/mulhu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/mulhu/cekirdek_dy.txt
new file mode 100644
index 0000000..d7e957b
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhu/cekirdek_dy.txt
@@ -0,0 +1,1583 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001101001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001111001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001001001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001011001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001101001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111100001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001111001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101010101011000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101100001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000000100110111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100111110100010000000100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101111111000111000001110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001110001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000110000000010110111_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11100111110100001000000010010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101010101011000100110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10101010101100010000000100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101111111000111000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001101001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000000100110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001111001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001001001100011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001011001100011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001101001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011000010110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011100001001110001100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011000100110011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011100010001111001100011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100001011000010110011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011001110110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10010000000000111000001110010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011100001001001001100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100110001101001100011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011100110001000001100011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001010001100011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001111001100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001011001100011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001110001100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001010001100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001101001100011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001000001100011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001101001100011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011101110001001001100011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001100001100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010110111_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001001110110111_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011101110001000001100011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010110111_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10100000000000111000001110010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001011001100011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010110111_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011011100110011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000000000111000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111100000000000000000010110111_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000011000100110011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001001001100011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000001011000100110011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001100001100011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000011000010110011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000010110111_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000100110111_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001011000000110011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/mulhu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/mulhu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..a5877d5
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhu/cekirdek_ps_bin.txt
@@ -0,0 +1,490 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001100100
diff --git a/verilog/dv/test_c0/coe/mulhu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/mulhu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..b7c2b11
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhu/cekirdek_ps_hex.txt
@@ -0,0 +1,490 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+00010658
+0001065c
+00010660
+00010664
+00010664
diff --git a/verilog/dv/test_c0/coe/mulhu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/mulhu/cekirdek_yo.txt
new file mode 100644
index 0000000..6d22b7c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhu/cekirdek_yo.txt
@@ -0,0 +1,406 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000000000000
+00000000000000010000000110000000_00111_00000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00000000000000000000000000000001
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_00000000000000000000000000000000
+00000000000000010000000110011000_00111_00000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000000011
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_00000000000000000000000000000000
+00000000000000010000000110110000_00111_00000000000000000000000000000000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_00000000000000000000000000000000
+00000000000000010000000111000000_00010_11111111111111111000000000000000
+00000000000000010000000111000100_01110_00000000000000000000000000000000
+00000000000000010000000111001000_00111_00000000000000000000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00010_00000000000000000000000000000000
+00000000000000010000000111011100_01110_00000000000000000000000000000000
+00000000000000010000000111100000_00111_00000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_11111111111111111000000000000000
+00000000000000010000000111110100_01110_01111111111111111100000000000000
+00000000000000010000000111111000_00111_01111111111111111100000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_10101010101010101011000000000000
+00000000000000010000001000001000_00001_10101010101010101010101010101011
+00000000000000010000001000001100_00010_00000000000000110000000000000000
+00000000000000010000001000010000_00010_00000000000000101111111001111101
+00000000000000010000001000010100_01110_00000000000000011111111011111110
+00000000000000010000001000011000_00111_00000000000000100000000000000000
+00000000000000010000001000011100_00111_00000000000000011111111011111110
+00000000000000010000001000100000_00011_00000000000000000000000000011110
+00000000000000010000001000101000_00001_00000000000000110000000000000000
+00000000000000010000001000101100_00001_00000000000000101111111001111101
+00000000000000010000001000110000_00010_10101010101010101011000000000000
+00000000000000010000001000110100_00010_10101010101010101010101010101011
+00000000000000010000001000111000_01110_00000000000000011111111011111110
+00000000000000010000001000111100_00111_00000000000000100000000000000000
+00000000000000010000001001000000_00111_00000000000000011111111011111110
+00000000000000010000001001000100_00011_00000000000000000000000000011111
+00000000000000010000001001001100_00001_11111111000000000000000000000000
+00000000000000010000001001010000_00010_11111111000000000000000000000000
+00000000000000010000001001010100_01110_11111110000000010000000000000000
+00000000000000010000001001011000_00111_11111110000000010000000000000000
+00000000000000010000001001011100_00011_00000000000000000000000000100000
+00000000000000010000001001100100_00001_11111111111111111111111111111111
+00000000000000010000001001101000_00010_11111111111111111111111111111111
+00000000000000010000001001101100_01110_11111111111111111111111111111110
+00000000000000010000001001110000_00111_11111111111111111111111111111110
+00000000000000010000001001110100_00011_00000000000000000000000000100001
+00000000000000010000001001111100_00001_11111111111111111111111111111111
+00000000000000010000001010000000_00010_00000000000000000000000000000001
+00000000000000010000001010000100_01110_00000000000000000000000000000000
+00000000000000010000001010001000_00111_00000000000000000000000000000000
+00000000000000010000001010001100_00011_00000000000000000000000000100010
+00000000000000010000001010010100_00001_00000000000000000000000000000001
+00000000000000010000001010011000_00010_11111111111111111111111111111111
+00000000000000010000001010011100_01110_00000000000000000000000000000000
+00000000000000010000001010100000_00111_00000000000000000000000000000000
+00000000000000010000001010100100_00011_00000000000000000000000000100011
+00000000000000010000001010101100_00001_00000000110100000000000000000000
+00000000000000010000001010110000_00010_00000000101100000000000000000000
+00000000000000010000001010110100_00001_00000000000000001000111100000000
+00000000000000010000001010111000_00111_00000000000000001001000000000000
+00000000000000010000001010111100_00111_00000000000000001000111100000000
+00000000000000010000001011000000_00011_00000000000000000000000000001000
+00000000000000010000001011001000_00001_00000000111000000000000000000000
+00000000000000010000001011001100_00010_00000000101100000000000000000000
+00000000000000010000001011010000_00010_00000000000000001001101000000000
+00000000000000010000001011010100_00111_00000000000000001010000000000000
+00000000000000010000001011011000_00111_00000000000000001001101000000000
+00000000000000010000001011011100_00011_00000000000000000000000000001001
+00000000000000010000001011100100_00001_00000000110100000000000000000000
+00000000000000010000001011101000_00001_00000000000000001010100100000000
+00000000000000010000001011101100_00111_00000000000000001011000000000000
+00000000000000010000001011110000_00111_00000000000000001010100100000000
+00000000000000010000001011110100_00011_00000000000000000000000000001010
+00000000000000010000001011111100_00100_00000000000000000000000000000000
+00000000000000010000001100000000_00001_00000000110100000000000000000000
+00000000000000010000001100000100_00010_00000000101100000000000000000000
+00000000000000010000001100001000_01110_00000000000000001000111100000000
+00000000000000010000001100001100_00110_00000000000000001000111100000000
+00000000000000010000001100010000_00100_00000000000000000000000000000001
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100000000_00001_00000000110100000000000000000000
+00000000000000010000001100000100_00010_00000000101100000000000000000000
+00000000000000010000001100001000_01110_00000000000000001000111100000000
+00000000000000010000001100001100_00110_00000000000000001000111100000000
+00000000000000010000001100010000_00100_00000000000000000000000000000010
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00111_00000000000000001001000000000000
+00000000000000010000001100100000_00111_00000000000000001000111100000000
+00000000000000010000001100100100_00011_00000000000000000000000000001011
+00000000000000010000001100101100_00100_00000000000000000000000000000000
+00000000000000010000001100110000_00001_00000000111000000000000000000000
+00000000000000010000001100110100_00010_00000000101100000000000000000000
+00000000000000010000001100111000_01110_00000000000000001001101000000000
+00000000000000010000001100111100_00000_00000000000000000000000000000000
+00000000000000010000001101000000_00110_00000000000000001001101000000000
+00000000000000010000001101000100_00100_00000000000000000000000000000001
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001100110000_00001_00000000111000000000000000000000
+00000000000000010000001100110100_00010_00000000101100000000000000000000
+00000000000000010000001100111000_01110_00000000000000001001101000000000
+00000000000000010000001100111100_00000_00000000000000000000000000000000
+00000000000000010000001101000000_00110_00000000000000001001101000000000
+00000000000000010000001101000100_00100_00000000000000000000000000000010
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00111_00000000000000001010000000000000
+00000000000000010000001101010100_00111_00000000000000001001101000000000
+00000000000000010000001101011000_00011_00000000000000000000000000001100
+00000000000000010000001101100000_00100_00000000000000000000000000000000
+00000000000000010000001101100100_00001_00000000111100000000000000000000
+00000000000000010000001101101000_00010_00000000101100000000000000000000
+00000000000000010000001101101100_01110_00000000000000001010010100000000
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000001010010100000000
+00000000000000010000001101111100_00100_00000000000000000000000000000001
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001101100100_00001_00000000111100000000000000000000
+00000000000000010000001101101000_00010_00000000101100000000000000000000
+00000000000000010000001101101100_01110_00000000000000001010010100000000
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000001010010100000000
+00000000000000010000001101111100_00100_00000000000000000000000000000010
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00111_00000000000000001010000000000000
+00000000000000010000001110001100_00111_00000000000000001010010100000000
+00000000000000010000001110010000_00011_00000000000000000000000000001101
+00000000000000010000001110011000_00100_00000000000000000000000000000000
+00000000000000010000001110011100_00001_00000000110100000000000000000000
+00000000000000010000001110100000_00010_00000000101100000000000000000000
+00000000000000010000001110100100_01110_00000000000000001000111100000000
+00000000000000010000001110101000_00100_00000000000000000000000000000001
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110011100_00001_00000000110100000000000000000000
+00000000000000010000001110100000_00010_00000000101100000000000000000000
+00000000000000010000001110100100_01110_00000000000000001000111100000000
+00000000000000010000001110101000_00100_00000000000000000000000000000010
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110110100_00111_00000000000000001001000000000000
+00000000000000010000001110111000_00111_00000000000000001000111100000000
+00000000000000010000001110111100_00011_00000000000000000000000000001110
+00000000000000010000001111000100_00100_00000000000000000000000000000000
+00000000000000010000001111001000_00001_00000000111000000000000000000000
+00000000000000010000001111001100_00010_00000000101100000000000000000000
+00000000000000010000001111010000_00000_00000000000000000000000000000000
+00000000000000010000001111010100_01110_00000000000000001001101000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000001
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111001000_00001_00000000111000000000000000000000
+00000000000000010000001111001100_00010_00000000101100000000000000000000
+00000000000000010000001111010000_00000_00000000000000000000000000000000
+00000000000000010000001111010100_01110_00000000000000001001101000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000010
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00111_00000000000000001010000000000000
+00000000000000010000001111101000_00111_00000000000000001001101000000000
+00000000000000010000001111101100_00011_00000000000000000000000000001111
+00000000000000010000001111110100_00100_00000000000000000000000000000000
+00000000000000010000001111111000_00001_00000000111100000000000000000000
+00000000000000010000001111111100_00010_00000000101100000000000000000000
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_01110_00000000000000001010010100000000
+00000000000000010000010000001100_00100_00000000000000000000000000000001
+00000000000000010000010000010000_00101_00000000000000000000000000000010
+00000000000000010000001111111000_00001_00000000111100000000000000000000
+00000000000000010000001111111100_00010_00000000101100000000000000000000
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_01110_00000000000000001010010100000000
+00000000000000010000010000001100_00100_00000000000000000000000000000010
+00000000000000010000010000010000_00101_00000000000000000000000000000010
+00000000000000010000010000011000_00111_00000000000000001010000000000000
+00000000000000010000010000011100_00111_00000000000000001010010100000000
+00000000000000010000010000100000_00011_00000000000000000000000000010000
+00000000000000010000010000101000_00100_00000000000000000000000000000000
+00000000000000010000010000101100_00001_00000000110100000000000000000000
+00000000000000010000010000110000_00000_00000000000000000000000000000000
+00000000000000010000010000110100_00010_00000000101100000000000000000000
+00000000000000010000010000111000_01110_00000000000000001000111100000000
+00000000000000010000010000111100_00100_00000000000000000000000000000001
+00000000000000010000010001000000_00101_00000000000000000000000000000010
+00000000000000010000010000101100_00001_00000000110100000000000000000000
+00000000000000010000010000110000_00000_00000000000000000000000000000000
+00000000000000010000010000110100_00010_00000000101100000000000000000000
+00000000000000010000010000111000_01110_00000000000000001000111100000000
+00000000000000010000010000111100_00100_00000000000000000000000000000010
+00000000000000010000010001000000_00101_00000000000000000000000000000010
+00000000000000010000010001001000_00111_00000000000000001001000000000000
+00000000000000010000010001001100_00111_00000000000000001000111100000000
+00000000000000010000010001010000_00011_00000000000000000000000000010001
+00000000000000010000010001011000_00100_00000000000000000000000000000000
+00000000000000010000010001011100_00001_00000000111000000000000000000000
+00000000000000010000010001100000_00000_00000000000000000000000000000000
+00000000000000010000010001100100_00010_00000000101100000000000000000000
+00000000000000010000010001101000_00000_00000000000000000000000000000000
+00000000000000010000010001101100_01110_00000000000000001001101000000000
+00000000000000010000010001110000_00100_00000000000000000000000000000001
+00000000000000010000010001110100_00101_00000000000000000000000000000010
+00000000000000010000010001011100_00001_00000000111000000000000000000000
+00000000000000010000010001100000_00000_00000000000000000000000000000000
+00000000000000010000010001100100_00010_00000000101100000000000000000000
+00000000000000010000010001101000_00000_00000000000000000000000000000000
+00000000000000010000010001101100_01110_00000000000000001001101000000000
+00000000000000010000010001110000_00100_00000000000000000000000000000010
+00000000000000010000010001110100_00101_00000000000000000000000000000010
+00000000000000010000010001111100_00111_00000000000000001010000000000000
+00000000000000010000010010000000_00111_00000000000000001001101000000000
+00000000000000010000010010000100_00011_00000000000000000000000000010010
+00000000000000010000010010001100_00100_00000000000000000000000000000000
+00000000000000010000010010010000_00001_00000000111100000000000000000000
+00000000000000010000010010010100_00000_00000000000000000000000000000000
+00000000000000010000010010011000_00000_00000000000000000000000000000000
+00000000000000010000010010011100_00010_00000000101100000000000000000000
+00000000000000010000010010100000_01110_00000000000000001010010100000000
+00000000000000010000010010100100_00100_00000000000000000000000000000001
+00000000000000010000010010101000_00101_00000000000000000000000000000010
+00000000000000010000010010010000_00001_00000000111100000000000000000000
+00000000000000010000010010010100_00000_00000000000000000000000000000000
+00000000000000010000010010011000_00000_00000000000000000000000000000000
+00000000000000010000010010011100_00010_00000000101100000000000000000000
+00000000000000010000010010100000_01110_00000000000000001010010100000000
+00000000000000010000010010100100_00100_00000000000000000000000000000010
+00000000000000010000010010101000_00101_00000000000000000000000000000010
+00000000000000010000010010110000_00111_00000000000000001010000000000000
+00000000000000010000010010110100_00111_00000000000000001010010100000000
+00000000000000010000010010111000_00011_00000000000000000000000000010011
+00000000000000010000010011000000_00100_00000000000000000000000000000000
+00000000000000010000010011000100_00010_00000000101100000000000000000000
+00000000000000010000010011001000_00001_00000000110100000000000000000000
+00000000000000010000010011001100_01110_00000000000000001000111100000000
+00000000000000010000010011010000_00100_00000000000000000000000000000001
+00000000000000010000010011010100_00101_00000000000000000000000000000010
+00000000000000010000010011000100_00010_00000000101100000000000000000000
+00000000000000010000010011001000_00001_00000000110100000000000000000000
+00000000000000010000010011001100_01110_00000000000000001000111100000000
+00000000000000010000010011010000_00100_00000000000000000000000000000010
+00000000000000010000010011010100_00101_00000000000000000000000000000010
+00000000000000010000010011011100_00111_00000000000000001001000000000000
+00000000000000010000010011100000_00111_00000000000000001000111100000000
+00000000000000010000010011100100_00011_00000000000000000000000000010100
+00000000000000010000010011101100_00100_00000000000000000000000000000000
+00000000000000010000010011110000_00010_00000000101100000000000000000000
+00000000000000010000010011110100_00001_00000000111000000000000000000000
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_01110_00000000000000001001101000000000
+00000000000000010000010100000000_00100_00000000000000000000000000000001
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010011110000_00010_00000000101100000000000000000000
+00000000000000010000010011110100_00001_00000000111000000000000000000000
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_01110_00000000000000001001101000000000
+00000000000000010000010100000000_00100_00000000000000000000000000000010
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010100001100_00111_00000000000000001010000000000000
+00000000000000010000010100010000_00111_00000000000000001001101000000000
+00000000000000010000010100010100_00011_00000000000000000000000000010101
+00000000000000010000010100011100_00100_00000000000000000000000000000000
+00000000000000010000010100100000_00010_00000000101100000000000000000000
+00000000000000010000010100100100_00001_00000000111100000000000000000000
+00000000000000010000010100101000_00000_00000000000000000000000000000000
+00000000000000010000010100101100_00000_00000000000000000000000000000000
+00000000000000010000010100110000_01110_00000000000000001010010100000000
+00000000000000010000010100110100_00100_00000000000000000000000000000001
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00010_00000000101100000000000000000000
+00000000000000010000010100100100_00001_00000000111100000000000000000000
+00000000000000010000010100101000_00000_00000000000000000000000000000000
+00000000000000010000010100101100_00000_00000000000000000000000000000000
+00000000000000010000010100110000_01110_00000000000000001010010100000000
+00000000000000010000010100110100_00100_00000000000000000000000000000010
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010101000000_00111_00000000000000001010000000000000
+00000000000000010000010101000100_00111_00000000000000001010010100000000
+00000000000000010000010101001000_00011_00000000000000000000000000010110
+00000000000000010000010101010000_00100_00000000000000000000000000000000
+00000000000000010000010101010100_00010_00000000101100000000000000000000
+00000000000000010000010101011000_00000_00000000000000000000000000000000
+00000000000000010000010101011100_00001_00000000110100000000000000000000
+00000000000000010000010101100000_01110_00000000000000001000111100000000
+00000000000000010000010101100100_00100_00000000000000000000000000000001
+00000000000000010000010101101000_00101_00000000000000000000000000000010
+00000000000000010000010101010100_00010_00000000101100000000000000000000
+00000000000000010000010101011000_00000_00000000000000000000000000000000
+00000000000000010000010101011100_00001_00000000110100000000000000000000
+00000000000000010000010101100000_01110_00000000000000001000111100000000
+00000000000000010000010101100100_00100_00000000000000000000000000000010
+00000000000000010000010101101000_00101_00000000000000000000000000000010
+00000000000000010000010101110000_00111_00000000000000001001000000000000
+00000000000000010000010101110100_00111_00000000000000001000111100000000
+00000000000000010000010101111000_00011_00000000000000000000000000010111
+00000000000000010000010110000000_00100_00000000000000000000000000000000
+00000000000000010000010110000100_00010_00000000101100000000000000000000
+00000000000000010000010110001000_00000_00000000000000000000000000000000
+00000000000000010000010110001100_00001_00000000111000000000000000000000
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000001001101000000000
+00000000000000010000010110011000_00100_00000000000000000000000000000001
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110000100_00010_00000000101100000000000000000000
+00000000000000010000010110001000_00000_00000000000000000000000000000000
+00000000000000010000010110001100_00001_00000000111000000000000000000000
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000001001101000000000
+00000000000000010000010110011000_00100_00000000000000000000000000000010
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110100100_00111_00000000000000001010000000000000
+00000000000000010000010110101000_00111_00000000000000001001101000000000
+00000000000000010000010110101100_00011_00000000000000000000000000011000
+00000000000000010000010110110100_00100_00000000000000000000000000000000
+00000000000000010000010110111000_00010_00000000101100000000000000000000
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00001_00000000111100000000000000000000
+00000000000000010000010111001000_01110_00000000000000001010010100000000
+00000000000000010000010111001100_00100_00000000000000000000000000000001
+00000000000000010000010111010000_00101_00000000000000000000000000000010
+00000000000000010000010110111000_00010_00000000101100000000000000000000
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00001_00000000111100000000000000000000
+00000000000000010000010111001000_01110_00000000000000001010010100000000
+00000000000000010000010111001100_00100_00000000000000000000000000000010
+00000000000000010000010111010000_00101_00000000000000000000000000000010
+00000000000000010000010111011000_00111_00000000000000001010000000000000
+00000000000000010000010111011100_00111_00000000000000001010010100000000
+00000000000000010000010111100000_00011_00000000000000000000000000011001
+00000000000000010000010111101000_00001_01111100000000000000000000000000
+00000000000000010000010111101100_00010_00000000000000000000000000000000
+00000000000000010000010111110000_00111_00000000000000000000000000000000
+00000000000000010000010111110100_00011_00000000000000000000000000011010
+00000000000000010000010111111100_00001_10000000000000000000000000000000
+00000000000000010000011000000000_00010_00000000000000000000000000000000
+00000000000000010000011000000100_00111_00000000000000000000000000000000
+00000000000000010000011000001000_00011_00000000000000000000000000011011
+00000000000000010000011000010000_00001_00000000000000000000000000000000
+00000000000000010000011000010100_00111_00000000000000000000000000000000
+00000000000000010000011000011000_00011_00000000000000000000000000011100
+00000000000000010000011000100000_00001_00000010000100000000000000000000
+00000000000000010000011000100100_00010_00000010001000000000000000000000
+00000000000000010000011000101000_00000_00000000000001000110001000000000
+00000000000000010000011000101100_00111_00000000000000000000000000000000
+00000000000000010000011000110000_00011_00000000000000000000000000011101
+00000000000000010000011001011100_00011_00000000000000000000000000000001
+00000000000000010000011001100000_10001_00000000000000000000000001011101
+00000000000000010000011001100100_01010_00000000000000000000000000000000
+00000000000000010000011001100100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/mulhu/dmem.coe b/verilog/dv/test_c0/coe/mulhu/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhu/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/mulhu/imem.coe b/verilog/dv/test_c0/coe/mulhu/imem.coe
new file mode 100644
index 0000000..a5d67b0
--- /dev/null
+++ b/verilog/dv/test_c0/coe/mulhu/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+00000113,
+0220B733,
+00000393,
+00200193,
+4A771A63,
+00100093,
+00100113,
+0220B733,
+00000393,
+00300193,
+48771E63,
+00300093,
+00700113,
+0220B733,
+00000393,
+00400193,
+48771263,
+00000093,
+FFFF8137,
+0220B733,
+00000393,
+00500193,
+46771663,
+800000B7,
+00000113,
+0220B733,
+00000393,
+00600193,
+44771A63,
+800000B7,
+FFFF8137,
+0220B733,
+7FFFC3B7,
+00700193,
+42771E63,
+AAAAB0B7,
+AAB08093,
+00030137,
+E7D10113,
+0220B733,
+000203B7,
+EFE38393,
+01E00193,
+40771C63,
+000300B7,
+E7D08093,
+AAAAB137,
+AAB10113,
+0220B733,
+000203B7,
+EFE38393,
+01F00193,
+3E771A63,
+FF0000B7,
+FF000137,
+0220B733,
+FE0103B7,
+02000193,
+3C771E63,
+FFF00093,
+FFF00113,
+0220B733,
+FFE00393,
+02100193,
+3C771263,
+FFF00093,
+00100113,
+0220B733,
+00000393,
+02200193,
+3A771663,
+00100093,
+FFF00113,
+0220B733,
+00000393,
+02300193,
+38771A63,
+00D000B7,
+00B00137,
+0220B0B3,
+000093B7,
+F0038393,
+00800193,
+36709C63,
+00E000B7,
+00B00137,
+0220B133,
+0000A3B7,
+A0038393,
+00900193,
+34711E63,
+00D000B7,
+0210B0B3,
+0000B3B7,
+90038393,
+00A00193,
+34709263,
+00000213,
+00D000B7,
+00B00137,
+0220B733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+00B00193,
+30731A63,
+00000213,
+00E000B7,
+00B00137,
+0220B733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+00C00193,
+2E731063,
+00000213,
+00F000B7,
+00B00137,
+0220B733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+0000A3B7,
+50038393,
+00D00193,
+2A731463,
+00000213,
+00D000B7,
+00B00137,
+0220B733,
+00120213,
+00200293,
+FE5216E3,
+000093B7,
+F0038393,
+00E00193,
+26771E63,
+00000213,
+00E000B7,
+00B00137,
+00000013,
+0220B733,
+00120213,
+00200293,
+FE5214E3,
+0000A3B7,
+A0038393,
+00F00193,
+24771663,
+00000213,
+00F000B7,
+00B00137,
+00000013,
+00000013,
+0220B733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01000193,
+20771C63,
+00000213,
+00D000B7,
+00000013,
+00B00137,
+0220B733,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+01100193,
+1E771463,
+00000213,
+00E000B7,
+00000013,
+00B00137,
+00000013,
+0220B733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+01200193,
+1A771A63,
+00000213,
+00F000B7,
+00000013,
+00000013,
+00B00137,
+0220B733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01300193,
+18771063,
+00000213,
+00B00137,
+00D000B7,
+0220B733,
+00120213,
+00200293,
+FE5216E3,
+000093B7,
+F0038393,
+01400193,
+14771A63,
+00000213,
+00B00137,
+00E000B7,
+00000013,
+0220B733,
+00120213,
+00200293,
+FE5214E3,
+0000A3B7,
+A0038393,
+01500193,
+12771263,
+00000213,
+00B00137,
+00F000B7,
+00000013,
+00000013,
+0220B733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01600193,
+0E771863,
+00000213,
+00B00137,
+00000013,
+00D000B7,
+0220B733,
+00120213,
+00200293,
+FE5214E3,
+000093B7,
+F0038393,
+01700193,
+0C771063,
+00000213,
+00B00137,
+00000013,
+00E000B7,
+00000013,
+0220B733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+A0038393,
+01800193,
+08771663,
+00000213,
+00B00137,
+00000013,
+00000013,
+00F000B7,
+0220B733,
+00120213,
+00200293,
+FE5212E3,
+0000A3B7,
+50038393,
+01900193,
+04771C63,
+7C0000B7,
+02103133,
+00000393,
+01A00193,
+04711263,
+800000B7,
+0200B133,
+00000393,
+01B00193,
+02711863,
+020030B3,
+00000393,
+01C00193,
+02709063,
+021000B7,
+02200137,
+0220B033,
+00000393,
+01D00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/or/cekirdek_dy.txt b/verilog/dv/test_c0/coe/or/cekirdek_dy.txt
new file mode 100644
index 0000000..69149a1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/or/cekirdek_dy.txt
@@ -0,0 +1,730 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100111000001110010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001001001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001000001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001111001100011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111111111111001110110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001110001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110000010110011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100111000001110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001001101001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110000100110011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100111000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011100010001100001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001110000010110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011100001001101001100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100111000001110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011100110001111001100011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011100110001000001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001110011100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001110011100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100110001000001100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100111000001110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011101110001011001100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011101110001101001100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001110001100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100111000001110010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001000001100011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001001001100011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001010001100011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100111000001110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001101001100011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001111001100011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011101110001000001100011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000001110110111_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100111000001110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001010001100011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000001110110111_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001011001100011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110011100110011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111111110001001110110111_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001100001100011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000110000100110011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001101001100011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001110000100110011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001110001100011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000110000010110011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001010001100011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010001000100010001000010110111_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010001000100001000000010010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001000100010000100110111_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001000010000000100010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001110000000110011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/or/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/or/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..0963a5a
--- /dev/null
+++ b/verilog/dv/test_c0/coe/or/cekirdek_ps_bin.txt
@@ -0,0 +1,519 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011001010100
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100000
diff --git a/verilog/dv/test_c0/coe/or/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/or/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..578f366
--- /dev/null
+++ b/verilog/dv/test_c0/coe/or/cekirdek_ps_hex.txt
@@ -0,0 +1,519 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010654
+00010658
+0001065c
+00010660
+00010660
diff --git a/verilog/dv/test_c0/coe/or/cekirdek_yo.txt b/verilog/dv/test_c0/coe/or/cekirdek_yo.txt
new file mode 100644
index 0000000..d0b7320
--- /dev/null
+++ b/verilog/dv/test_c0/coe/or/cekirdek_yo.txt
@@ -0,0 +1,443 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_11111111000000010000000000000000
+00000000000000010000000101111000_00001_11111111000000001111111100000000
+00000000000000010000000101111100_00010_00001111000011110001000000000000
+00000000000000010000000110000000_00010_00001111000011110000111100001111
+00000000000000010000000110000100_01110_11111111000011111111111100001111
+00000000000000010000000110001000_00111_11111111000100000000000000000000
+00000000000000010000000110001100_00111_11111111000011111111111100001111
+00000000000000010000000110010000_00011_00000000000000000000000000000010
+00000000000000010000000110011000_00001_00001111111100000001000000000000
+00000000000000010000000110011100_00001_00001111111100000000111111110000
+00000000000000010000000110100000_00010_11110000111100001111000000000000
+00000000000000010000000110100100_00010_11110000111100001111000011110000
+00000000000000010000000110101000_01110_11111111111100001111111111110000
+00000000000000010000000110101100_00111_11111111111100010000000000000000
+00000000000000010000000110110000_00111_11111111111100001111111111110000
+00000000000000010000000110110100_00011_00000000000000000000000000000011
+00000000000000010000000110111100_00001_00000000111111110000000000000000
+00000000000000010000000111000000_00001_00000000111111110000000011111111
+00000000000000010000000111000100_00010_00001111000011110001000000000000
+00000000000000010000000111001000_00010_00001111000011110000111100001111
+00000000000000010000000111001100_01110_00001111111111110000111111111111
+00000000000000010000000111010000_00111_00001111111111110001000000000000
+00000000000000010000000111010100_00111_00001111111111110000111111111111
+00000000000000010000000111011000_00011_00000000000000000000000000000100
+00000000000000010000000111100000_00001_11110000000011111111000000000000
+00000000000000010000000111100100_00001_11110000000011111111000000001111
+00000000000000010000000111101000_00010_11110000111100001111000000000000
+00000000000000010000000111101100_00010_11110000111100001111000011110000
+00000000000000010000000111110000_01110_11110000111111111111000011111111
+00000000000000010000000111110100_00111_11110000111111111111000000000000
+00000000000000010000000111111000_00111_11110000111111111111000011111111
+00000000000000010000000111111100_00011_00000000000000000000000000000101
+00000000000000010000001000000100_00001_11111111000000010000000000000000
+00000000000000010000001000001000_00001_11111111000000001111111100000000
+00000000000000010000001000001100_00010_00001111000011110001000000000000
+00000000000000010000001000010000_00010_00001111000011110000111100001111
+00000000000000010000001000010100_00001_11111111000011111111111100001111
+00000000000000010000001000011000_00111_11111111000100000000000000000000
+00000000000000010000001000011100_00111_11111111000011111111111100001111
+00000000000000010000001000100000_00011_00000000000000000000000000000110
+00000000000000010000001000101000_00001_11111111000000010000000000000000
+00000000000000010000001000101100_00001_11111111000000001111111100000000
+00000000000000010000001000110000_00010_00001111000011110001000000000000
+00000000000000010000001000110100_00010_00001111000011110000111100001111
+00000000000000010000001000111000_00010_11111111000011111111111100001111
+00000000000000010000001000111100_00111_11111111000100000000000000000000
+00000000000000010000001001000000_00111_11111111000011111111111100001111
+00000000000000010000001001000100_00011_00000000000000000000000000000111
+00000000000000010000001001001100_00001_11111111000000010000000000000000
+00000000000000010000001001010000_00001_11111111000000001111111100000000
+00000000000000010000001001010100_00001_11111111000000001111111100000000
+00000000000000010000001001011000_00111_11111111000000010000000000000000
+00000000000000010000001001011100_00111_11111111000000001111111100000000
+00000000000000010000001001100000_00011_00000000000000000000000000001000
+00000000000000010000001001101000_00100_00000000000000000000000000000000
+00000000000000010000001001101100_00001_11111111000000010000000000000000
+00000000000000010000001001110000_00001_11111111000000001111111100000000
+00000000000000010000001001110100_00010_00001111000011110001000000000000
+00000000000000010000001001111000_00010_00001111000011110000111100001111
+00000000000000010000001001111100_01110_11111111000011111111111100001111
+00000000000000010000001010000000_00110_11111111000011111111111100001111
+00000000000000010000001010000100_00100_00000000000000000000000000000001
+00000000000000010000001010001000_00101_00000000000000000000000000000010
+00000000000000010000001001101100_00001_11111111000000010000000000000000
+00000000000000010000001001110000_00001_11111111000000001111111100000000
+00000000000000010000001001110100_00010_00001111000011110001000000000000
+00000000000000010000001001111000_00010_00001111000011110000111100001111
+00000000000000010000001001111100_01110_11111111000011111111111100001111
+00000000000000010000001010000000_00110_11111111000011111111111100001111
+00000000000000010000001010000100_00100_00000000000000000000000000000010
+00000000000000010000001010001000_00101_00000000000000000000000000000010
+00000000000000010000001010010000_00111_11111111000100000000000000000000
+00000000000000010000001010010100_00111_11111111000011111111111100001111
+00000000000000010000001010011000_00011_00000000000000000000000000001001
+00000000000000010000001010100000_00100_00000000000000000000000000000000
+00000000000000010000001010100100_00001_00001111111100000001000000000000
+00000000000000010000001010101000_00001_00001111111100000000111111110000
+00000000000000010000001010101100_00010_11110000111100001111000000000000
+00000000000000010000001010110000_00010_11110000111100001111000011110000
+00000000000000010000001010110100_01110_11111111111100001111111111110000
+00000000000000010000001010111000_00000_00000000000000000000000000000000
+00000000000000010000001010111100_00110_11111111111100001111111111110000
+00000000000000010000001011000000_00100_00000000000000000000000000000001
+00000000000000010000001011000100_00101_00000000000000000000000000000010
+00000000000000010000001010100100_00001_00001111111100000001000000000000
+00000000000000010000001010101000_00001_00001111111100000000111111110000
+00000000000000010000001010101100_00010_11110000111100001111000000000000
+00000000000000010000001010110000_00010_11110000111100001111000011110000
+00000000000000010000001010110100_01110_11111111111100001111111111110000
+00000000000000010000001010111000_00000_00000000000000000000000000000000
+00000000000000010000001010111100_00110_11111111111100001111111111110000
+00000000000000010000001011000000_00100_00000000000000000000000000000010
+00000000000000010000001011000100_00101_00000000000000000000000000000010
+00000000000000010000001011001100_00111_11111111111100010000000000000000
+00000000000000010000001011010000_00111_11111111111100001111111111110000
+00000000000000010000001011010100_00011_00000000000000000000000000001010
+00000000000000010000001011011100_00100_00000000000000000000000000000000
+00000000000000010000001011100000_00001_00000000111111110000000000000000
+00000000000000010000001011100100_00001_00000000111111110000000011111111
+00000000000000010000001011101000_00010_00001111000011110001000000000000
+00000000000000010000001011101100_00010_00001111000011110000111100001111
+00000000000000010000001011110000_01110_00001111111111110000111111111111
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00000_00000000000000000000000000000000
+00000000000000010000001011111100_00110_00001111111111110000111111111111
+00000000000000010000001100000000_00100_00000000000000000000000000000001
+00000000000000010000001100000100_00101_00000000000000000000000000000010
+00000000000000010000001011100000_00001_00000000111111110000000000000000
+00000000000000010000001011100100_00001_00000000111111110000000011111111
+00000000000000010000001011101000_00010_00001111000011110001000000000000
+00000000000000010000001011101100_00010_00001111000011110000111100001111
+00000000000000010000001011110000_01110_00001111111111110000111111111111
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00000_00000000000000000000000000000000
+00000000000000010000001011111100_00110_00001111111111110000111111111111
+00000000000000010000001100000000_00100_00000000000000000000000000000010
+00000000000000010000001100000100_00101_00000000000000000000000000000010
+00000000000000010000001100001100_00111_00001111111111110001000000000000
+00000000000000010000001100010000_00111_00001111111111110000111111111111
+00000000000000010000001100010100_00011_00000000000000000000000000001011
+00000000000000010000001100011100_00100_00000000000000000000000000000000
+00000000000000010000001100100000_00001_11111111000000010000000000000000
+00000000000000010000001100100100_00001_11111111000000001111111100000000
+00000000000000010000001100101000_00010_00001111000011110001000000000000
+00000000000000010000001100101100_00010_00001111000011110000111100001111
+00000000000000010000001100110000_01110_11111111000011111111111100001111
+00000000000000010000001100110100_00100_00000000000000000000000000000001
+00000000000000010000001100111000_00101_00000000000000000000000000000010
+00000000000000010000001100100000_00001_11111111000000010000000000000000
+00000000000000010000001100100100_00001_11111111000000001111111100000000
+00000000000000010000001100101000_00010_00001111000011110001000000000000
+00000000000000010000001100101100_00010_00001111000011110000111100001111
+00000000000000010000001100110000_01110_11111111000011111111111100001111
+00000000000000010000001100110100_00100_00000000000000000000000000000010
+00000000000000010000001100111000_00101_00000000000000000000000000000010
+00000000000000010000001101000000_00111_11111111000100000000000000000000
+00000000000000010000001101000100_00111_11111111000011111111111100001111
+00000000000000010000001101001000_00011_00000000000000000000000000001100
+00000000000000010000001101010000_00100_00000000000000000000000000000000
+00000000000000010000001101010100_00001_00001111111100000001000000000000
+00000000000000010000001101011000_00001_00001111111100000000111111110000
+00000000000000010000001101011100_00010_11110000111100001111000000000000
+00000000000000010000001101100000_00010_11110000111100001111000011110000
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101000_01110_11111111111100001111111111110000
+00000000000000010000001101101100_00100_00000000000000000000000000000001
+00000000000000010000001101110000_00101_00000000000000000000000000000010
+00000000000000010000001101010100_00001_00001111111100000001000000000000
+00000000000000010000001101011000_00001_00001111111100000000111111110000
+00000000000000010000001101011100_00010_11110000111100001111000000000000
+00000000000000010000001101100000_00010_11110000111100001111000011110000
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101000_01110_11111111111100001111111111110000
+00000000000000010000001101101100_00100_00000000000000000000000000000010
+00000000000000010000001101110000_00101_00000000000000000000000000000010
+00000000000000010000001101111000_00111_11111111111100010000000000000000
+00000000000000010000001101111100_00111_11111111111100001111111111110000
+00000000000000010000001110000000_00011_00000000000000000000000000001101
+00000000000000010000001110001000_00100_00000000000000000000000000000000
+00000000000000010000001110001100_00001_00000000111111110000000000000000
+00000000000000010000001110010000_00001_00000000111111110000000011111111
+00000000000000010000001110010100_00010_00001111000011110001000000000000
+00000000000000010000001110011000_00010_00001111000011110000111100001111
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_01110_00001111111111110000111111111111
+00000000000000010000001110101000_00100_00000000000000000000000000000001
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110001100_00001_00000000111111110000000000000000
+00000000000000010000001110010000_00001_00000000111111110000000011111111
+00000000000000010000001110010100_00010_00001111000011110001000000000000
+00000000000000010000001110011000_00010_00001111000011110000111100001111
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_01110_00001111111111110000111111111111
+00000000000000010000001110101000_00100_00000000000000000000000000000010
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110110100_00111_00001111111111110001000000000000
+00000000000000010000001110111000_00111_00001111111111110000111111111111
+00000000000000010000001110111100_00011_00000000000000000000000000001110
+00000000000000010000001111000100_00100_00000000000000000000000000000000
+00000000000000010000001111001000_00001_11111111000000010000000000000000
+00000000000000010000001111001100_00001_11111111000000001111111100000000
+00000000000000010000001111010000_00000_00000000000000000000000000000000
+00000000000000010000001111010100_00010_00001111000011110001000000000000
+00000000000000010000001111011000_00010_00001111000011110000111100001111
+00000000000000010000001111011100_01110_11111111000011111111111100001111
+00000000000000010000001111100000_00100_00000000000000000000000000000001
+00000000000000010000001111100100_00101_00000000000000000000000000000010
+00000000000000010000001111001000_00001_11111111000000010000000000000000
+00000000000000010000001111001100_00001_11111111000000001111111100000000
+00000000000000010000001111010000_00000_00000000000000000000000000000000
+00000000000000010000001111010100_00010_00001111000011110001000000000000
+00000000000000010000001111011000_00010_00001111000011110000111100001111
+00000000000000010000001111011100_01110_11111111000011111111111100001111
+00000000000000010000001111100000_00100_00000000000000000000000000000010
+00000000000000010000001111100100_00101_00000000000000000000000000000010
+00000000000000010000001111101100_00111_11111111000100000000000000000000
+00000000000000010000001111110000_00111_11111111000011111111111100001111
+00000000000000010000001111110100_00011_00000000000000000000000000001111
+00000000000000010000001111111100_00100_00000000000000000000000000000000
+00000000000000010000010000000000_00001_00001111111100000001000000000000
+00000000000000010000010000000100_00001_00001111111100000000111111110000
+00000000000000010000010000001000_00000_00000000000000000000000000000000
+00000000000000010000010000001100_00010_11110000111100001111000000000000
+00000000000000010000010000010000_00010_11110000111100001111000011110000
+00000000000000010000010000010100_00000_00000000000000000000000000000000
+00000000000000010000010000011000_01110_11111111111100001111111111110000
+00000000000000010000010000011100_00100_00000000000000000000000000000001
+00000000000000010000010000100000_00101_00000000000000000000000000000010
+00000000000000010000010000000000_00001_00001111111100000001000000000000
+00000000000000010000010000000100_00001_00001111111100000000111111110000
+00000000000000010000010000001000_00000_00000000000000000000000000000000
+00000000000000010000010000001100_00010_11110000111100001111000000000000
+00000000000000010000010000010000_00010_11110000111100001111000011110000
+00000000000000010000010000010100_00000_00000000000000000000000000000000
+00000000000000010000010000011000_01110_11111111111100001111111111110000
+00000000000000010000010000011100_00100_00000000000000000000000000000010
+00000000000000010000010000100000_00101_00000000000000000000000000000010
+00000000000000010000010000101000_00111_11111111111100010000000000000000
+00000000000000010000010000101100_00111_11111111111100001111111111110000
+00000000000000010000010000110000_00011_00000000000000000000000000010000
+00000000000000010000010000111000_00100_00000000000000000000000000000000
+00000000000000010000010000111100_00001_00000000111111110000000000000000
+00000000000000010000010001000000_00001_00000000111111110000000011111111
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00000_00000000000000000000000000000000
+00000000000000010000010001001100_00010_00001111000011110001000000000000
+00000000000000010000010001010000_00010_00001111000011110000111100001111
+00000000000000010000010001010100_01110_00001111111111110000111111111111
+00000000000000010000010001011000_00100_00000000000000000000000000000001
+00000000000000010000010001011100_00101_00000000000000000000000000000010
+00000000000000010000010000111100_00001_00000000111111110000000000000000
+00000000000000010000010001000000_00001_00000000111111110000000011111111
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00000_00000000000000000000000000000000
+00000000000000010000010001001100_00010_00001111000011110001000000000000
+00000000000000010000010001010000_00010_00001111000011110000111100001111
+00000000000000010000010001010100_01110_00001111111111110000111111111111
+00000000000000010000010001011000_00100_00000000000000000000000000000010
+00000000000000010000010001011100_00101_00000000000000000000000000000010
+00000000000000010000010001100100_00111_00001111111111110001000000000000
+00000000000000010000010001101000_00111_00001111111111110000111111111111
+00000000000000010000010001101100_00011_00000000000000000000000000010001
+00000000000000010000010001110100_00100_00000000000000000000000000000000
+00000000000000010000010001111000_00010_00001111000011110001000000000000
+00000000000000010000010001111100_00010_00001111000011110000111100001111
+00000000000000010000010010000000_00001_11111111000000010000000000000000
+00000000000000010000010010000100_00001_11111111000000001111111100000000
+00000000000000010000010010001000_01110_11111111000011111111111100001111
+00000000000000010000010010001100_00100_00000000000000000000000000000001
+00000000000000010000010010010000_00101_00000000000000000000000000000010
+00000000000000010000010001111000_00010_00001111000011110001000000000000
+00000000000000010000010001111100_00010_00001111000011110000111100001111
+00000000000000010000010010000000_00001_11111111000000010000000000000000
+00000000000000010000010010000100_00001_11111111000000001111111100000000
+00000000000000010000010010001000_01110_11111111000011111111111100001111
+00000000000000010000010010001100_00100_00000000000000000000000000000010
+00000000000000010000010010010000_00101_00000000000000000000000000000010
+00000000000000010000010010011000_00111_11111111000100000000000000000000
+00000000000000010000010010011100_00111_11111111000011111111111100001111
+00000000000000010000010010100000_00011_00000000000000000000000000010010
+00000000000000010000010010101000_00100_00000000000000000000000000000000
+00000000000000010000010010101100_00010_11110000111100001111000000000000
+00000000000000010000010010110000_00010_11110000111100001111000011110000
+00000000000000010000010010110100_00001_00001111111100000001000000000000
+00000000000000010000010010111000_00001_00001111111100000000111111110000
+00000000000000010000010010111100_00000_00000000000000000000000000000000
+00000000000000010000010011000000_01110_11111111111100001111111111110000
+00000000000000010000010011000100_00100_00000000000000000000000000000001
+00000000000000010000010011001000_00101_00000000000000000000000000000010
+00000000000000010000010010101100_00010_11110000111100001111000000000000
+00000000000000010000010010110000_00010_11110000111100001111000011110000
+00000000000000010000010010110100_00001_00001111111100000001000000000000
+00000000000000010000010010111000_00001_00001111111100000000111111110000
+00000000000000010000010010111100_00000_00000000000000000000000000000000
+00000000000000010000010011000000_01110_11111111111100001111111111110000
+00000000000000010000010011000100_00100_00000000000000000000000000000010
+00000000000000010000010011001000_00101_00000000000000000000000000000010
+00000000000000010000010011010000_00111_11111111111100010000000000000000
+00000000000000010000010011010100_00111_11111111111100001111111111110000
+00000000000000010000010011011000_00011_00000000000000000000000000010011
+00000000000000010000010011100000_00100_00000000000000000000000000000000
+00000000000000010000010011100100_00010_00001111000011110001000000000000
+00000000000000010000010011101000_00010_00001111000011110000111100001111
+00000000000000010000010011101100_00001_00000000111111110000000000000000
+00000000000000010000010011110000_00001_00000000111111110000000011111111
+00000000000000010000010011110100_00000_00000000000000000000000000000000
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_01110_00001111111111110000111111111111
+00000000000000010000010100000000_00100_00000000000000000000000000000001
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010011100100_00010_00001111000011110001000000000000
+00000000000000010000010011101000_00010_00001111000011110000111100001111
+00000000000000010000010011101100_00001_00000000111111110000000000000000
+00000000000000010000010011110000_00001_00000000111111110000000011111111
+00000000000000010000010011110100_00000_00000000000000000000000000000000
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_01110_00001111111111110000111111111111
+00000000000000010000010100000000_00100_00000000000000000000000000000010
+00000000000000010000010100000100_00101_00000000000000000000000000000010
+00000000000000010000010100001100_00111_00001111111111110001000000000000
+00000000000000010000010100010000_00111_00001111111111110000111111111111
+00000000000000010000010100010100_00011_00000000000000000000000000010100
+00000000000000010000010100011100_00100_00000000000000000000000000000000
+00000000000000010000010100100000_00010_00001111000011110001000000000000
+00000000000000010000010100100100_00010_00001111000011110000111100001111
+00000000000000010000010100101000_00000_00000000000000000000000000000000
+00000000000000010000010100101100_00001_11111111000000010000000000000000
+00000000000000010000010100110000_00001_11111111000000001111111100000000
+00000000000000010000010100110100_01110_11111111000011111111111100001111
+00000000000000010000010100111000_00100_00000000000000000000000000000001
+00000000000000010000010100111100_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00010_00001111000011110001000000000000
+00000000000000010000010100100100_00010_00001111000011110000111100001111
+00000000000000010000010100101000_00000_00000000000000000000000000000000
+00000000000000010000010100101100_00001_11111111000000010000000000000000
+00000000000000010000010100110000_00001_11111111000000001111111100000000
+00000000000000010000010100110100_01110_11111111000011111111111100001111
+00000000000000010000010100111000_00100_00000000000000000000000000000010
+00000000000000010000010100111100_00101_00000000000000000000000000000010
+00000000000000010000010101000100_00111_11111111000100000000000000000000
+00000000000000010000010101001000_00111_11111111000011111111111100001111
+00000000000000010000010101001100_00011_00000000000000000000000000010101
+00000000000000010000010101010100_00100_00000000000000000000000000000000
+00000000000000010000010101011000_00010_11110000111100001111000000000000
+00000000000000010000010101011100_00010_11110000111100001111000011110000
+00000000000000010000010101100000_00000_00000000000000000000000000000000
+00000000000000010000010101100100_00001_00001111111100000001000000000000
+00000000000000010000010101101000_00001_00001111111100000000111111110000
+00000000000000010000010101101100_00000_00000000000000000000000000000000
+00000000000000010000010101110000_01110_11111111111100001111111111110000
+00000000000000010000010101110100_00100_00000000000000000000000000000001
+00000000000000010000010101111000_00101_00000000000000000000000000000010
+00000000000000010000010101011000_00010_11110000111100001111000000000000
+00000000000000010000010101011100_00010_11110000111100001111000011110000
+00000000000000010000010101100000_00000_00000000000000000000000000000000
+00000000000000010000010101100100_00001_00001111111100000001000000000000
+00000000000000010000010101101000_00001_00001111111100000000111111110000
+00000000000000010000010101101100_00000_00000000000000000000000000000000
+00000000000000010000010101110000_01110_11111111111100001111111111110000
+00000000000000010000010101110100_00100_00000000000000000000000000000010
+00000000000000010000010101111000_00101_00000000000000000000000000000010
+00000000000000010000010110000000_00111_11111111111100010000000000000000
+00000000000000010000010110000100_00111_11111111111100001111111111110000
+00000000000000010000010110001000_00011_00000000000000000000000000010110
+00000000000000010000010110010000_00100_00000000000000000000000000000000
+00000000000000010000010110010100_00010_00001111000011110001000000000000
+00000000000000010000010110011000_00010_00001111000011110000111100001111
+00000000000000010000010110011100_00000_00000000000000000000000000000000
+00000000000000010000010110100000_00000_00000000000000000000000000000000
+00000000000000010000010110100100_00001_00000000111111110000000000000000
+00000000000000010000010110101000_00001_00000000111111110000000011111111
+00000000000000010000010110101100_01110_00001111111111110000111111111111
+00000000000000010000010110110000_00100_00000000000000000000000000000001
+00000000000000010000010110110100_00101_00000000000000000000000000000010
+00000000000000010000010110010100_00010_00001111000011110001000000000000
+00000000000000010000010110011000_00010_00001111000011110000111100001111
+00000000000000010000010110011100_00000_00000000000000000000000000000000
+00000000000000010000010110100000_00000_00000000000000000000000000000000
+00000000000000010000010110100100_00001_00000000111111110000000000000000
+00000000000000010000010110101000_00001_00000000111111110000000011111111
+00000000000000010000010110101100_01110_00001111111111110000111111111111
+00000000000000010000010110110000_00100_00000000000000000000000000000010
+00000000000000010000010110110100_00101_00000000000000000000000000000010
+00000000000000010000010110111100_00111_00001111111111110001000000000000
+00000000000000010000010111000000_00111_00001111111111110000111111111111
+00000000000000010000010111000100_00011_00000000000000000000000000010111
+00000000000000010000010111001100_00001_11111111000000010000000000000000
+00000000000000010000010111010000_00001_11111111000000001111111100000000
+00000000000000010000010111010100_00010_11111111000000001111111100000000
+00000000000000010000010111011000_00111_11111111000000010000000000000000
+00000000000000010000010111011100_00111_11111111000000001111111100000000
+00000000000000010000010111100000_00011_00000000000000000000000000011000
+00000000000000010000010111101000_00001_00000000111111110000000000000000
+00000000000000010000010111101100_00001_00000000111111110000000011111111
+00000000000000010000010111110000_00010_00000000111111110000000011111111
+00000000000000010000010111110100_00111_00000000111111110000000000000000
+00000000000000010000010111111000_00111_00000000111111110000000011111111
+00000000000000010000010111111100_00011_00000000000000000000000000011001
+00000000000000010000011000000100_00001_00000000000000000000000000000000
+00000000000000010000011000001000_00111_00000000000000000000000000000000
+00000000000000010000011000001100_00011_00000000000000000000000000011010
+00000000000000010000011000010100_00001_00010001000100010001000000000000
+00000000000000010000011000011000_00001_00010001000100010001000100010001
+00000000000000010000011000011100_00010_00100010001000100010000000000000
+00000000000000010000011000100000_00010_00100010001000100010001000100010
+00000000000000010000011000100100_00000_00110011001100110011001100110011
+00000000000000010000011000101000_00111_00000000000000000000000000000000
+00000000000000010000011000101100_00011_00000000000000000000000000011011
+00000000000000010000011001011000_00011_00000000000000000000000000000001
+00000000000000010000011001011100_10001_00000000000000000000000001011101
+00000000000000010000011001100000_01010_00000000000000000000000000000000
+00000000000000010000011001100000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/or/dmem.coe b/verilog/dv/test_c0/coe/or/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/or/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/or/imem.coe b/verilog/dv/test_c0/coe/or/imem.coe
new file mode 100644
index 0000000..a4d3aef
--- /dev/null
+++ b/verilog/dv/test_c0/coe/or/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020E733,
+FF1003B7,
+F0F38393,
+00200193,
+4A771263,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+0020E733,
+FFF103B7,
+FF038393,
+00300193,
+48771063,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+0020E733,
+0FFF13B7,
+FFF38393,
+00400193,
+44771E63,
+F00FF0B7,
+00F08093,
+F0F0F137,
+0F010113,
+0020E733,
+F0FFF3B7,
+0FF38393,
+00500193,
+42771C63,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020E0B3,
+FF1003B7,
+F0F38393,
+00600193,
+40709A63,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020E133,
+FF1003B7,
+F0F38393,
+00700193,
+3E711863,
+FF0100B7,
+F0008093,
+0010E0B3,
+FF0103B7,
+F0038393,
+00800193,
+3C709A63,
+00000213,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020E733,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+FF1003B7,
+F0F38393,
+00900193,
+38731E63,
+00000213,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+0020E733,
+00000013,
+00070313,
+00120213,
+00200293,
+FC521EE3,
+FFF103B7,
+FF038393,
+00A00193,
+36731063,
+00000213,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+0020E733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FC521CE3,
+0FFF13B7,
+FFF38393,
+00B00193,
+32731063,
+00000213,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020E733,
+00120213,
+00200293,
+FE5212E3,
+FF1003B7,
+F0F38393,
+00C00193,
+2E771663,
+00000213,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+00000013,
+0020E733,
+00120213,
+00200293,
+FE5210E3,
+FFF103B7,
+FF038393,
+00D00193,
+2A771A63,
+00000213,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+00000013,
+00000013,
+0020E733,
+00120213,
+00200293,
+FC521EE3,
+0FFF13B7,
+FFF38393,
+00E00193,
+26771C63,
+00000213,
+FF0100B7,
+F0008093,
+00000013,
+0F0F1137,
+F0F10113,
+0020E733,
+00120213,
+00200293,
+FE5210E3,
+FF1003B7,
+F0F38393,
+00F00193,
+24771063,
+00000213,
+0FF010B7,
+FF008093,
+00000013,
+F0F0F137,
+0F010113,
+00000013,
+0020E733,
+00120213,
+00200293,
+FC521EE3,
+FFF103B7,
+FF038393,
+01000193,
+20771263,
+00000213,
+00FF00B7,
+0FF08093,
+00000013,
+00000013,
+0F0F1137,
+F0F10113,
+0020E733,
+00120213,
+00200293,
+FC521EE3,
+0FFF13B7,
+FFF38393,
+01100193,
+1C771463,
+00000213,
+0F0F1137,
+F0F10113,
+FF0100B7,
+F0008093,
+0020E733,
+00120213,
+00200293,
+FE5212E3,
+FF1003B7,
+F0F38393,
+01200193,
+18771A63,
+00000213,
+F0F0F137,
+0F010113,
+0FF010B7,
+FF008093,
+00000013,
+0020E733,
+00120213,
+00200293,
+FE5210E3,
+FFF103B7,
+FF038393,
+01300193,
+14771E63,
+00000213,
+0F0F1137,
+F0F10113,
+00FF00B7,
+0FF08093,
+00000013,
+00000013,
+0020E733,
+00120213,
+00200293,
+FC521EE3,
+0FFF13B7,
+FFF38393,
+01400193,
+12771063,
+00000213,
+0F0F1137,
+F0F10113,
+00000013,
+FF0100B7,
+F0008093,
+0020E733,
+00120213,
+00200293,
+FE5210E3,
+FF1003B7,
+F0F38393,
+01500193,
+0E771463,
+00000213,
+F0F0F137,
+0F010113,
+00000013,
+0FF010B7,
+FF008093,
+00000013,
+0020E733,
+00120213,
+00200293,
+FC521EE3,
+FFF103B7,
+FF038393,
+01600193,
+0A771663,
+00000213,
+0F0F1137,
+F0F10113,
+00000013,
+00000013,
+00FF00B7,
+0FF08093,
+0020E733,
+00120213,
+00200293,
+FC521EE3,
+0FFF13B7,
+FFF38393,
+01700193,
+06771863,
+FF0100B7,
+F0008093,
+00106133,
+FF0103B7,
+F0038393,
+01800193,
+04711A63,
+00FF00B7,
+0FF08093,
+0000E133,
+00FF03B7,
+0FF38393,
+01900193,
+02711C63,
+000060B3,
+00000393,
+01A00193,
+02709463,
+111110B7,
+11108093,
+22222137,
+22210113,
+0020E033,
+00000393,
+01B00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/ori/cekirdek_dy.txt b/verilog/dv/test_c0/coe/ori/cekirdek_dy.txt
new file mode 100644
index 0000000..19598f4
--- /dev/null
+++ b/verilog/dv/test_c0/coe/ori/cekirdek_dy.txt
@@ -0,0 +1,393 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001110011100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001010001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001011001100011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001110011100010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100111000001110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001100001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001101001100011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110000010010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011100001001110001100011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011100110001010001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001110011100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001110011100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100111000001110010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011100110001101001100011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011100110001111001100011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001100001100011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001110011100010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001110011100010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001001001100011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001110011100010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001100001100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000110000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000000001110010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001110000000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001101110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001101111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001110000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/ori/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/ori/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..e2737bb
--- /dev/null
+++ b/verilog/dv/test_c0/coe/ori/cekirdek_ps_bin.txt
@@ -0,0 +1,236 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111000
diff --git a/verilog/dv/test_c0/coe/ori/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/ori/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..677cf29
--- /dev/null
+++ b/verilog/dv/test_c0/coe/ori/cekirdek_ps_hex.txt
@@ -0,0 +1,236 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+0001036c
+00010370
+00010374
+00010378
+00010378
diff --git a/verilog/dv/test_c0/coe/ori/cekirdek_yo.txt b/verilog/dv/test_c0/coe/ori/cekirdek_yo.txt
new file mode 100644
index 0000000..b1214ff
--- /dev/null
+++ b/verilog/dv/test_c0/coe/ori/cekirdek_yo.txt
@@ -0,0 +1,191 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_11111111000000010000000000000000
+00000000000000010000000101111000_00001_11111111000000001111111100000000
+00000000000000010000000101111100_01110_11111111111111111111111100001111
+00000000000000010000000110000000_00111_11111111111111111111111100001111
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00001111111100000001000000000000
+00000000000000010000000110010000_00001_00001111111100000000111111110000
+00000000000000010000000110010100_01110_00001111111100000000111111110000
+00000000000000010000000110011000_00111_00001111111100000001000000000000
+00000000000000010000000110011100_00111_00001111111100000000111111110000
+00000000000000010000000110100000_00011_00000000000000000000000000000011
+00000000000000010000000110101000_00001_00000000111111110000000000000000
+00000000000000010000000110101100_00001_00000000111111110000000011111111
+00000000000000010000000110110000_01110_00000000111111110000011111111111
+00000000000000010000000110110100_00111_00000000111111110000000000000000
+00000000000000010000000110111000_00111_00000000111111110000011111111111
+00000000000000010000000110111100_00011_00000000000000000000000000000100
+00000000000000010000000111000100_00001_11110000000011111111000000000000
+00000000000000010000000111001000_00001_11110000000011111111000000001111
+00000000000000010000000111001100_01110_11110000000011111111000011111111
+00000000000000010000000111010000_00111_11110000000011111111000000000000
+00000000000000010000000111010100_00111_11110000000011111111000011111111
+00000000000000010000000111011000_00011_00000000000000000000000000000101
+00000000000000010000000111100000_00001_11111111000000010000000000000000
+00000000000000010000000111100100_00001_11111111000000001111111100000000
+00000000000000010000000111101000_00001_11111111000000001111111111110000
+00000000000000010000000111101100_00111_11111111000000010000000000000000
+00000000000000010000000111110000_00111_11111111000000001111111111110000
+00000000000000010000000111110100_00011_00000000000000000000000000000110
+00000000000000010000000111111100_00100_00000000000000000000000000000000
+00000000000000010000001000000000_00001_00001111111100000001000000000000
+00000000000000010000001000000100_00001_00001111111100000000111111110000
+00000000000000010000001000001000_01110_00001111111100000000111111110000
+00000000000000010000001000001100_00110_00001111111100000000111111110000
+00000000000000010000001000010000_00100_00000000000000000000000000000001
+00000000000000010000001000010100_00101_00000000000000000000000000000010
+00000000000000010000001000000000_00001_00001111111100000001000000000000
+00000000000000010000001000000100_00001_00001111111100000000111111110000
+00000000000000010000001000001000_01110_00001111111100000000111111110000
+00000000000000010000001000001100_00110_00001111111100000000111111110000
+00000000000000010000001000010000_00100_00000000000000000000000000000010
+00000000000000010000001000010100_00101_00000000000000000000000000000010
+00000000000000010000001000011100_00111_00001111111100000001000000000000
+00000000000000010000001000100000_00111_00001111111100000000111111110000
+00000000000000010000001000100100_00011_00000000000000000000000000000111
+00000000000000010000001000101100_00100_00000000000000000000000000000000
+00000000000000010000001000110000_00001_00000000111111110000000000000000
+00000000000000010000001000110100_00001_00000000111111110000000011111111
+00000000000000010000001000111000_01110_00000000111111110000011111111111
+00000000000000010000001000111100_00000_00000000000000000000000000000000
+00000000000000010000001001000000_00110_00000000111111110000011111111111
+00000000000000010000001001000100_00100_00000000000000000000000000000001
+00000000000000010000001001001000_00101_00000000000000000000000000000010
+00000000000000010000001000110000_00001_00000000111111110000000000000000
+00000000000000010000001000110100_00001_00000000111111110000000011111111
+00000000000000010000001000111000_01110_00000000111111110000011111111111
+00000000000000010000001000111100_00000_00000000000000000000000000000000
+00000000000000010000001001000000_00110_00000000111111110000011111111111
+00000000000000010000001001000100_00100_00000000000000000000000000000010
+00000000000000010000001001001000_00101_00000000000000000000000000000010
+00000000000000010000001001010000_00111_00000000111111110000000000000000
+00000000000000010000001001010100_00111_00000000111111110000011111111111
+00000000000000010000001001011000_00011_00000000000000000000000000001000
+00000000000000010000001001100000_00100_00000000000000000000000000000000
+00000000000000010000001001100100_00001_11110000000011111111000000000000
+00000000000000010000001001101000_00001_11110000000011111111000000001111
+00000000000000010000001001101100_01110_11110000000011111111000011111111
+00000000000000010000001001110000_00000_00000000000000000000000000000000
+00000000000000010000001001110100_00000_00000000000000000000000000000000
+00000000000000010000001001111000_00110_11110000000011111111000011111111
+00000000000000010000001001111100_00100_00000000000000000000000000000001
+00000000000000010000001010000000_00101_00000000000000000000000000000010
+00000000000000010000001001100100_00001_11110000000011111111000000000000
+00000000000000010000001001101000_00001_11110000000011111111000000001111
+00000000000000010000001001101100_01110_11110000000011111111000011111111
+00000000000000010000001001110000_00000_00000000000000000000000000000000
+00000000000000010000001001110100_00000_00000000000000000000000000000000
+00000000000000010000001001111000_00110_11110000000011111111000011111111
+00000000000000010000001001111100_00100_00000000000000000000000000000010
+00000000000000010000001010000000_00101_00000000000000000000000000000010
+00000000000000010000001010001000_00111_11110000000011111111000000000000
+00000000000000010000001010001100_00111_11110000000011111111000011111111
+00000000000000010000001010010000_00011_00000000000000000000000000001001
+00000000000000010000001010011000_00100_00000000000000000000000000000000
+00000000000000010000001010011100_00001_00001111111100000001000000000000
+00000000000000010000001010100000_00001_00001111111100000000111111110000
+00000000000000010000001010100100_01110_00001111111100000000111111110000
+00000000000000010000001010101000_00100_00000000000000000000000000000001
+00000000000000010000001010101100_00101_00000000000000000000000000000010
+00000000000000010000001010011100_00001_00001111111100000001000000000000
+00000000000000010000001010100000_00001_00001111111100000000111111110000
+00000000000000010000001010100100_01110_00001111111100000000111111110000
+00000000000000010000001010101000_00100_00000000000000000000000000000010
+00000000000000010000001010101100_00101_00000000000000000000000000000010
+00000000000000010000001010110100_00111_00001111111100000001000000000000
+00000000000000010000001010111000_00111_00001111111100000000111111110000
+00000000000000010000001010111100_00011_00000000000000000000000000001010
+00000000000000010000001011000100_00100_00000000000000000000000000000000
+00000000000000010000001011001000_00001_00000000111111110000000000000000
+00000000000000010000001011001100_00001_00000000111111110000000011111111
+00000000000000010000001011010000_00000_00000000000000000000000000000000
+00000000000000010000001011010100_01110_11111111111111111111111111111111
+00000000000000010000001011011000_00100_00000000000000000000000000000001
+00000000000000010000001011011100_00101_00000000000000000000000000000010
+00000000000000010000001011001000_00001_00000000111111110000000000000000
+00000000000000010000001011001100_00001_00000000111111110000000011111111
+00000000000000010000001011010000_00000_00000000000000000000000000000000
+00000000000000010000001011010100_01110_11111111111111111111111111111111
+00000000000000010000001011011000_00100_00000000000000000000000000000010
+00000000000000010000001011011100_00101_00000000000000000000000000000010
+00000000000000010000001011100100_00111_11111111111111111111111111111111
+00000000000000010000001011101000_00011_00000000000000000000000000001011
+00000000000000010000001011110000_00100_00000000000000000000000000000000
+00000000000000010000001011110100_00001_11110000000011111111000000000000
+00000000000000010000001011111000_00001_11110000000011111111000000001111
+00000000000000010000001011111100_00000_00000000000000000000000000000000
+00000000000000010000001100000000_00000_00000000000000000000000000000000
+00000000000000010000001100000100_01110_11110000000011111111000011111111
+00000000000000010000001100001000_00100_00000000000000000000000000000001
+00000000000000010000001100001100_00101_00000000000000000000000000000010
+00000000000000010000001011110100_00001_11110000000011111111000000000000
+00000000000000010000001011111000_00001_11110000000011111111000000001111
+00000000000000010000001011111100_00000_00000000000000000000000000000000
+00000000000000010000001100000000_00000_00000000000000000000000000000000
+00000000000000010000001100000100_01110_11110000000011111111000011111111
+00000000000000010000001100001000_00100_00000000000000000000000000000010
+00000000000000010000001100001100_00101_00000000000000000000000000000010
+00000000000000010000001100010100_00111_11110000000011111111000000000000
+00000000000000010000001100011000_00111_11110000000011111111000011111111
+00000000000000010000001100011100_00011_00000000000000000000000000001100
+00000000000000010000001100100100_00001_00000000000000000000000011110000
+00000000000000010000001100101000_00111_00000000000000000000000011110000
+00000000000000010000001100101100_00011_00000000000000000000000000001101
+00000000000000010000001100110100_00001_00000000111111110000000000000000
+00000000000000010000001100111000_00001_00000000111111110000000011111111
+00000000000000010000001100111100_00000_00000000111111110000011111111111
+00000000000000010000001101000000_00111_00000000000000000000000000000000
+00000000000000010000001101000100_00011_00000000000000000000000000001110
+00000000000000010000001101110000_00011_00000000000000000000000000000001
+00000000000000010000001101110100_10001_00000000000000000000000001011101
+00000000000000010000001101111000_01010_00000000000000000000000000000000
+00000000000000010000001101111000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/ori/dmem.coe b/verilog/dv/test_c0/coe/ori/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/ori/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/ori/imem.coe b/verilog/dv/test_c0/coe/ori/imem.coe
new file mode 100644
index 0000000..5600878
--- /dev/null
+++ b/verilog/dv/test_c0/coe/ori/imem.coe
@@ -0,0 +1,241 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+FF0100B7,
+F0008093,
+F0F0E713,
+F0F00393,
+00200193,
+1C771463,
+0FF010B7,
+FF008093,
+0F00E713,
+0FF013B7,
+FF038393,
+00300193,
+1A771663,
+00FF00B7,
+0FF08093,
+70F0E713,
+00FF03B7,
+7FF38393,
+00400193,
+18771863,
+F00FF0B7,
+00F08093,
+0F00E713,
+F00FF3B7,
+0FF38393,
+00500193,
+16771A63,
+FF0100B7,
+F0008093,
+0F00E093,
+FF0103B7,
+FF038393,
+00600193,
+14709C63,
+00000213,
+0FF010B7,
+FF008093,
+0F00E713,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+0FF013B7,
+FF038393,
+00700193,
+12731463,
+00000213,
+00FF00B7,
+0FF08093,
+70F0E713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+00FF03B7,
+7FF38393,
+00800193,
+0E731A63,
+00000213,
+F00FF0B7,
+00F08093,
+0F00E713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+F00FF3B7,
+0FF38393,
+00900193,
+0A731E63,
+00000213,
+0FF010B7,
+FF008093,
+0F00E713,
+00120213,
+00200293,
+FE5216E3,
+0FF013B7,
+FF038393,
+00A00193,
+08771863,
+00000213,
+00FF00B7,
+0FF08093,
+00000013,
+F0F0E713,
+00120213,
+00200293,
+FE5214E3,
+FFF00393,
+00B00193,
+06771263,
+00000213,
+F00FF0B7,
+00F08093,
+00000013,
+00000013,
+0F00E713,
+00120213,
+00200293,
+FE5212E3,
+F00FF3B7,
+0FF38393,
+00C00193,
+02771863,
+0F006093,
+0F000393,
+00D00193,
+02709063,
+00FF00B7,
+0FF08093,
+70F0E013,
+00000393,
+00E00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/rem/cekirdek_dy.txt b/verilog/dv/test_c0/coe/rem/cekirdek_dy.txt
new file mode 100644
index 0000000..7a21342
--- /dev/null
+++ b/verilog/dv/test_c0/coe/rem/cekirdek_dy.txt
@@ -0,0 +1,419 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011101110001010001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001100001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111101000000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001110001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111101000000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001000001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001010001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001100001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001110001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001000001100011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001110011100110011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011101110001010001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001001110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001001111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001010000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/rem/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/rem/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..ba55d22
--- /dev/null
+++ b/verilog/dv/test_c0/coe/rem/cekirdek_ps_bin.txt
@@ -0,0 +1,127 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111000
diff --git a/verilog/dv/test_c0/coe/rem/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/rem/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..937f7ce
--- /dev/null
+++ b/verilog/dv/test_c0/coe/rem/cekirdek_ps_hex.txt
@@ -0,0 +1,127 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+0001026c
+00010270
+00010274
+00010278
+00010278
diff --git a/verilog/dv/test_c0/coe/rem/cekirdek_yo.txt b/verilog/dv/test_c0/coe/rem/cekirdek_yo.txt
new file mode 100644
index 0000000..0a49de0
--- /dev/null
+++ b/verilog/dv/test_c0/coe/rem/cekirdek_yo.txt
@@ -0,0 +1,98 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000010100
+00000000000000010000000101111000_00010_00000000000000000000000000000110
+00000000000000010000000101111100_01110_00000000000000000000000000000010
+00000000000000010000000110000000_00111_00000000000000000000000000000010
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_11111111111111111111111111101100
+00000000000000010000000110010000_00010_00000000000000000000000000000110
+00000000000000010000000110010100_01110_11111111111111111111111111111110
+00000000000000010000000110011000_00111_11111111111111111111111111111110
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000010100
+00000000000000010000000110101000_00010_11111111111111111111111111111010
+00000000000000010000000110101100_01110_00000000000000000000000000000010
+00000000000000010000000110110000_00111_00000000000000000000000000000010
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_11111111111111111111111111101100
+00000000000000010000000111000000_00010_11111111111111111111111111111010
+00000000000000010000000111000100_01110_11111111111111111111111111111110
+00000000000000010000000111001000_00111_11111111111111111111111111111110
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00010_00000000000000000000000000000001
+00000000000000010000000111011100_01110_00000000000000000000000000000000
+00000000000000010000000111100000_00111_00000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_11111111111111111111111111111111
+00000000000000010000000111110100_01110_00000000000000000000000000000000
+00000000000000010000000111111000_00111_00000000000000000000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_10000000000000000000000000000000
+00000000000000010000001000001000_00010_00000000000000000000000000000000
+00000000000000010000001000001100_01110_10000000000000000000000000000000
+00000000000000010000001000010000_00111_10000000000000000000000000000000
+00000000000000010000001000010100_00011_00000000000000000000000000001000
+00000000000000010000001000011100_00001_00000000000000000000000000000001
+00000000000000010000001000100000_00010_00000000000000000000000000000000
+00000000000000010000001000100100_01110_00000000000000000000000000000001
+00000000000000010000001000101000_00111_00000000000000000000000000000001
+00000000000000010000001000101100_00011_00000000000000000000000000001001
+00000000000000010000001000110100_00001_00000000000000000000000000000000
+00000000000000010000001000111000_00010_00000000000000000000000000000000
+00000000000000010000001000111100_01110_00000000000000000000000000000000
+00000000000000010000001001000000_00111_00000000000000000000000000000000
+00000000000000010000001001000100_00011_00000000000000000000000000001010
+00000000000000010000001001110000_00011_00000000000000000000000000000001
+00000000000000010000001001110100_10001_00000000000000000000000001011101
+00000000000000010000001001111000_01010_00000000000000000000000000000000
+00000000000000010000001001111000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/rem/dmem.coe b/verilog/dv/test_c0/coe/rem/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/rem/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/rem/imem.coe b/verilog/dv/test_c0/coe/rem/imem.coe
new file mode 100644
index 0000000..c58d9a7
--- /dev/null
+++ b/verilog/dv/test_c0/coe/rem/imem.coe
@@ -0,0 +1,177 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+01400093,
+00600113,
+0220E733,
+00200393,
+00200193,
+0C771463,
+FEC00093,
+00600113,
+0220E733,
+FFE00393,
+00300193,
+0A771863,
+01400093,
+FFA00113,
+0220E733,
+00200393,
+00400193,
+08771C63,
+FEC00093,
+FFA00113,
+0220E733,
+FFE00393,
+00500193,
+08771063,
+800000B7,
+00100113,
+0220E733,
+00000393,
+00600193,
+06771463,
+800000B7,
+FFF00113,
+0220E733,
+00000393,
+00700193,
+04771863,
+800000B7,
+00000113,
+0220E733,
+800003B7,
+00800193,
+02771C63,
+00100093,
+00000113,
+0220E733,
+00100393,
+00900193,
+02771063,
+00000093,
+00000113,
+0220E733,
+00000393,
+00A00193,
+00771463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/remu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/remu/cekirdek_dy.txt
new file mode 100644
index 0000000..58ae35e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/remu/cekirdek_dy.txt
@@ -0,0 +1,419 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011101110001010001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001100001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111101000000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001110001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111101000000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110110000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001000001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001010001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001100001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001110001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001000001100011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000001111011100110011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011101110001010001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001001110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001001111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001010000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/remu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/remu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..ba55d22
--- /dev/null
+++ b/verilog/dv/test_c0/coe/remu/cekirdek_ps_bin.txt
@@ -0,0 +1,127 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111000
diff --git a/verilog/dv/test_c0/coe/remu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/remu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..937f7ce
--- /dev/null
+++ b/verilog/dv/test_c0/coe/remu/cekirdek_ps_hex.txt
@@ -0,0 +1,127 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+0001026c
+00010270
+00010274
+00010278
+00010278
diff --git a/verilog/dv/test_c0/coe/remu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/remu/cekirdek_yo.txt
new file mode 100644
index 0000000..5de7f47
--- /dev/null
+++ b/verilog/dv/test_c0/coe/remu/cekirdek_yo.txt
@@ -0,0 +1,98 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000010100
+00000000000000010000000101111000_00010_00000000000000000000000000000110
+00000000000000010000000101111100_01110_00000000000000000000000000000010
+00000000000000010000000110000000_00111_00000000000000000000000000000010
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_11111111111111111111111111101100
+00000000000000010000000110010000_00010_00000000000000000000000000000110
+00000000000000010000000110010100_01110_00000000000000000000000000000010
+00000000000000010000000110011000_00111_00000000000000000000000000000010
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000010100
+00000000000000010000000110101000_00010_11111111111111111111111111111010
+00000000000000010000000110101100_01110_00000000000000000000000000010100
+00000000000000010000000110110000_00111_00000000000000000000000000010100
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_11111111111111111111111111101100
+00000000000000010000000111000000_00010_11111111111111111111111111111010
+00000000000000010000000111000100_01110_11111111111111111111111111101100
+00000000000000010000000111001000_00111_11111111111111111111111111101100
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00010_00000000000000000000000000000001
+00000000000000010000000111011100_01110_00000000000000000000000000000000
+00000000000000010000000111100000_00111_00000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_11111111111111111111111111111111
+00000000000000010000000111110100_01110_10000000000000000000000000000000
+00000000000000010000000111111000_00111_10000000000000000000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_10000000000000000000000000000000
+00000000000000010000001000001000_00010_00000000000000000000000000000000
+00000000000000010000001000001100_01110_10000000000000000000000000000000
+00000000000000010000001000010000_00111_10000000000000000000000000000000
+00000000000000010000001000010100_00011_00000000000000000000000000001000
+00000000000000010000001000011100_00001_00000000000000000000000000000001
+00000000000000010000001000100000_00010_00000000000000000000000000000000
+00000000000000010000001000100100_01110_00000000000000000000000000000001
+00000000000000010000001000101000_00111_00000000000000000000000000000001
+00000000000000010000001000101100_00011_00000000000000000000000000001001
+00000000000000010000001000110100_00001_00000000000000000000000000000000
+00000000000000010000001000111000_00010_00000000000000000000000000000000
+00000000000000010000001000111100_01110_00000000000000000000000000000000
+00000000000000010000001001000000_00111_00000000000000000000000000000000
+00000000000000010000001001000100_00011_00000000000000000000000000001010
+00000000000000010000001001110000_00011_00000000000000000000000000000001
+00000000000000010000001001110100_10001_00000000000000000000000001011101
+00000000000000010000001001111000_01010_00000000000000000000000000000000
+00000000000000010000001001111000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/remu/dmem.coe b/verilog/dv/test_c0/coe/remu/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/remu/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/remu/imem.coe b/verilog/dv/test_c0/coe/remu/imem.coe
new file mode 100644
index 0000000..89e97d8
--- /dev/null
+++ b/verilog/dv/test_c0/coe/remu/imem.coe
@@ -0,0 +1,177 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+01400093,
+00600113,
+0220F733,
+00200393,
+00200193,
+0C771463,
+FEC00093,
+00600113,
+0220F733,
+00200393,
+00300193,
+0A771863,
+01400093,
+FFA00113,
+0220F733,
+01400393,
+00400193,
+08771C63,
+FEC00093,
+FFA00113,
+0220F733,
+FEC00393,
+00500193,
+08771063,
+800000B7,
+00100113,
+0220F733,
+00000393,
+00600193,
+06771463,
+800000B7,
+FFF00113,
+0220F733,
+800003B7,
+00700193,
+04771863,
+800000B7,
+00000113,
+0220F733,
+800003B7,
+00800193,
+02771C63,
+00100093,
+00000113,
+0220F733,
+00100393,
+00900193,
+02771063,
+00000093,
+00000113,
+0220F733,
+00000393,
+00A00193,
+00771463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sb/cekirdek_dy.txt b/verilog/dv/test_c0/coe/sb/cekirdek_dy.txt
new file mode 100644
index 0000000..f524c14
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sb/cekirdek_dy.txt
@@ -0,0 +1,992 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101000110000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111010101000000000000100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001000000000100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000011100000011_00000000000000010000000110000100_00000000000000000000000000000000_0_1_1_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111010101000000000001110010011_00000000000000010000000110001000_11101111111011111110111110101010_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110001100_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111100011101110001110001100011_00000000000000010000000110010000_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000110010100_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11100110110000001000000010010011_00000000000000010000000110011000_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000110011100_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000001000000010100011_00000000000000010000000110100000_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100001000011100000011_00000000000000010000000110100100_11101111111011111110111110101010_0_1_1_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_0_0_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_0_0_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_0_0_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_0_0_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011111110111110101010_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000000000000000001110010011_00000000000000010000000110101000_11101111111011110000000010101010_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001100000000000110010011_00000000000000010000000110101100_11101111111011110000000010101010_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111010011101110001110001100011_00000000000000010000000110110000_11101111111011110000000010101010_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00111111111111110000000010010111_00000000000000010000000110110100_11101111111011110000000010101010_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11100100110000001000000010010011_00000000000000010000000110111000_11101111111011110000000010101010_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111111111111111111000100110111_00000000000000010000000110111100_11101111111011110000000010101010_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_11111010000000010000000100010011_00000000000000010000000111000000_11101111111011110000000010101010_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000001000000100100011_00000000000000010000000111000100_11101111111011110000000010101010_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000001
+1_00000000001000001001011100000011_00000000000000010000000111001000_11101111111011110000000010101010_0_1_1_0_11111111111111111110111110100000_0001_1_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_0_0_0_11111111111111111110111110100000_0001_1_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_0_0_0_11111111111111111110111110100000_0001_1_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_0_0_0_11111111111111111110111110100000_0001_1_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_0_0_0_11111111111111111110111110100000_0001_1_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_1_0_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_0_0_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_0_0_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_0_0_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_0_0_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111111011110000000010101010_0_1_0_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_11111111111111111111001110110111_00000000000000010000000111001100_11101111101000000000000010101010_1_1_1_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_11111010000000111000001110010011_00000000000000010000000111010000_11101111101000000000000010101010_0_1_1_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_00000000010000000000000110010011_00000000000000010000000111010100_11101111101000000000000010101010_0_1_1_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_00111000011101110001100001100011_00000000000000010000000111011000_11101111101000000000000010101010_0_1_1_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000000111011100_11101111101000000000000010101010_0_1_1_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_11100010010000001000000010010011_00000000000000010000000111100000_11101111101000000000000010101010_0_1_1_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_00000000101000000000000100010011_00000000000000010000000111100100_11101111101000000000000010101010_0_1_1_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_00000000001000001000000110100011_00000000000000010000000111101000_11101111101000000000000010101010_0_1_1_1_11111111111111111110111110100000_0011_0_01000000000000000000000000000010
+1_00000000001100001000011100000011_00000000000000010000000111101100_11101111101000000000000010101010_0_1_1_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_0_0_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_0_0_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_0_0_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_0_0_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_1_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_0_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_11101111101000000000000010101010_0_1_0_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000101000000000001110010011_00000000000000010000000111110000_00001010101000000000000010101010_1_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00000000010100000000000110010011_00000000000000010000000111110100_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00110110011101110001100001100011_00000000000000010000000111111000_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_00111111111111110000000010010111_00000000000000010000000111111100_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11100000101100001000000010010011_00000000000000010000001000000000_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11111010101000000000000100010011_00000000000000010000001000000100_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11111110001000001000111010100011_00000000000000010000001000001000_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000100_0001_0_01000000000000000000000000000011
+1_11111111110100001000011100000011_00000000000000010000001000001100_00001010101000000000000010101010_0_1_1_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_0_0_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_0_0_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_0_0_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_0_0_0_11111111111111111111111110101010_0001_1_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_00001010101000000000000010101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111010101000000000001110010011_00000000000000010000001000010000_11101111111011111110111110101010_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000011000000000000110010011_00000000000000010000001000010100_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00110100011101110001100001100011_00000000000000010000001000011000_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000001000011100_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11011110101100001000000010010011_00000000000000010000001000100000_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000000000000000100010011_00000000000000010000001000100100_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111110001000001000111100100011_00000000000000010000001000101000_11101111111011111110111110101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111111111000001000011100000011_00000000000000010000001000101100_11101111111011111110111110101010_0_1_1_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_0_0_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_0_0_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_0_0_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_0_0_0_00000000000000000000000000000000_0001_1_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011111110111110101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00000000000000000000001110010011_00000000000000010000001000110000_11101111111011110000000010101010_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00000000011100000000000110010011_00000000000000010000001000110100_11101111111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00110010011101110001100001100011_00000000000000010000001000111000_11101111111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_00111111111111110000000010010111_00000000000000010000001000111100_11101111111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_11011100101100001000000010010011_00000000000000010000001001000000_11101111111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_11111010000000000000000100010011_00000000000000010000001001000100_11101111111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_11111110001000001000111110100011_00000000000000010000001001001000_11101111111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000101
+1_11111111111100001000011100000011_00000000000000010000001001001100_11101111111011110000000010101010_0_1_1_0_11111111111111111111111110100000_0001_1_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_0_0_0_11111111111111111111111110100000_0001_1_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_0_0_0_11111111111111111111111110100000_0001_1_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_0_0_0_11111111111111111111111110100000_0001_1_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_0_0_0_11111111111111111111111110100000_0001_1_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111111011110000000010101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_11111010000000000000001110010011_00000000000000010000001001010000_11101111101000000000000010101010_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_00000000100000000000000110010011_00000000000000010000001001010100_11101111101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_00110000011101110001100001100011_00000000000000010000001001011000_11101111101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001001011100_11101111101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_11011010101100001000000010010011_00000000000000010000001001100000_11101111101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_00000000101000000000000100010011_00000000000000010000001001100100_11101111101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_00000000001000001000000000100011_00000000000000010000001001101000_11101111101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000110
+1_00000000000000001000011100000011_00000000000000010000001001101100_11101111101000000000000010101010_0_1_1_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_0_0_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_0_0_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_0_0_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_0_0_0_00000000000000000000000000001010_0001_1_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_11101111101000000000000010101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000000101000000000001110010011_00000000000000010000001001110000_00001010101000000000000010101010_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000000100100000000000110010011_00000000000000010000001001110100_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00101110011101110001100001100011_00000000000000010000001001111000_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00111111111111110000000010010111_00000000000000010000001001111100_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_11011000110000001000000010010011_00000000000000010000001010000000_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00010010001101000101000100110111_00000000000000010000001010000100_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_01100111100000010000000100010011_00000000000000010000001010001000_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_11111110000000001000001000010011_00000000000000010000001010001100_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000010001000100000000000100011_00000000000000010000001010010000_00001010101000000000000010101010_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000111
+1_00000000000000001000001010000011_00000000000000010000001010010100_00001010101000000000000010101010_0_1_1_0_00010010001101000101011001111000_0001_1_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_0_0_0_00010010001101000101011001111000_0001_1_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_0_0_0_00010010001101000101011001111000_0001_1_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_0_0_0_00010010001101000101011001111000_0001_1_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_0_0_0_00010010001101000101011001111000_0001_1_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00001010101000000000000010101010_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000111100000000000001110010011_00000000000000010000001010011000_00000000000000001110111101111000_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000000101000000000000110010011_00000000000000010000001010011100_00000000000000001110111101111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00101100011100101001010001100011_00000000000000010000001010100000_00000000000000001110111101111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000001010100100_00000000000000001110111101111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_11010110010000001000000010010011_00000000000000010000001010101000_00000000000000001110111101111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000000000000000011000100110111_00000000000000010000001010101100_00000000000000001110111101111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00001001100000010000000100010011_00000000000000010000001010110000_00000000000000001110111101111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_11111111101000001000000010010011_00000000000000010000001010110100_00000000000000001110111101111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00000000001000001000001110100011_00000000000000010000001010111000_00000000000000001110111101111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001000
+1_00111111111111110000001000010111_00000000000000010000001010111100_00000000000000001110111101111000_0_1_1_0_00000000000000000011000010011000_0001_1_01000000000000000000000000001001
+1_11010100110100100000001000010011_00000000000000010000001011000000_00000000000000001110111101111000_0_1_1_0_00000000000000000011000010011000_0001_1_01000000000000000000000000001001
+1_00000000000000100000001010000011_00000000000000010000001011000100_00000000000000001110111101111000_0_1_1_0_00000000000000000011000010011000_0001_1_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001110111101111000_0_0_0_0_00000000000000000011000010011000_0001_1_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001110111101111000_0_0_0_0_00000000000000000011000010011000_0001_1_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001110111101111000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001110111101111000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001110111101111000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001110111101111000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001110111101111000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001110111101111000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_11111001100000000000001110010011_00000000000000010000001011001000_00000000000000001001100001111000_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_00000000101100000000000110010011_00000000000000010000001011001100_00000000000000001001100001111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_00101000011100101001110001100011_00000000000000010000001011010000_00000000000000001001100001111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_00000000110000000000000110010011_00000000000000010000001011010100_00000000000000001001100001111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_00000000000000000000001000010011_00000000000000010000001011011000_00000000000000001001100001111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_11111101110100000000000010010011_00000000000000010000001011011100_00000000000000001001100001111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_00111111111111110000000100010111_00000000000000010000001011100000_00000000000000001001100001111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_11010010000000010000000100010011_00000000000000010000001011100100_00000000000000001001100001111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_00000000000100010000000000100011_00000000000000010000001011101000_00000000000000001001100001111000_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000001001
+1_00000000000000010000011100000011_00000000000000010000001011101100_00000000000000001001100001111000_0_1_1_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_0_0_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_0_0_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_0_0_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_0_0_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00000000000000001001100001111000_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00100110011101110001101001100011_00000000000000010000001011110100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011111100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001100000000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001100000100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_11111101110100000000000010010011_00000000000000010000001011011100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_11111101110100000000000010010011_00000000000000010000001011011100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_11111101110100000000000010010011_00000000000000010000001011011100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_11111101110100000000000010010011_00000000000000010000001011011100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_11111101110100000000000010010011_00000000000000010000001011011100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000000010010011_00000000000000010000001011011100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000001011100000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11010010000000010000000100010011_00000000000000010000001011100100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100010000000000100011_00000000000000010000001011101000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000010000011100000011_00000000000000010000001011101100_00001010101000000000000011011101_0_1_1_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_0_0_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_0_0_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_0_0_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_0_0_0_11111111111111111111111111011101_0001_1_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111101110100000000001110010011_00000000000000010000001011110000_00001010101000000000000011011101_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00100110011101110001101001100011_00000000000000010000001011110100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011111100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001100000000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001100000100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100001000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111100110100000000000010010011_00000000000000010000001100001100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000001100010000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11001111000000010000000100010011_00000000000000010000001100010100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100011000_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100010000000010100011_00000000000000010000001100011100_00001010101000000000000011011101_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100010000011100000011_00000000000000010000001100100000_00001010101000000000000011011101_0_1_1_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_0_0_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_0_0_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_0_0_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_0_0_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_1_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_0_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_0_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_0_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_0_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000000000000011011101_0_1_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_1_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00100100011101110001000001100011_00000000000000010000001100101000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001100101100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001100110000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100010100100001110011100011_00000000000000010000001100110100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000111000000000000110010011_00000000000000010000001100111000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+0_11111100110100000000000010010011_00000000000000010000001100001100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+0_11111100110100000000000010010011_00000000000000010000001100001100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+0_11111100110100000000000010010011_00000000000000010000001100001100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+0_11111100110100000000000010010011_00000000000000010000001100001100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+0_11111100110100000000000010010011_00000000000000010000001100001100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000000010010011_00000000000000010000001100001100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00111111111111110000000100010111_00000000000000010000001100010000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11001111000000010000000100010011_00000000000000010000001100010100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001100011000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000100010000000010100011_00000000000000010000001100011100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000100010000011100000011_00000000000000010000001100100000_00001010101000001100110111011101_0_1_1_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_0_0_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_0_0_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_0_0_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_0_0_0_11111111111111111111111111001101_0001_1_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_1_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_0_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_0_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_0_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_0_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_0_1_0_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110100000000001110010011_00000000000000010000001100100100_00001010101000001100110111011101_1_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00100100011101110001000001100011_00000000000000010000001100101000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000001100101100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000001100110000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100010100100001110011100011_00000000000000010000001100110100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000111000000000000110010011_00000000000000010000001100111000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000000000000001000010011_00000000000000010000001100111100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11111100110000000000000010010011_00000000000000010000001101000000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00111111111111110000000100010111_00000000000000010000001101000100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_11001011110000010000000100010011_00000000000000010000001101001000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001101001100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000001101010000_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000000100010000000100100011_00000000000000010000001101010100_00001010101000001100110111011101_0_1_1_1_11111111111111111111111111001101_0001_0_01000000000000000000000000000001
+1_00000000001000010000011100000011_00000000000000010000001101011000_00001010101000001100110111011101_0_1_1_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_0_0_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_0_0_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_0_0_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_0_0_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010101000001100110111011101_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00100000011101110001010001100011_00000000000000010000001101100000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001101100100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001101101000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100010100100001101011100011_00000000000000010000001101101100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000111100000000000110010011_00000000000000010000001101110000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_11111100110000000000000010010011_00000000000000010000001101000000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_11111100110000000000000010010011_00000000000000010000001101000000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_11111100110000000000000010010011_00000000000000010000001101000000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_11111100110000000000000010010011_00000000000000010000001101000000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_11111100110000000000000010010011_00000000000000010000001101000000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000000010010011_00000000000000010000001101000000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00111111111111110000000100010111_00000000000000010000001101000100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11001011110000010000000100010011_00000000000000010000001101001000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001101001100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001101010000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000100010000000100100011_00000000000000010000001101010100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001000010000011100000011_00000000000000010000001101011000_00001010110011001100110111011101_0_1_1_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_0_0_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_0_0_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_0_0_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_0_0_0_11111111111111111111111111001100_0001_1_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100110000000000001110010011_00000000000000010000001101011100_00001010110011001100110111011101_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00100000011101110001010001100011_00000000000000010000001101100000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001101100100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001101101000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100010100100001101011100011_00000000000000010000001101101100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000111100000000000110010011_00000000000000010000001101110000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001101110100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111011110000000000000010010011_00000000000000010000001101111000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001101111100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00111111111111110000000100010111_00000000000000010000001110000000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11001000000000010000000100010011_00000000000000010000001110000100_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000100010000000110100011_00000000000000010000001110001000_00001010110011001100110111011101_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001100010000011100000011_00000000000000010000001110001100_00001010110011001100110111011101_0_1_1_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_0_0_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_0_0_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_0_0_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_0_0_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_1_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_0_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_0_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_0_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_0_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_00001010110011001100110111011101_0_1_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_1_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00011100011101110001101001100011_00000000000000010000001110010100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001110011000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001110011100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111100010100100001110011100011_00000000000000010000001110100000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000001000000000000000110010011_00000000000000010000001110100100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+0_11111011110000000000000010010011_00000000000000010000001101111000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+0_11111011110000000000000010010011_00000000000000010000001101111000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+0_11111011110000000000000010010011_00000000000000010000001101111000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+0_11111011110000000000000010010011_00000000000000010000001101111000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+0_11111011110000000000000010010011_00000000000000010000001101111000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000000010010011_00000000000000010000001101111000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001101111100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00111111111111110000000100010111_00000000000000010000001110000000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11001000000000010000000100010011_00000000000000010000001110000100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000000100010000000110100011_00000000000000010000001110001000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000001100010000011100000011_00000000000000010000001110001100_10111100110011001100110111011101_0_1_1_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_0_0_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_0_0_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_0_0_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_0_0_0_11111111111111111111111110111100_0001_1_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_1_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_0_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_0_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_0_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_0_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_0_1_0_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011110000000000001110010011_00000000000000010000001110010000_10111100110011001100110111011101_1_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00011100011101110001101001100011_00000000000000010000001110010100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000001110011000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000001110011100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111100010100100001110011100011_00000000000000010000001110100000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000001000000000000000110010011_00000000000000010000001110100100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000000000000000001000010011_00000000000000010000001110101000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11111011101100000000000010010011_00000000000000010000001110101100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001110110000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00111111111111110000000100010111_00000000000000010000001110110100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_11000100110000010000000100010011_00000000000000010000001110111000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000001110111100_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000000100010000001000100011_00000000000000010000001111000000_10111100110011001100110111011101_0_1_1_1_00000000000000000000000000001111_0001_0_01000000000000000000000000000011
+1_00000000010000010000011100000011_00000000000000010000001111000100_10111100110011001100110111011101_0_1_1_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_0_0_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_0_0_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_0_0_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_0_0_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_10111100110011001100110111011101_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00011000011101110001111001100011_00000000000000010000001111001100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001111010000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001111010100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111100010100100001101011100011_00000000000000010000001111011000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001000100000000000110010011_00000000000000010000001111011100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_11111011101100000000000010010011_00000000000000010000001110101100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_11111011101100000000000010010011_00000000000000010000001110101100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_11111011101100000000000010010011_00000000000000010000001110101100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_11111011101100000000000010010011_00000000000000010000001110101100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_11111011101100000000000010010011_00000000000000010000001110101100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111011101100000000000010010011_00000000000000010000001110101100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001110110000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000001110110100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11000100110000010000000100010011_00000000000000010000001110111000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001110111100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000100010000001000100011_00000000000000010000001111000000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000010000010000011100000011_00000000000000010000001111000100_00001010101000000000000010111011_0_1_1_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_0_0_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_0_0_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_0_0_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_0_0_0_11111111111111111111111110111011_0001_1_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_1_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_0_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_0_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_0_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_0_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_0_1_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111011101100000000001110010011_00000000000000010000001111001000_00001010101000000000000010111011_1_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00011000011101110001111001100011_00000000000000010000001111001100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001111010000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001111010100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111100010100100001101011100011_00000000000000010000001111011000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000001000100000000000110010011_00000000000000010000001111011100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001111100000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111010101100000000000010010011_00000000000000010000001111100100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001111101000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001111101100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000001111110000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11000001000000010000000100010011_00000000000000010000001111110100_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000100010000001010100011_00000000000000010000001111111000_00001010101000000000000010111011_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000010100010000011100000011_00000000000000010000001111111100_00001010101000000000000010111011_0_1_1_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_0_0_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_0_0_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_0_0_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_0_0_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_1_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000000000000010111011_0_1_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_1_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00010110011101110001001001100011_00000000000000010000010000000100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100100000001000010011_00000000000000010000010000001000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000001000000000001010010011_00000000000000010000010000001100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111100010100100001101011100011_00000000000000010000010000010000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000001001000000000000110010011_00000000000000010000010000010100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_11111010101100000000000010010011_00000000000000010000001111100100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_11111010101100000000000010010011_00000000000000010000001111100100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_11111010101100000000000010010011_00000000000000010000001111100100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_11111010101100000000000010010011_00000000000000010000001111100100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_11111010101100000000000010010011_00000000000000010000001111100100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000000010010011_00000000000000010000001111100100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000000000000000000010011_00000000000000010000001111101000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000000000000000000010011_00000000000000010000001111101100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00111111111111110000000100010111_00000000000000010000001111110000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11000001000000010000000100010011_00000000000000010000001111110100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100010000001010100011_00000000000000010000001111111000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000010100010000011100000011_00000000000000010000001111111100_00001010101000001010101110111011_0_1_1_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_0_0_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_0_0_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_0_0_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_0_0_0_11111111111111111111111110101011_0001_1_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_1_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_0_1_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111010101100000000001110010011_00000000000000010000010000000000_00001010101000001010101110111011_1_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00010110011101110001001001100011_00000000000000010000010000000100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100100000001000010011_00000000000000010000010000001000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000001000000000001010010011_00000000000000010000010000001100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111100010100100001101011100011_00000000000000010000010000010000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000001001000000000000110010011_00000000000000010000010000010100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000000000000001000010011_00000000000000010000010000011000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00111111111111110000000100010111_00000000000000010000010000011100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_10111110010000010000000100010011_00000000000000010000010000100000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000011001100000000000010010011_00000000000000010000010000100100_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100010000000000100011_00000000000000010000010000101000_00001010101000001010101110111011_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000000010000011100000011_00000000000000010000010000101100_00001010101000001010101110111011_0_1_1_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_0_0_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_0_0_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_0_0_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_0_0_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_00001010101000001010101110111011_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00010010011101110001101001100011_00000000000000010000010000110100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010001000000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010001000100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010000011100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010000011100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010000011100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010000011100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010000011100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000010000011100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_10111110010000010000000100010011_00000000000000010000010000100000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000000010010011_00000000000000010000010000100100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100010000000000100011_00000000000000010000010000101000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000010000011100000011_00000000000000010000010000101100_10111100110011001100110100110011_0_1_1_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_0_0_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_0_0_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_0_0_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_0_0_0_00000000000000000000000000110011_0001_1_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000011001100000000001110010011_00000000000000010000010000110000_10111100110011001100110100110011_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00010010011101110001101001100011_00000000000000010000010000110100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000111000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010001000000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010001000100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001001000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000010001001100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_10111011010000010000000100010011_00000000000000010000010001010000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000010001100000000000010010011_00000000000000010000010001010100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001011000_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100010000000010100011_00000000000000010000010001011100_10111100110011001100110100110011_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000000
+1_00000000000100010000011100000011_00000000000000010000010001100000_10111100110011001100110100110011_0_1_1_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_0_0_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_0_0_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_0_0_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_0_0_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_1_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_0_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_0_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_0_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_0_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011001100110100110011_0_1_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_1_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00010000011101110001000001100011_00000000000000010000010001101000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000010001101100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000010001110000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_11111100010100100001110011100011_00000000000000010000010001110100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000001010000000000000110010011_00000000000000010000010001111000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+0_00111111111111110000000100010111_00000000000000010000010001001100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+0_00111111111111110000000100010111_00000000000000010000010001001100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+0_00111111111111110000000100010111_00000000000000010000010001001100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+0_00111111111111110000000100010111_00000000000000010000010001001100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+0_00111111111111110000000100010111_00000000000000010000010001001100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00111111111111110000000100010111_00000000000000010000010001001100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_10111011010000010000000100010011_00000000000000010000010001010000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000000010010011_00000000000000010000010001010100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000010001011000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000100010000000010100011_00000000000000010000010001011100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000100010000011100000011_00000000000000010000010001100000_10111100110011000010001100110011_0_1_1_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_0_0_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_0_0_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_0_0_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_0_0_0_00000000000000000000000000100011_0001_1_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_1_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_0_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_0_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_0_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_0_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_0_1_0_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001100000000001110010011_00000000000000010000010001100100_10111100110011000010001100110011_1_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00010000011101110001000001100011_00000000000000010000010001101000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000100100000001000010011_00000000000000010000010001101100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000001000000000001010010011_00000000000000010000010001110000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_11111100010100100001110011100011_00000000000000010000010001110100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000001010000000000000110010011_00000000000000010000010001111000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000000000000001000010011_00000000000000010000010001111100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00111111111111110000000100010111_00000000000000010000010010000000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_10111000000000010000000100010011_00000000000000010000010010000100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000010001000000000000010010011_00000000000000010000010010001000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000010010001100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000000000000000000010011_00000000000000010000010010010000_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000000100010000000100100011_00000000000000010000010010010100_10111100110011000010001100110011_0_1_1_1_00000000000000000000000000100011_0001_0_01000000000000000000000000000001
+1_00000000001000010000011100000011_00000000000000010000010010011000_10111100110011000010001100110011_0_1_1_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_0_0_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_0_0_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_0_0_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_0_0_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100110011000010001100110011_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001100011101110001010001100011_00000000000000010000010010100000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000010010100100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000010010101000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100010100100001101011100011_00000000000000010000010010101100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000001010100000000000110010011_00000000000000010000010010110000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010000000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010000000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010000000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010000000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010000000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00111111111111110000000100010111_00000000000000010000010010000000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_10111000000000010000000100010011_00000000000000010000010010000100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000000010010011_00000000000000010000010010001000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000010010001100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000010010010000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000100010000000100100011_00000000000000010000010010010100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001000010000011100000011_00000000000000010000010010011000_10111100001000100010001100110011_0_1_1_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_0_0_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_0_0_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_0_0_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_0_0_0_00000000000000000000000000100010_0001_1_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_0_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_0_1_0_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000010001000000000001110010011_00000000000000010000010010011100_10111100001000100010001100110011_1_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00001100011101110001010001100011_00000000000000010000010010100000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000010010100100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000010010101000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_11111100010100100001101011100011_00000000000000010000010010101100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000001010100000000000110010011_00000000000000010000010010110000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000010010110100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00111111111111110000000100010111_00000000000000010000010010111000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_10110100100000010000000100010011_00000000000000010000010010111100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000010011000000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000001001000000000000010010011_00000000000000010000010011000100_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000000100010000000110100011_00000000000000010000010011001000_10111100001000100010001100110011_0_1_1_1_01000000000000000000000000000000_0001_0_01000000000000000000000000000010
+1_00000000001100010000011100000011_00000000000000010000010011001100_10111100001000100010001100110011_0_1_1_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_0_0_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_0_0_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_0_0_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_0_0_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_1_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_0_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_0_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_0_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_0_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_10111100001000100010001100110011_0_1_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_1_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00001000011101110001101001100011_00000000000000010000010011010100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000010011011000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000010011011100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_11111100010100100001110011100011_00000000000000010000010011100000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001011000000000000110010011_00000000000000010000010011100100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+0_00111111111111110000000100010111_00000000000000010000010010111000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+0_00111111111111110000000100010111_00000000000000010000010010111000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+0_00111111111111110000000100010111_00000000000000010000010010111000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+0_00111111111111110000000100010111_00000000000000010000010010111000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+0_00111111111111110000000100010111_00000000000000010000010010111000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00111111111111110000000100010111_00000000000000010000010010111000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_10110100100000010000000100010011_00000000000000010000010010111100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000010011000000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000000010010011_00000000000000010000010011000100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000000100010000000110100011_00000000000000010000010011001000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000001100010000011100000011_00000000000000010000010011001100_00010010001000100010001100110011_0_1_1_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_0_0_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_0_0_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_0_0_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_0_0_0_00000000000000000000000000010010_0001_1_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_1_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_0_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_0_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_0_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_0_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_0_1_0_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001001000000000001110010011_00000000000000010000010011010000_00010010001000100010001100110011_1_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00001000011101110001101001100011_00000000000000010000010011010100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000000100100000001000010011_00000000000000010000010011011000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000001000000000001010010011_00000000000000010000010011011100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_11111100010100100001110011100011_00000000000000010000010011100000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001011000000000000110010011_00000000000000010000010011100100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000000000000000001000010011_00000000000000010000010011101000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00111111111111110000000100010111_00000000000000010000010011101100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_10110001010000010000000100010011_00000000000000010000010011110000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000010011110100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000001000100000000000010010011_00000000000000010000010011111000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000000000000000000000010011_00000000000000010000010011111100_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000000100010000001000100011_00000000000000010000010100000000_00010010001000100010001100110011_0_1_1_1_00000000000000000000000000010101_0001_0_01000000000000000000000000000011
+1_00000000010000010000011100000011_00000000000000010000010100000100_00010010001000100010001100110011_0_1_1_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_0_0_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_0_0_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_0_0_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_0_0_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_0_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00010010001000100010001100110011_0_1_0_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_1_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000100011101110001111001100011_00000000000000010000010100001100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000010100010000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000010100010100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_11111100010100100001101011100011_00000000000000010000010100011000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001011100000000000110010011_00000000000000010000010100011100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011101100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011101100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011101100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011101100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011101100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000010011101100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_10110001010000010000000100010011_00000000000000010000010011110000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010011110100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000001000100000000000010010011_00000000000000010000010011111000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010011111100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000000100010000001000100011_00000000000000010000010100000000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000000_0001_0_01000000000000000000000000000100
+1_00000000010000010000011100000011_00000000000000010000010100000100_00001010101000001010101100010001_0_1_1_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_0_0_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_0_0_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_0_0_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_0_0_0_00000000000000000000000000010001_0001_1_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_1_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_0_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_0_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_0_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_0_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_0_1_0_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000001000100000000001110010011_00000000000000010000010100001000_00001010101000001010101100010001_1_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000100011101110001111001100011_00000000000000010000010100001100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000010100010000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000010100010100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_11111100010100100001101011100011_00000000000000010000010100011000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000001011100000000000110010011_00000000000000010000010100011100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000010100100000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000010100100100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_10101101110000010000000100010011_00000000000000010000010100101000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010100101100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010100110000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000100000000000010010011_00000000000000010000010100110100_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000000100010000001010100011_00000000000000010000010100111000_00001010101000001010101100010001_0_1_1_1_00000000000000000000000000000001_0001_0_01000000000000000000000000000100
+1_00000000010100010000011100000011_00000000000000010000010100111100_00001010101000001010101100010001_0_1_1_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_0_0_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_0_0_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_0_0_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_0_0_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_1_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000001010101100010001_0_1_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_1_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000010011101110001001001100011_00000000000000010000010101000100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100100000001000010011_00000000000000010000010101001000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000001000000000001010010011_00000000000000010000010101001100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111100010100100001101011100011_00000000000000010000010101010000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00001110111100000000010100010011_00000000000000010000010101010100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_00111111111111110000000100010111_00000000000000010000010100100100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_00111111111111110000000100010111_00000000000000010000010100100100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_00111111111111110000000100010111_00000000000000010000010100100100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_00111111111111110000000100010111_00000000000000010000010100100100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+0_00111111111111110000000100010111_00000000000000010000010100100100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00111111111111110000000100010111_00000000000000010000010100100100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_10101101110000010000000100010011_00000000000000010000010100101000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000000000000000000010011_00000000000000010000010100101100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000000000000000000010011_00000000000000010000010100110000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000000010010011_00000000000000010000010100110100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100010000001010100011_00000000000000010000010100111000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000010100010000011100000011_00000000000000010000010100111100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_0_0_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_0_0_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_0_0_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_0_0_0_00000000000000000000000000000001_0001_1_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_1_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_0_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_0_1_0_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100000000001110010011_00000000000000010000010101000000_00001010101000000000000100010001_1_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000010011101110001001001100011_00000000000000010000010101000100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000000100100000001000010011_00000000000000010000010101001000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000001000000000001010010011_00000000000000010000010101001100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_11111100010100100001101011100011_00000000000000010000010101010000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00001110111100000000010100010011_00000000000000010000010101010100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00111111111111110000010110010111_00000000000000010000010101011000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_10101010100001011000010110010011_00000000000000010000010101011100_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000000101001011000000110100011_00000000000000010000010101100000_00001010101000000000000100010001_0_1_1_1_00000000000000000000000000000010_0001_0_01000000000000000000000000000101
+1_00000010001100000001000001100011_00000000000000010000010101100100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+1_00001111111100000000000000001111_00000000000000010000010101101000_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+0_00001111111100000000000000001111_00000000000000010000010110000100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+0_00001111111100000000000000001111_00000000000000010000010110000100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+0_00001111111100000000000000001111_00000000000000010000010110000100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+0_00001111111100000000000000001111_00000000000000010000010110000100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+0_00001111111100000000000000001111_00000000000000010000010110000100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+1_00001111111100000000000000001111_00000000000000010000010110000100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+1_00000000000100000000000110010011_00000000000000010000010110001000_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+1_00000101110100000000100010010011_00000000000000010000010110001100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+1_00000000000000000000010100010011_00000000000000010000010110010000_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+1_00000000000000000000000001110011_00000000000000010000010110010100_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
+1_11000000000000000001000001110011_00000000000000010000010110011000_00001010101000000000000100010001_0_1_1_0_00000000000000000000000011101111_0001_1_01000000000000000000000000000011
diff --git a/verilog/dv/test_c0/coe/sb/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/sb/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..c2a484e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sb/cekirdek_ps_bin.txt
@@ -0,0 +1,461 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010000
diff --git a/verilog/dv/test_c0/coe/sb/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/sb/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..439fec8
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sb/cekirdek_ps_hex.txt
@@ -0,0 +1,461 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010584
+00010588
+0001058c
+00010590
+00010590
diff --git a/verilog/dv/test_c0/coe/sb/cekirdek_yo.txt b/verilog/dv/test_c0/coe/sb/cekirdek_yo.txt
new file mode 100644
index 0000000..e2ea6f9
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sb/cekirdek_yo.txt
@@ -0,0 +1,348 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_01000000000000000000000101110100
+00000000000000010000000101111000_00001_01000000000000000000000000000000
+00000000000000010000000101111100_00010_11111111111111111111111110101010
+00000000000000010000000110000100_01110_11111111111111111111111110101010
+00000000000000010000000110001000_00111_11111111111111111111111110101010
+00000000000000010000000110001100_00011_00000000000000000000000000000010
+00000000000000010000000110010100_00001_01000000000000000000000110010100
+00000000000000010000000110011000_00001_01000000000000000000000000000000
+00000000000000010000000110011100_00010_00000000000000000000000000000000
+00000000000000010000000110100100_01110_00000000000000000000000000000000
+00000000000000010000000110101000_00111_00000000000000000000000000000000
+00000000000000010000000110101100_00011_00000000000000000000000000000011
+00000000000000010000000110110100_00001_01000000000000000000000110110100
+00000000000000010000000110111000_00001_01000000000000000000000000000000
+00000000000000010000000110111100_00010_11111111111111111111000000000000
+00000000000000010000000111000000_00010_11111111111111111110111110100000
+00000000000000010000000111001000_01110_11111111111111111110111110100000
+00000000000000010000000111001100_00111_11111111111111111111000000000000
+00000000000000010000000111010000_00111_11111111111111111110111110100000
+00000000000000010000000111010100_00011_00000000000000000000000000000100
+00000000000000010000000111011100_00001_01000000000000000000000111011100
+00000000000000010000000111100000_00001_01000000000000000000000000000000
+00000000000000010000000111100100_00010_00000000000000000000000000001010
+00000000000000010000000111101100_01110_00000000000000000000000000001010
+00000000000000010000000111110000_00111_00000000000000000000000000001010
+00000000000000010000000111110100_00011_00000000000000000000000000000101
+00000000000000010000000111111100_00001_01000000000000000000000111111100
+00000000000000010000001000000000_00001_01000000000000000000000000000111
+00000000000000010000001000000100_00010_11111111111111111111111110101010
+00000000000000010000001000001100_01110_11111111111111111111111110101010
+00000000000000010000001000010000_00111_11111111111111111111111110101010
+00000000000000010000001000010100_00011_00000000000000000000000000000110
+00000000000000010000001000011100_00001_01000000000000000000001000011100
+00000000000000010000001000100000_00001_01000000000000000000000000000111
+00000000000000010000001000100100_00010_00000000000000000000000000000000
+00000000000000010000001000101100_01110_00000000000000000000000000000000
+00000000000000010000001000110000_00111_00000000000000000000000000000000
+00000000000000010000001000110100_00011_00000000000000000000000000000111
+00000000000000010000001000111100_00001_01000000000000000000001000111100
+00000000000000010000001001000000_00001_01000000000000000000000000000111
+00000000000000010000001001000100_00010_11111111111111111111111110100000
+00000000000000010000001001001100_01110_11111111111111111111111110100000
+00000000000000010000001001010000_00111_11111111111111111111111110100000
+00000000000000010000001001010100_00011_00000000000000000000000000001000
+00000000000000010000001001011100_00001_01000000000000000000001001011100
+00000000000000010000001001100000_00001_01000000000000000000000000000111
+00000000000000010000001001100100_00010_00000000000000000000000000001010
+00000000000000010000001001101100_01110_00000000000000000000000000001010
+00000000000000010000001001110000_00111_00000000000000000000000000001010
+00000000000000010000001001110100_00011_00000000000000000000000000001001
+00000000000000010000001001111100_00001_01000000000000000000001001111100
+00000000000000010000001010000000_00001_01000000000000000000000000001000
+00000000000000010000001010000100_00010_00010010001101000101000000000000
+00000000000000010000001010001000_00010_00010010001101000101011001111000
+00000000000000010000001010001100_00100_00111111111111111111111111101000
+00000000000000010000001010010100_00101_00000000000000000000000001111000
+00000000000000010000001010011000_00111_00000000000000000000000001111000
+00000000000000010000001010011100_00011_00000000000000000000000000001010
+00000000000000010000001010100100_00001_01000000000000000000001010100100
+00000000000000010000001010101000_00001_01000000000000000000000000001000
+00000000000000010000001010101100_00010_00000000000000000011000000000000
+00000000000000010000001010110000_00010_00000000000000000011000010011000
+00000000000000010000001010110100_00001_01000000000000000000000000000010
+00000000000000010000001010111100_00100_01000000000000000000001010111100
+00000000000000010000001011000000_00100_01000000000000000000000000001001
+00000000000000010000001011000100_00101_11111111111111111111111110011000
+00000000000000010000001011001000_00111_11111111111111111111111110011000
+00000000000000010000001011001100_00011_00000000000000000000000000001011
+00000000000000010000001011010100_00011_00000000000000000000000000001100
+00000000000000010000001011011000_00100_00000000000000000000000000000000
+00000000000000010000001011011100_00001_11111111111111111111111111011101
+00000000000000010000001011100000_00010_01000000000000000000001011100000
+00000000000000010000001011100100_00010_01000000000000000000000000000000
+00000000000000010000001011101100_01110_11111111111111111111111111011101
+00000000000000010000001011110000_00111_11111111111111111111111111011101
+00000000000000010000001011111000_00100_00000000000000000000000000000001
+00000000000000010000001011111100_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00001_11111111111111111111111111011101
+00000000000000010000001011100000_00010_01000000000000000000001011100000
+00000000000000010000001011100100_00010_01000000000000000000000000000000
+00000000000000010000001011101100_01110_11111111111111111111111111011101
+00000000000000010000001011110000_00111_11111111111111111111111111011101
+00000000000000010000001011111000_00100_00000000000000000000000000000010
+00000000000000010000001011111100_00101_00000000000000000000000000000010
+00000000000000010000001100000100_00011_00000000000000000000000000001101
+00000000000000010000001100001000_00100_00000000000000000000000000000000
+00000000000000010000001100001100_00001_11111111111111111111111111001101
+00000000000000010000001100010000_00010_01000000000000000000001100010000
+00000000000000010000001100010100_00010_01000000000000000000000000000000
+00000000000000010000001100011000_00000_00000000000000000000000000000000
+00000000000000010000001100100000_01110_11111111111111111111111111001101
+00000000000000010000001100100100_00111_11111111111111111111111111001101
+00000000000000010000001100101100_00100_00000000000000000000000000000001
+00000000000000010000001100110000_00101_00000000000000000000000000000010
+00000000000000010000001100001100_00001_11111111111111111111111111001101
+00000000000000010000001100010000_00010_01000000000000000000001100010000
+00000000000000010000001100010100_00010_01000000000000000000000000000000
+00000000000000010000001100011000_00000_00000000000000000000000000000000
+00000000000000010000001100100000_01110_11111111111111111111111111001101
+00000000000000010000001100100100_00111_11111111111111111111111111001101
+00000000000000010000001100101100_00100_00000000000000000000000000000010
+00000000000000010000001100110000_00101_00000000000000000000000000000010
+00000000000000010000001100111000_00011_00000000000000000000000000001110
+00000000000000010000001100111100_00100_00000000000000000000000000000000
+00000000000000010000001101000000_00001_11111111111111111111111111001100
+00000000000000010000001101000100_00010_01000000000000000000001101000100
+00000000000000010000001101001000_00010_01000000000000000000000000000000
+00000000000000010000001101001100_00000_00000000000000000000000000000000
+00000000000000010000001101010000_00000_00000000000000000000000000000000
+00000000000000010000001101011000_01110_11111111111111111111111111001100
+00000000000000010000001101011100_00111_11111111111111111111111111001100
+00000000000000010000001101100100_00100_00000000000000000000000000000001
+00000000000000010000001101101000_00101_00000000000000000000000000000010
+00000000000000010000001101000000_00001_11111111111111111111111111001100
+00000000000000010000001101000100_00010_01000000000000000000001101000100
+00000000000000010000001101001000_00010_01000000000000000000000000000000
+00000000000000010000001101001100_00000_00000000000000000000000000000000
+00000000000000010000001101010000_00000_00000000000000000000000000000000
+00000000000000010000001101011000_01110_11111111111111111111111111001100
+00000000000000010000001101011100_00111_11111111111111111111111111001100
+00000000000000010000001101100100_00100_00000000000000000000000000000010
+00000000000000010000001101101000_00101_00000000000000000000000000000010
+00000000000000010000001101110000_00011_00000000000000000000000000001111
+00000000000000010000001101110100_00100_00000000000000000000000000000000
+00000000000000010000001101111000_00001_11111111111111111111111110111100
+00000000000000010000001101111100_00000_00000000000000000000000000000000
+00000000000000010000001110000000_00010_01000000000000000000001110000000
+00000000000000010000001110000100_00010_01000000000000000000000000000000
+00000000000000010000001110001100_01110_11111111111111111111111110111100
+00000000000000010000001110010000_00111_11111111111111111111111110111100
+00000000000000010000001110011000_00100_00000000000000000000000000000001
+00000000000000010000001110011100_00101_00000000000000000000000000000010
+00000000000000010000001101111000_00001_11111111111111111111111110111100
+00000000000000010000001101111100_00000_00000000000000000000000000000000
+00000000000000010000001110000000_00010_01000000000000000000001110000000
+00000000000000010000001110000100_00010_01000000000000000000000000000000
+00000000000000010000001110001100_01110_11111111111111111111111110111100
+00000000000000010000001110010000_00111_11111111111111111111111110111100
+00000000000000010000001110011000_00100_00000000000000000000000000000010
+00000000000000010000001110011100_00101_00000000000000000000000000000010
+00000000000000010000001110100100_00011_00000000000000000000000000010000
+00000000000000010000001110101000_00100_00000000000000000000000000000000
+00000000000000010000001110101100_00001_11111111111111111111111110111011
+00000000000000010000001110110000_00000_00000000000000000000000000000000
+00000000000000010000001110110100_00010_01000000000000000000001110110100
+00000000000000010000001110111000_00010_01000000000000000000000000000000
+00000000000000010000001110111100_00000_00000000000000000000000000000000
+00000000000000010000001111000100_01110_11111111111111111111111110111011
+00000000000000010000001111001000_00111_11111111111111111111111110111011
+00000000000000010000001111010000_00100_00000000000000000000000000000001
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001110101100_00001_11111111111111111111111110111011
+00000000000000010000001110110000_00000_00000000000000000000000000000000
+00000000000000010000001110110100_00010_01000000000000000000001110110100
+00000000000000010000001110111000_00010_01000000000000000000000000000000
+00000000000000010000001110111100_00000_00000000000000000000000000000000
+00000000000000010000001111000100_01110_11111111111111111111111110111011
+00000000000000010000001111001000_00111_11111111111111111111111110111011
+00000000000000010000001111010000_00100_00000000000000000000000000000010
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001111011100_00011_00000000000000000000000000010001
+00000000000000010000001111100000_00100_00000000000000000000000000000000
+00000000000000010000001111100100_00001_11111111111111111111111110101011
+00000000000000010000001111101000_00000_00000000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00010_01000000000000000000001111110000
+00000000000000010000001111110100_00010_01000000000000000000000000000000
+00000000000000010000001111111100_01110_11111111111111111111111110101011
+00000000000000010000010000000000_00111_11111111111111111111111110101011
+00000000000000010000010000001000_00100_00000000000000000000000000000001
+00000000000000010000010000001100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00001_11111111111111111111111110101011
+00000000000000010000001111101000_00000_00000000000000000000000000000000
+00000000000000010000001111101100_00000_00000000000000000000000000000000
+00000000000000010000001111110000_00010_01000000000000000000001111110000
+00000000000000010000001111110100_00010_01000000000000000000000000000000
+00000000000000010000001111111100_01110_11111111111111111111111110101011
+00000000000000010000010000000000_00111_11111111111111111111111110101011
+00000000000000010000010000001000_00100_00000000000000000000000000000010
+00000000000000010000010000001100_00101_00000000000000000000000000000010
+00000000000000010000010000010100_00011_00000000000000000000000000010010
+00000000000000010000010000011000_00100_00000000000000000000000000000000
+00000000000000010000010000011100_00010_01000000000000000000010000011100
+00000000000000010000010000100000_00010_01000000000000000000000000000000
+00000000000000010000010000100100_00001_00000000000000000000000000110011
+00000000000000010000010000101100_01110_00000000000000000000000000110011
+00000000000000010000010000110000_00111_00000000000000000000000000110011
+00000000000000010000010000111000_00100_00000000000000000000000000000001
+00000000000000010000010000111100_00101_00000000000000000000000000000010
+00000000000000010000010000011100_00010_01000000000000000000010000011100
+00000000000000010000010000100000_00010_01000000000000000000000000000000
+00000000000000010000010000100100_00001_00000000000000000000000000110011
+00000000000000010000010000101100_01110_00000000000000000000000000110011
+00000000000000010000010000110000_00111_00000000000000000000000000110011
+00000000000000010000010000111000_00100_00000000000000000000000000000010
+00000000000000010000010000111100_00101_00000000000000000000000000000010
+00000000000000010000010001000100_00011_00000000000000000000000000010011
+00000000000000010000010001001000_00100_00000000000000000000000000000000
+00000000000000010000010001001100_00010_01000000000000000000010001001100
+00000000000000010000010001010000_00010_01000000000000000000000000000000
+00000000000000010000010001010100_00001_00000000000000000000000000100011
+00000000000000010000010001011000_00000_00000000000000000000000000000000
+00000000000000010000010001100000_01110_00000000000000000000000000100011
+00000000000000010000010001100100_00111_00000000000000000000000000100011
+00000000000000010000010001101100_00100_00000000000000000000000000000001
+00000000000000010000010001110000_00101_00000000000000000000000000000010
+00000000000000010000010001001100_00010_01000000000000000000010001001100
+00000000000000010000010001010000_00010_01000000000000000000000000000000
+00000000000000010000010001010100_00001_00000000000000000000000000100011
+00000000000000010000010001011000_00000_00000000000000000000000000000000
+00000000000000010000010001100000_01110_00000000000000000000000000100011
+00000000000000010000010001100100_00111_00000000000000000000000000100011
+00000000000000010000010001101100_00100_00000000000000000000000000000010
+00000000000000010000010001110000_00101_00000000000000000000000000000010
+00000000000000010000010001111000_00011_00000000000000000000000000010100
+00000000000000010000010001111100_00100_00000000000000000000000000000000
+00000000000000010000010010000000_00010_01000000000000000000010010000000
+00000000000000010000010010000100_00010_01000000000000000000000000000000
+00000000000000010000010010001000_00001_00000000000000000000000000100010
+00000000000000010000010010001100_00000_00000000000000000000000000000000
+00000000000000010000010010010000_00000_00000000000000000000000000000000
+00000000000000010000010010011000_01110_00000000000000000000000000100010
+00000000000000010000010010011100_00111_00000000000000000000000000100010
+00000000000000010000010010100100_00100_00000000000000000000000000000001
+00000000000000010000010010101000_00101_00000000000000000000000000000010
+00000000000000010000010010000000_00010_01000000000000000000010010000000
+00000000000000010000010010000100_00010_01000000000000000000000000000000
+00000000000000010000010010001000_00001_00000000000000000000000000100010
+00000000000000010000010010001100_00000_00000000000000000000000000000000
+00000000000000010000010010010000_00000_00000000000000000000000000000000
+00000000000000010000010010011000_01110_00000000000000000000000000100010
+00000000000000010000010010011100_00111_00000000000000000000000000100010
+00000000000000010000010010100100_00100_00000000000000000000000000000010
+00000000000000010000010010101000_00101_00000000000000000000000000000010
+00000000000000010000010010110000_00011_00000000000000000000000000010101
+00000000000000010000010010110100_00100_00000000000000000000000000000000
+00000000000000010000010010111000_00010_01000000000000000000010010111000
+00000000000000010000010010111100_00010_01000000000000000000000000000000
+00000000000000010000010011000000_00000_00000000000000000000000000000000
+00000000000000010000010011000100_00001_00000000000000000000000000010010
+00000000000000010000010011001100_01110_00000000000000000000000000010010
+00000000000000010000010011010000_00111_00000000000000000000000000010010
+00000000000000010000010011011000_00100_00000000000000000000000000000001
+00000000000000010000010011011100_00101_00000000000000000000000000000010
+00000000000000010000010010111000_00010_01000000000000000000010010111000
+00000000000000010000010010111100_00010_01000000000000000000000000000000
+00000000000000010000010011000000_00000_00000000000000000000000000000000
+00000000000000010000010011000100_00001_00000000000000000000000000010010
+00000000000000010000010011001100_01110_00000000000000000000000000010010
+00000000000000010000010011010000_00111_00000000000000000000000000010010
+00000000000000010000010011011000_00100_00000000000000000000000000000010
+00000000000000010000010011011100_00101_00000000000000000000000000000010
+00000000000000010000010011100100_00011_00000000000000000000000000010110
+00000000000000010000010011101000_00100_00000000000000000000000000000000
+00000000000000010000010011101100_00010_01000000000000000000010011101100
+00000000000000010000010011110000_00010_01000000000000000000000000000000
+00000000000000010000010011110100_00000_00000000000000000000000000000000
+00000000000000010000010011111000_00001_00000000000000000000000000010001
+00000000000000010000010011111100_00000_00000000000000000000000000000000
+00000000000000010000010100000100_01110_00000000000000000000000000010001
+00000000000000010000010100001000_00111_00000000000000000000000000010001
+00000000000000010000010100010000_00100_00000000000000000000000000000001
+00000000000000010000010100010100_00101_00000000000000000000000000000010
+00000000000000010000010011101100_00010_01000000000000000000010011101100
+00000000000000010000010011110000_00010_01000000000000000000000000000000
+00000000000000010000010011110100_00000_00000000000000000000000000000000
+00000000000000010000010011111000_00001_00000000000000000000000000010001
+00000000000000010000010011111100_00000_00000000000000000000000000000000
+00000000000000010000010100000100_01110_00000000000000000000000000010001
+00000000000000010000010100001000_00111_00000000000000000000000000010001
+00000000000000010000010100010000_00100_00000000000000000000000000000010
+00000000000000010000010100010100_00101_00000000000000000000000000000010
+00000000000000010000010100011100_00011_00000000000000000000000000010111
+00000000000000010000010100100000_00100_00000000000000000000000000000000
+00000000000000010000010100100100_00010_01000000000000000000010100100100
+00000000000000010000010100101000_00010_01000000000000000000000000000000
+00000000000000010000010100101100_00000_00000000000000000000000000000000
+00000000000000010000010100110000_00000_00000000000000000000000000000000
+00000000000000010000010100110100_00001_00000000000000000000000000000001
+00000000000000010000010100111100_01110_00000000000000000000000000000001
+00000000000000010000010101000000_00111_00000000000000000000000000000001
+00000000000000010000010101001000_00100_00000000000000000000000000000001
+00000000000000010000010101001100_00101_00000000000000000000000000000010
+00000000000000010000010100100100_00010_01000000000000000000010100100100
+00000000000000010000010100101000_00010_01000000000000000000000000000000
+00000000000000010000010100101100_00000_00000000000000000000000000000000
+00000000000000010000010100110000_00000_00000000000000000000000000000000
+00000000000000010000010100110100_00001_00000000000000000000000000000001
+00000000000000010000010100111100_01110_00000000000000000000000000000001
+00000000000000010000010101000000_00111_00000000000000000000000000000001
+00000000000000010000010101001000_00100_00000000000000000000000000000010
+00000000000000010000010101001100_00101_00000000000000000000000000000010
+00000000000000010000010101010100_01010_00000000000000000000000011101111
+00000000000000010000010101011000_01011_01000000000000000000010101011000
+00000000000000010000010101011100_01011_01000000000000000000000000000000
+00000000000000010000010110001000_00011_00000000000000000000000000000001
+00000000000000010000010110001100_10001_00000000000000000000000001011101
+00000000000000010000010110010000_01010_00000000000000000000000000000000
+00000000000000010000010110010000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sb/dmem.coe b/verilog/dv/test_c0/coe/sb/dmem.coe
new file mode 100644
index 0000000..1eedd25
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sb/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+EFEFEFEF,
+EFEFEFEF,
+0000EFEF,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sb/imem.coe b/verilog/dv/test_c0/coe/sb/imem.coe
new file mode 100644
index 0000000..b6ed931
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sb/imem.coe
@@ -0,0 +1,369 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+3FFF0097,
+E8C08093,
+FAA00113,
+00208023,
+00008703,
+FAA00393,
+00200193,
+3C771C63,
+3FFF0097,
+E6C08093,
+00000113,
+002080A3,
+00108703,
+00000393,
+00300193,
+3A771C63,
+3FFF0097,
+E4C08093,
+FFFFF137,
+FA010113,
+00208123,
+00209703,
+FFFFF3B7,
+FA038393,
+00400193,
+38771863,
+3FFF0097,
+E2408093,
+00A00113,
+002081A3,
+00308703,
+00A00393,
+00500193,
+36771863,
+3FFF0097,
+E0B08093,
+FAA00113,
+FE208EA3,
+FFD08703,
+FAA00393,
+00600193,
+34771863,
+3FFF0097,
+DEB08093,
+00000113,
+FE208F23,
+FFE08703,
+00000393,
+00700193,
+32771863,
+3FFF0097,
+DCB08093,
+FA000113,
+FE208FA3,
+FFF08703,
+FA000393,
+00800193,
+30771863,
+3FFF0097,
+DAB08093,
+00A00113,
+00208023,
+00008703,
+00A00393,
+00900193,
+2E771863,
+3FFF0097,
+D8C08093,
+12345137,
+67810113,
+FE008213,
+02220023,
+00008283,
+07800393,
+00A00193,
+2C729463,
+3FFF0097,
+D6408093,
+00003137,
+09810113,
+FFA08093,
+002083A3,
+3FFF0217,
+D4D20213,
+00020283,
+F9800393,
+00B00193,
+28729C63,
+00C00193,
+00000213,
+FDD00093,
+3FFF0117,
+D2010113,
+00110023,
+00010703,
+FDD00393,
+26771A63,
+00120213,
+00200293,
+FC521EE3,
+00D00193,
+00000213,
+FCD00093,
+3FFF0117,
+CF010113,
+00000013,
+001100A3,
+00110703,
+FCD00393,
+24771063,
+00120213,
+00200293,
+FC521CE3,
+00E00193,
+00000213,
+FCC00093,
+3FFF0117,
+CBC10113,
+00000013,
+00000013,
+00110123,
+00210703,
+FCC00393,
+20771463,
+00120213,
+00200293,
+FC521AE3,
+00F00193,
+00000213,
+FBC00093,
+00000013,
+3FFF0117,
+C8010113,
+001101A3,
+00310703,
+FBC00393,
+1C771A63,
+00120213,
+00200293,
+FC521CE3,
+01000193,
+00000213,
+FBB00093,
+00000013,
+3FFF0117,
+C4C10113,
+00000013,
+00110223,
+00410703,
+FBB00393,
+18771E63,
+00120213,
+00200293,
+FC521AE3,
+01100193,
+00000213,
+FAB00093,
+00000013,
+00000013,
+3FFF0117,
+C1010113,
+001102A3,
+00510703,
+FAB00393,
+16771263,
+00120213,
+00200293,
+FC521AE3,
+01200193,
+00000213,
+3FFF0117,
+BE410113,
+03300093,
+00110023,
+00010703,
+03300393,
+12771A63,
+00120213,
+00200293,
+FC521EE3,
+01300193,
+00000213,
+3FFF0117,
+BB410113,
+02300093,
+00000013,
+001100A3,
+00110703,
+02300393,
+10771063,
+00120213,
+00200293,
+FC521CE3,
+01400193,
+00000213,
+3FFF0117,
+B8010113,
+02200093,
+00000013,
+00000013,
+00110123,
+00210703,
+02200393,
+0C771463,
+00120213,
+00200293,
+FC521AE3,
+01500193,
+00000213,
+3FFF0117,
+B4810113,
+00000013,
+01200093,
+001101A3,
+00310703,
+01200393,
+08771A63,
+00120213,
+00200293,
+FC521CE3,
+01600193,
+00000213,
+3FFF0117,
+B1410113,
+00000013,
+01100093,
+00000013,
+00110223,
+00410703,
+01100393,
+04771E63,
+00120213,
+00200293,
+FC521AE3,
+01700193,
+00000213,
+3FFF0117,
+ADC10113,
+00000013,
+00000013,
+00100093,
+001102A3,
+00510703,
+00100393,
+02771263,
+00120213,
+00200293,
+FC521AE3,
+0EF00513,
+3FFF0597,
+AA858593,
+00A581A3,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sh/cekirdek_dy.txt b/verilog/dv/test_c0/coe/sh/cekirdek_dy.txt
new file mode 100644
index 0000000..f052f16
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sh/cekirdek_dy.txt
@@ -0,0 +1,1045 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101000110000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010101000000000000100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001000000100011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001011100000011_00000000000000010000000110000100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000010101010_0011_1_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000010101010_0011_1_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000010101010_0011_1_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000010101010_0011_1_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000010101010_0011_1_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00001010101000000000001110010011_00000000000000010000000110001000_10111110111011110000000010101010_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110001100_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_01000100011101110001111001100011_00000000000000010000000110010000_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000110010100_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11100110110000001000000010010011_00000000000000010000000110011000_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111011000100110111_00000000000000010000000110011100_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_10100000000000010000000100010011_00000000000000010000000110100000_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000001001000100100011_00000000000000010000000110100100_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000001001011100000011_00000000000000010000000110101000_10111110111011110000000010101010_0_1_1_0_11111111111111111010101000000000_0011_1_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_0_0_0_11111111111111111010101000000000_0011_1_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_0_0_0_11111111111111111010101000000000_0011_1_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_0_0_0_11111111111111111010101000000000_0011_1_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_0_0_0_11111111111111111010101000000000_0011_1_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_1_0_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_0_0_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_0_0_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_0_0_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_0_0_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10111110111011110000000010101010_0_1_0_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111011001110110111_00000000000000010000000110101100_10101010000000000000000010101010_1_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_10100000000000111000001110010011_00000000000000010000000110110000_10101010000000000000000010101010_0_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_00000000001100000000000110010011_00000000000000010000000110110100_10101010000000000000000010101010_0_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_01000010011101110001101001100011_00000000000000010000000110111000_10101010000000000000000010101010_0_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000010010111_00000000000000010000000110111100_10101010000000000000000010101010_0_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_11100100010000001000000010010011_00000000000000010000000111000000_10101010000000000000000010101010_0_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_10111110111011110001000100110111_00000000000000010000000111000100_10101010000000000000000010101010_0_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_10101010000000010000000100010011_00000000000000010000000111001000_10101010000000000000000010101010_0_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_00000000001000001001001000100011_00000000000000010000000111001100_10101010000000000000000010101010_0_1_1_1_11111111111111111010101000000000_0011_0_01000000000000000000000000000010
+1_00000000010000001010011100000011_00000000000000010000000111010000_10101010000000000000000010101010_0_1_1_0_10111110111011110000101010100000_0011_1_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_0_0_0_10111110111011110000101010100000_0011_1_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_0_0_0_10111110111011110000101010100000_0011_1_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_0_0_0_10111110111011110000101010100000_0011_1_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_0_0_0_10111110111011110000101010100000_0011_1_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10101010000000000000000010101010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10111110111011110001001110110111_00000000000000010000000111010100_10111110111011110000101010100000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10101010000000111000001110010011_00000000000000010000000111011000_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000010000000000000110010011_00000000000000010000000111011100_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_01000000011101110001011001100011_00000000000000010000000111100000_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000000111100100_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11100001110000001000000010010011_00000000000000010000000111101000_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111111111111111010000100110111_00000000000000010000000111101100_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000101000010000000100010011_00000000000000010000000111110000_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000001000001001001100100011_00000000000000010000000111110100_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000011000001001011100000011_00000000000000010000000111111000_10111110111011110000101010100000_0_1_1_0_11111111111111111010000000001010_0011_1_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_0_0_0_11111111111111111010000000001010_0011_1_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_0_0_0_11111111111111111010000000001010_0011_1_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_0_0_0_11111111111111111010000000001010_0011_1_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_0_0_0_11111111111111111010000000001010_0011_1_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10111110111011110000101010100000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111010001110110111_00000000000000010000000111111100_10100000000010100000101010100000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000101000111000001110010011_00000000000000010000001000000000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000010100000000000110010011_00000000000000010000001000000100_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111110011101110001001001100011_00000000000000010000001000001000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000010010111_00000000000000010000001000001100_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11100000001000001000000010010011_00000000000000010000001000010000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001010101000000000000100010011_00000000000000010000001000010100_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111110001000001001110100100011_00000000000000010000001000011000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111101000001001011100000011_00000000000000010000001000011100_10100000000010100000101010100000_0_1_1_0_00000000000000000000000010101010_0011_1_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_0_0_0_00000000000000000000000010101010_0011_1_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_0_0_0_00000000000000000000000010101010_0011_1_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_0_0_0_00000000000000000000000010101010_0011_1_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_0_0_0_00000000000000000000000010101010_0011_1_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10100000000010100000101010100000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00001010101000000000001110010011_00000000000000010000001000100000_10111110111011110000000010101010_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000011000000000000110010011_00000000000000010000001000100100_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00111100011101110001001001100011_00000000000000010000001000101000_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000001000101100_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11011110001000001000000010010011_00000000000000010000001000110000_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011000100110111_00000000000000010000001000110100_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10100000000000010000000100010011_00000000000000010000001000111000_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111110001000001001111000100011_00000000000000010000001000111100_10111110111011110000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111110000001001011100000011_00000000000000010000001001000000_10111110111011110000000010101010_0_1_1_0_11111111111111111010101000000000_0011_1_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_0_0_0_11111111111111111010101000000000_0011_1_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_0_0_0_11111111111111111010101000000000_0011_1_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_0_0_0_11111111111111111010101000000000_0011_1_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_0_0_0_11111111111111111010101000000000_0011_1_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10111110111011110000000010101010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111011001110110111_00000000000000010000001001000100_10101010000000000000000010101010_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10100000000000111000001110010011_00000000000000010000001001001000_10101010000000000000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000011100000000000110010011_00000000000000010000001001001100_10101010000000000000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00111000011101110001111001100011_00000000000000010000001001010000_10101010000000000000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00111111111111110000000010010111_00000000000000010000001001010100_10101010000000000000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11011011101000001000000010010011_00000000000000010000001001011000_10101010000000000000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000001000100110111_00000000000000010000001001011100_10101010000000000000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10101010000000010000000100010011_00000000000000010000001001100000_10101010000000000000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111110001000001001111100100011_00000000000000010000001001100100_10101010000000000000000010101010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111000001001011100000011_00000000000000010000001001101000_10101010000000000000000010101010_0_1_1_0_00000000000000000000101010100000_0011_1_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_0_0_0_00000000000000000000101010100000_0011_1_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_0_0_0_00000000000000000000101010100000_0011_1_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_0_0_0_00000000000000000000101010100000_0011_1_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_0_0_0_00000000000000000000101010100000_0011_1_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10101010000000000000000010101010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000000000000001001110110111_00000000000000010000001001101100_10111110111011110000101010100000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_10101010000000111000001110010011_00000000000000010000001001110000_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000100000000000000110010011_00000000000000010000001001110100_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00110110011101110001101001100011_00000000000000010000001001111000_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00111111111111110000000010010111_00000000000000010000001001111100_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_11011001001000001000000010010011_00000000000000010000001010000000_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_11111111111111111010000100110111_00000000000000010000001010000100_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000101000010000000100010011_00000000000000010000001010001000_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000001000001001000000100011_00000000000000010000001010001100_10111110111011110000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001100
+1_00000000000000001001011100000011_00000000000000010000001010010000_10111110111011110000101010100000_0_1_1_0_11111111111111111010000000001010_0011_1_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_0_0_0_11111111111111111010000000001010_0011_1_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_0_0_0_11111111111111111010000000001010_0011_1_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_0_0_0_11111111111111111010000000001010_0011_1_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_0_0_0_11111111111111111010000000001010_0011_1_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10111110111011110000101010100000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_11111111111111111010001110110111_00000000000000010000001010010100_10100000000010100000101010100000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_00000000101000111000001110010011_00000000000000010000001010011000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_00000000100100000000000110010011_00000000000000010000001010011100_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_00110100011101110001011001100011_00000000000000010000001010100000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_00111111111111110000000010010111_00000000000000010000001010100100_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_11010110110000001000000010010011_00000000000000010000001010101000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_00010010001101000101000100110111_00000000000000010000001010101100_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_01100111100000010000000100010011_00000000000000010000001010110000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_11111110000000001000001000010011_00000000000000010000001010110100_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_00000010001000100001000000100011_00000000000000010000001010111000_10100000000010100000101010100000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001110
+1_00000000000000001001001010000011_00000000000000010000001010111100_10100000000010100000101010100000_0_1_1_0_00010010001101000101011001111000_0011_1_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_0_0_0_00010010001101000101011001111000_0011_1_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_0_0_0_00010010001101000101011001111000_0011_1_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_0_0_0_00010010001101000101011001111000_0011_1_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_0_0_0_00010010001101000101011001111000_0011_1_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10100000000010100000101010100000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000000000000101001110110111_00000000000000010000001011000000_10111110111011110101011001111000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_01100111100000111000001110010011_00000000000000010000001011000100_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000101000000000000110010011_00000000000000010000001011001000_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00110010011100101001000001100011_00000000000000010000001011001100_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00111111111111110000000010010111_00000000000000010000001011010000_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_11010100000000001000000010010011_00000000000000010000001011010100_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000000000000011000100110111_00000000000000010000001011011000_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00001001100000010000000100010011_00000000000000010000001011011100_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_11111111101100001000000010010011_00000000000000010000001011100000_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00000000001000001001001110100011_00000000000000010000001011100100_10111110111011110101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010000
+1_00111111111111110000001000010111_00000000000000010000001011101000_10111110111011110101011001111000_0_1_1_0_00000000000000000011000010011000_0011_1_01000000000000000000000000010010
+1_11010010101000100000001000010011_00000000000000010000001011101100_10111110111011110101011001111000_0_1_1_0_00000000000000000011000010011000_0011_1_01000000000000000000000000010010
+1_00000000000000100001001010000011_00000000000000010000001011110000_10111110111011110101011001111000_0_1_1_0_00000000000000000011000010011000_0011_1_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_10111110111011110101011001111000_0_0_0_0_00000000000000000011000010011000_0011_1_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_10111110111011110101011001111000_0_0_0_0_00000000000000000011000010011000_0011_1_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_10111110111011110101011001111000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_10111110111011110101011001111000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_10111110111011110101011001111000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_10111110111011110101011001111000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_10111110111011110101011001111000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_10111110111011110101011001111000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000000000011001110110111_00000000000000010000001011110100_00110000100110000101011001111000_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00001001100000111000001110010011_00000000000000010000001011111000_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000101100000000000110010011_00000000000000010000001011111100_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00101110011100101001011001100011_00000000000000010000001100000000_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000110000000000000110010011_00000000000000010000001100000100_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000000000000001000010011_00000000000000010000001100001000_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_11111111111111111101000010110111_00000000000000010000001100001100_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_11001101110100001000000010010011_00000000000000010000001100010000_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00111111111111110000000100010111_00000000000000010000001100010100_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_11001110110000010000000100010011_00000000000000010000001100011000_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000100010001000000100011_00000000000000010000001100011100_00110000100110000101011001111000_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000010010
+1_00000000000000010001011100000011_00000000000000010000001100100000_00110000100110000101011001111000_0_1_1_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_0_0_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_0_0_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_0_0_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_0_0_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_00110000100110000101011001111000_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11001101110100111000001110010011_00000000000000010000001100101000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00101100011101110001000001100011_00000000000000010000001100101100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111100010100100001101011100011_00000000000000010000001100111000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001100111100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_11111111111111111101000010110111_00000000000000010000001100001100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_11111111111111111101000010110111_00000000000000010000001100001100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_11111111111111111101000010110111_00000000000000010000001100001100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_11111111111111111101000010110111_00000000000000010000001100001100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_11111111111111111101000010110111_00000000000000010000001100001100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101000010110111_00000000000000010000001100001100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11001101110100001000000010010011_00000000000000010000001100010000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000001100010100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11001110110000010000000100010011_00000000000000010000001100011000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100010001000000100011_00000000000000010000001100011100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000010001011100000011_00000000000000010000001100100000_10101010000000001100110011011101_0_1_1_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_0_0_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_0_0_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_0_0_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_0_0_0_11111111111111111100110011011101_0011_1_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111101001110110111_00000000000000010000001100100100_10101010000000001100110011011101_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11001101110100111000001110010011_00000000000000010000001100101000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00101100011101110001000001100011_00000000000000010000001100101100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111100010100100001101011100011_00000000000000010000001100111000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001100111100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101000000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111111111111111100000010110111_00000000000000010000001101000100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11001100110100001000000010010011_00000000000000010000001101001000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000001101001100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11001011010000010000000100010011_00000000000000010000001101010000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101010100_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100010001000100100011_00000000000000010000001101011000_10101010000000001100110011011101_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000010001011100000011_00000000000000010000001101011100_10101010000000001100110011011101_0_1_1_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_0_0_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_0_0_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_0_0_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_0_0_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_1_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10101010000000001100110011011101_0_1_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_1_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11001100110100111000001110010011_00000000000000010000001101100100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00101000011101110001001001100011_00000000000000010000001101101000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001101101100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001101110000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001100011100011_00000000000000010000001101110100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000111000000000000110010011_00000000000000010000001101111000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_11111111111111111100000010110111_00000000000000010000001101000100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_11111111111111111100000010110111_00000000000000010000001101000100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_11111111111111111100000010110111_00000000000000010000001101000100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_11111111111111111100000010110111_00000000000000010000001101000100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_11111111111111111100000010110111_00000000000000010000001101000100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100000010110111_00000000000000010000001101000100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11001100110100001000000010010011_00000000000000010000001101001000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000100010111_00000000000000010000001101001100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11001011010000010000000100010011_00000000000000010000001101010000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001101010100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100010001000100100011_00000000000000010000001101011000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000010001011100000011_00000000000000010000001101011100_10111100110011011100110011011101_0_1_1_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_0_0_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_0_0_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_0_0_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_0_0_0_11111111111111111011110011001101_0011_1_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_1_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_0_1_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100001110110111_00000000000000010000001101100000_10111100110011011100110011011101_1_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11001100110100111000001110010011_00000000000000010000001101100100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00101000011101110001001001100011_00000000000000010000001101101000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000001101101100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000001101110000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001100011100011_00000000000000010000001101110100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000111000000000000110010011_00000000000000010000001101111000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000001101111100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111111111111111100000010110111_00000000000000010000001110000000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_10111100110000001000000010010011_00000000000000010000001110000100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000100010111_00000000000000010000001110001000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11000111100000010000000100010011_00000000000000010000001110001100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001110010000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000001110010100_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100010001001000100011_00000000000000010000001110011000_10111100110011011100110011011101_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000010000010001011100000011_00000000000000010000001110011100_10111100110011011100110011011101_0_1_1_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_0_0_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_0_0_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_0_0_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_0_0_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10111100110011011100110011011101_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_10111100110000111000001110010011_00000000000000010000001110100100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00100100011101110001001001100011_00000000000000010000001110101000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001110101100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001110110000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111100010100100001011011100011_00000000000000010000001110110100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000111100000000000110010011_00000000000000010000001110111000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_11111111111111111100000010110111_00000000000000010000001110000000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_11111111111111111100000010110111_00000000000000010000001110000000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_11111111111111111100000010110111_00000000000000010000001110000000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_11111111111111111100000010110111_00000000000000010000001110000000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_11111111111111111100000010110111_00000000000000010000001110000000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111111111111111100000010110111_00000000000000010000001110000000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_10111100110000001000000010010011_00000000000000010000001110000100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000001110001000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11000111100000010000000100010011_00000000000000010000001110001100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001110010000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001110010100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100010001001000100011_00000000000000010000001110011000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000010000010001011100000011_00000000000000010000001110011100_10100000000010101011101111001100_0_1_1_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_0_0_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_0_0_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_0_0_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_0_0_0_11111111111111111011101111001100_0011_1_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_1_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_0_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_0_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_0_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_0_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_0_1_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111111111111111100001110110111_00000000000000010000001110100000_10100000000010101011101111001100_1_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_10111100110000111000001110010011_00000000000000010000001110100100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00100100011101110001001001100011_00000000000000010000001110101000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001110101100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001110110000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111100010100100001011011100011_00000000000000010000001110110100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000111100000000000110010011_00000000000000010000001110111000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001110111100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111111111111111011000010110111_00000000000000010000001111000000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_10111011110000001000000010010011_00000000000000010000001111000100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001111001000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000001111001100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11000011010000010000000100010011_00000000000000010000001111010000_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000100010001001100100011_00000000000000010000001111010100_10100000000010101011101111001100_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000011000010001011100000011_00000000000000010000001111011000_10100000000010101011101111001100_0_1_1_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_0_0_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_0_0_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_0_0_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_0_0_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10100000000010101011101111001100_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_10111011110000111000001110010011_00000000000000010000001111100000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00100000011101110001010001100011_00000000000000010000001111100100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001111101000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001111101100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001100011100011_00000000000000010000001111110000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001000000000000000110010011_00000000000000010000001111110100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_11111111111111111011000010110111_00000000000000010000001111000000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_11111111111111111011000010110111_00000000000000010000001111000000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_11111111111111111011000010110111_00000000000000010000001111000000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_11111111111111111011000010110111_00000000000000010000001111000000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_11111111111111111011000010110111_00000000000000010000001111000000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011000010110111_00000000000000010000001111000000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_10111011110000001000000010010011_00000000000000010000001111000100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000001111001000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000100010111_00000000000000010000001111001100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11000011010000010000000100010011_00000000000000010000001111010000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100010001001100100011_00000000000000010000001111010100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000011000010001011100000011_00000000000000010000001111011000_10101011101111001011101111001100_0_1_1_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_0_0_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_0_0_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_0_0_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_0_0_0_11111111111111111010101110111100_0011_1_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011001110110111_00000000000000010000001111011100_10101011101111001011101111001100_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_10111011110000111000001110010011_00000000000000010000001111100000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00100000011101110001010001100011_00000000000000010000001111100100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000001111101000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000001111101100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001100011100011_00000000000000010000001111110000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001000000000000000110010011_00000000000000010000001111110100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000001000010011_00000000000000010000001111111000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111111111111111011000010110111_00000000000000010000001111111100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_10101011101100001000000010010011_00000000000000010000010000000000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000010000000100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000100010111_00000000000000010000010000001000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_10111111100000010000000100010011_00000000000000010000010000001100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000010000010000_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100010001010000100011_00000000000000010000010000010100_10101011101111001011101111001100_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000100000010001011100000011_00000000000000010000010000011000_10101011101111001011101111001100_0_1_1_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_0_0_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_0_0_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_0_0_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_0_0_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101011101111001011101111001100_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10101011101100111000001110010011_00000000000000010000010000100000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00011100011101110001010001100011_00000000000000010000010000100100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000010000101000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000010000101100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111100010100100001011011100011_00000000000000010000010000110000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000000110010011_00000000000000010000010000110100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_11111111111111111011000010110111_00000000000000010000001111111100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_11111111111111111011000010110111_00000000000000010000001111111100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_11111111111111111011000010110111_00000000000000010000001111111100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_11111111111111111011000010110111_00000000000000010000001111111100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_11111111111111111011000010110111_00000000000000010000001111111100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011000010110111_00000000000000010000001111111100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10101011101100001000000010010011_00000000000000010000010000000000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010000000100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00111111111111110000000100010111_00000000000000010000010000001000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10111111100000010000000100010011_00000000000000010000010000001100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010000010000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100010001010000100011_00000000000000010000010000010100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000100000010001011100000011_00000000000000010000010000011000_10101010000000001010101010111011_0_1_1_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_0_0_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_0_0_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_0_0_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_0_0_0_11111111111111111010101010111011_0011_1_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111011001110110111_00000000000000010000010000011100_10101010000000001010101010111011_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10101011101100111000001110010011_00000000000000010000010000100000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00011100011101110001010001100011_00000000000000010000010000100100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000010000101000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000010000101100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111100010100100001011011100011_00000000000000010000010000110000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000000110010011_00000000000000010000010000110100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000001000010011_00000000000000010000010000111000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111111111111111110000010110111_00000000000000010000010000111100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10101010101100001000000010010011_00000000000000010000010001000000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010001000100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010001001000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00111111111111110000000100010111_00000000000000010000010001001100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10111011010000010000000100010011_00000000000000010000010001010000_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100010001010100100011_00000000000000010000010001010100_10101010000000001010101010111011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000101000010001011100000011_00000000000000010000010001011000_10101010000000001010101010111011_0_1_1_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_0_0_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_0_0_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_0_0_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_0_0_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_1_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_10101010000000001010101010111011_0_1_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_1_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10101010101100111000001110010011_00000000000000010000010001100000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00011000011101110001010001100011_00000000000000010000010001100100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100100000001000010011_00000000000000010000010001101000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000001000000000001010010011_00000000000000010000010001101100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111100010100100001011011100011_00000000000000010000010001110000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000001001000000000000110010011_00000000000000010000010001110100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_11111111111111111110000010110111_00000000000000010000010000111100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_11111111111111111110000010110111_00000000000000010000010000111100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_11111111111111111110000010110111_00000000000000010000010000111100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_11111111111111111110000010110111_00000000000000010000010000111100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_11111111111111111110000010110111_00000000000000010000010000111100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110000010110111_00000000000000010000010000111100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10101010101100001000000010010011_00000000000000010000010001000000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000000000000010011_00000000000000010000010001000100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000000000000010011_00000000000000010000010001001000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00111111111111110000000100010111_00000000000000010000010001001100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10111011010000010000000100010011_00000000000000010000010001010000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100010001010100100011_00000000000000010000010001010100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000101000010001011100000011_00000000000000010000010001011000_11011010101010111010101010111011_0_1_1_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_0_0_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_0_0_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_0_0_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_0_0_0_11111111111111111101101010101011_0011_1_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_1_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_0_1_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111111111111111110001110110111_00000000000000010000010001011100_11011010101010111010101010111011_1_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10101010101100111000001110010011_00000000000000010000010001100000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00011000011101110001010001100011_00000000000000010000010001100100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100100000001000010011_00000000000000010000010001101000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000001000000000001010010011_00000000000000010000010001101100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111100010100100001011011100011_00000000000000010000010001110000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000001001000000000000110010011_00000000000000010000010001110100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000000001000010011_00000000000000010000010001111000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00111111111111110000000100010111_00000000000000010000010001111100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10111000010000010000000100010011_00000000000000010000010010000000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000010000010110111_00000000000000010000010010000100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00100011001100001000000010010011_00000000000000010000010010001000_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100010001000000100011_00000000000000010000010010001100_11011010101010111010101010111011_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000010001011100000011_00000000000000010000010010010000_11011010101010111010101010111011_0_1_1_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_0_0_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_0_0_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_0_0_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_0_0_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_11011010101010111010101010111011_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00100011001100111000001110010011_00000000000000010000010010011000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00010100011101110001100001100011_00000000000000010000010010011100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010100100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111100010100100001101011100011_00000000000000010000010010101000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010010101100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010001111100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010001111100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010001111100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010001111100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010001111100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000010001111100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_10111000010000010000000100010011_00000000000000010000010010000000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010000010110111_00000000000000010000010010000100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00100011001100001000000010010011_00000000000000010000010010001000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100010001000000100011_00000000000000010000010010001100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000010001011100000011_00000000000000010000010010010000_10111100110011010010001000110011_0_1_1_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_0_0_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_0_0_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_0_0_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_0_0_0_00000000000000000010001000110011_0011_1_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000010001110110111_00000000000000010000010010010100_10111100110011010010001000110011_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00100011001100111000001110010011_00000000000000010000010010011000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00010100011101110001100001100011_00000000000000010000010010011100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010100000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010100100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_11111100010100100001101011100011_00000000000000010000010010101000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010010101100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010110000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000010010110100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_10110100110000010000000100010011_00000000000000010000010010111000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000001000010110111_00000000000000010000010010111100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00100010001100001000000010010011_00000000000000010000010011000000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011000100_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000000100010001000100100011_00000000000000010000010011001000_10111100110011010010001000110011_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000000
+1_00000000001000010001011100000011_00000000000000010000010011001100_10111100110011010010001000110011_0_1_1_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_0_0_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_0_0_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_0_0_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_0_0_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_1_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_10111100110011010010001000110011_0_1_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_1_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00100010001100111000001110010011_00000000000000010000010011010100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00010000011101110001101001100011_00000000000000010000010011011000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000010011011100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000010011100000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001100011100011_00000000000000010000010011100100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000001010000000000000110010011_00000000000000010000010011101000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010110100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010110100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010110100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010110100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+0_00111111111111110000000100010111_00000000000000010000010010110100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000100010111_00000000000000010000010010110100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_10110100110000010000000100010011_00000000000000010000010010111000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001000010110111_00000000000000010000010010111100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00100010001100001000000010010011_00000000000000010000010011000000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000010011000100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100010001000100100011_00000000000000010000010011001000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000010001011100000011_00000000000000010000010011001100_00010010001000110010001000110011_0_1_1_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_0_0_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_0_0_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_0_0_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_0_0_0_00000000000000000001001000100011_0011_1_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_1_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_0_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_0_1_0_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001001110110111_00000000000000010000010011010000_00010010001000110010001000110011_1_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00100010001100111000001110010011_00000000000000010000010011010100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00010000011101110001101001100011_00000000000000010000010011011000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100100000001000010011_00000000000000010000010011011100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000001000000000001010010011_00000000000000010000010011100000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_11111100010100100001100011100011_00000000000000010000010011100100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000001010000000000000110010011_00000000000000010000010011101000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000001000010011_00000000000000010000010011101100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00111111111111110000000100010111_00000000000000010000010011110000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_10110001000000010000000100010011_00000000000000010000010011110100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000001000010110111_00000000000000010000010011111000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00010010001000001000000010010011_00000000000000010000010011111100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000010100000000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000000000000000000010011_00000000000000010000010100000100_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000000100010001001000100011_00000000000000010000010100001000_00010010001000110010001000110011_0_1_1_1_01000000000000000000000000000000_0011_0_01000000000000000000000000000010
+1_00000000010000010001011100000011_00000000000000010000010100001100_00010010001000110010001000110011_0_1_1_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_0_0_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_0_0_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_0_0_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_0_0_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_00010010001000110010001000110011_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00010010001000111000001110010011_00000000000000010000010100010100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00001100011101110001101001100011_00000000000000010000010100011000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000010100011100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000010100100000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_11111100010100100001011011100011_00000000000000010000010100100100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000001010100000000000110010011_00000000000000010000010100101000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011110000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011110000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011110000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011110000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011110000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000010011110000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_10110001000000010000000100010011_00000000000000010000010011110100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000001000010110111_00000000000000010000010011111000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00010010001000001000000010010011_00000000000000010000010011111100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010100000000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010100000100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000000100010001001000100011_00000000000000010000010100001000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000100
+1_00000000010000010001011100000011_00000000000000010000010100001100_10101011101111000001000100100010_0_1_1_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_0_0_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_0_0_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_0_0_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_0_0_0_00000000000000000001000100100010_0011_1_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_1_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_0_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_0_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_0_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_0_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_0_1_0_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000001001110110111_00000000000000010000010100010000_10101011101111000001000100100010_1_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00010010001000111000001110010011_00000000000000010000010100010100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00001100011101110001101001100011_00000000000000010000010100011000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000010100011100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000010100100000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_11111100010100100001011011100011_00000000000000010000010100100100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000001010100000000000110010011_00000000000000010000010100101000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000010100101100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000010100110000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_10101101000000010000000100010011_00000000000000010000010100110100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010100111000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00010001001000000000000010010011_00000000000000010000010100111100_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000000100010001001100100011_00000000000000010000010101000000_10101011101111000001000100100010_0_1_1_1_00000000000000000000000000000001_0011_0_01000000000000000000000000000100
+1_00000000011000010001011100000011_00000000000000010000010101000100_10101011101111000001000100100010_0_1_1_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_0_0_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_0_0_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_0_0_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_0_0_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_10101011101111000001000100100010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001010011101110001000001100011_00000000000000010000010101001100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000010101010000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000010101010100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001110011100011_00000000000000010000010101011000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001011000000000000110010011_00000000000000010000010101011100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000100010111_00000000000000010000010100110000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000100010111_00000000000000010000010100110000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000100010111_00000000000000010000010100110000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000100010111_00000000000000010000010100110000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+0_00111111111111110000000100010111_00000000000000010000010100110000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000100010111_00000000000000010000010100110000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_10101101000000010000000100010011_00000000000000010000010100110100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000000010010011_00000000000000010000010100111100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100010001001100100011_00000000000000010000010101000000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000011000010001011100000011_00000000000000010000010101000100_00000001000100100001000100100010_0_1_1_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_0_0_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_0_0_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_0_0_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_0_0_0_00000000000000000000000100010010_0011_1_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00010001001000000000001110010011_00000000000000010000010101001000_00000001000100100001000100100010_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00001010011101110001000001100011_00000000000000010000010101001100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100100000001000010011_00000000000000010000010101010000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000001000000000001010010011_00000000000000010000010101010100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_11111100010100100001110011100011_00000000000000010000010101011000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001011000000000000110010011_00000000000000010000010101011100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000001000010011_00000000000000010000010101100000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00111111111111110000000100010111_00000000000000010000010101100100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_10101001110000010000000100010011_00000000000000010000010101101000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000010101101100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000001000100000000000010010011_00000000000000010000010101110000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000000000000000000010011_00000000000000010000010101110100_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000000100010001010000100011_00000000000000010000010101111000_00000001000100100001000100100010_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000000110
+1_00000000100000010001011100000011_00000000000000010000010101111100_00000001000100100001000100100010_0_1_1_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_0_0_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_0_0_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_0_0_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_0_0_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_00000001000100100001000100100010_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000110011101110001010001100011_00000000000000010000010110000100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000010110001000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000010110001100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111100010100100001101011100011_00000000000000010000010110010000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001011100000000000110010011_00000000000000010000010110010100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010101100100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010101100100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010101100100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010101100100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010101100100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00111111111111110000000100010111_00000000000000010000010101100100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10101001110000010000000100010011_00000000000000010000010101101000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010101101100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000000010010011_00000000000000010000010101110000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010101110100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100010001010000100011_00000000000000010000010101111000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000100000010001011100000011_00000000000000010000010101111100_11011010101010110000000000010001_0_1_1_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_0_0_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_0_0_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_0_0_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_0_0_0_00000000000000000000000000010001_0011_1_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_0_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_0_1_0_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001000100000000001110010011_00000000000000010000010110000000_11011010101010110000000000010001_1_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000110011101110001010001100011_00000000000000010000010110000100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000010110001000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000010110001100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_11111100010100100001101011100011_00000000000000010000010110010000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000001011100000000000110010011_00000000000000010000010110010100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000001000010011_00000000000000010000010110011000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00111111111111110000000100010111_00000000000000010000010110011100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_10100110010000010000000100010011_00000000000000010000010110100000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010110100100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010110101000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000000000011000010110111_00000000000000010000010110101100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100001000000010010011_00000000000000010000010110110000_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000000100010001010100100011_00000000000000010000010110110100_11011010101010110000000000010001_0_1_1_1_00000000000000000000000000000000_0011_0_01000000000000000000000000001000
+1_00000000101000010001011100000011_00000000000000010000010110111000_11011010101010110000000000010001_0_1_1_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_0_0_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_0_0_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_0_0_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_0_0_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_1_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_11011010101010110000000000010001_0_1_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_1_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100111000001110010011_00000000000000010000010111000000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000010011101110001010001100011_00000000000000010000010111000100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100100000001000010011_00000000000000010000010111001000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000001000000000001010010011_00000000000000010000010111001100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111100010100100001011011100011_00000000000000010000010111010000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000001100010100110111_00000000000000010000010111010100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_00111111111111110000000100010111_00000000000000010000010110011100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_00111111111111110000000100010111_00000000000000010000010110011100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_00111111111111110000000100010111_00000000000000010000010110011100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_00111111111111110000000100010111_00000000000000010000010110011100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+0_00111111111111110000000100010111_00000000000000010000010110011100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00111111111111110000000100010111_00000000000000010000010110011100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10100110010000010000000100010011_00000000000000010000010110100000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000000000000010011_00000000000000010000010110100100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000000000000010011_00000000000000010000010110101000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011000010110111_00000000000000010000010110101100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100001000000010010011_00000000000000010000010110110000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100010001010100100011_00000000000000010000010110110100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000101000010001011100000011_00000000000000010000010110111000_00110000000000010000000000010001_0_1_1_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_0_0_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_0_0_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_0_0_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_0_0_0_00000000000000000011000000000001_0011_1_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_1_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_0_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_0_1_0_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000000011001110110111_00000000000000010000010110111100_00110000000000010000000000010001_1_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100111000001110010011_00000000000000010000010111000000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000010011101110001010001100011_00000000000000010000010111000100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000100100000001000010011_00000000000000010000010111001000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000001000000000001010010011_00000000000000010000010111001100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11111100010100100001011011100011_00000000000000010000010111010000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000000000001100010100110111_00000000000000010000010111010100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_11101110111101010000010100010011_00000000000000010000010111011000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00111111111111110000010110010111_00000000000000010000010111011100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_10100010010001011000010110010011_00000000000000010000010111100000_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000000101001011001001100100011_00000000000000010000010111100100_00110000000000010000000000010001_0_1_1_1_10000000000000000000000000000000_0011_0_01000000000000000000000000001010
+1_00000010001100000001000001100011_00000000000000010000010111101000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+1_00001111111100000000000000001111_00000000000000010000010111101100_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+0_00001111111100000000000000001111_00000000000000010000011000001000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+0_00001111111100000000000000001111_00000000000000010000011000001000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+0_00001111111100000000000000001111_00000000000000010000011000001000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+0_00001111111100000000000000001111_00000000000000010000011000001000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+0_00001111111100000000000000001111_00000000000000010000011000001000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+1_00001111111100000000000000001111_00000000000000010000011000001000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+1_00000000000100000000000110010011_00000000000000010000011000001100_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+1_00000101110100000000100010010011_00000000000000010000011000010000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+1_00000000000000000000010100010011_00000000000000010000011000010100_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+1_00000000000000000000000001110011_00000000000000010000011000011000_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
+1_11000000000000000001000001110011_00000000000000010000011000011100_00110000000000010000000000010001_0_1_1_0_00000000000000001011111011101111_0011_1_01000000000000000000000000000110
diff --git a/verilog/dv/test_c0/coe/sh/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/sh/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..2f1ac60
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sh/cekirdek_ps_bin.txt
@@ -0,0 +1,514 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000010100
diff --git a/verilog/dv/test_c0/coe/sh/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/sh/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..8834129
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sh/cekirdek_ps_hex.txt
@@ -0,0 +1,514 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+00010608
+0001060c
+00010610
+00010614
+00010614
diff --git a/verilog/dv/test_c0/coe/sh/cekirdek_yo.txt b/verilog/dv/test_c0/coe/sh/cekirdek_yo.txt
new file mode 100644
index 0000000..e2015fc
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sh/cekirdek_yo.txt
@@ -0,0 +1,401 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_01000000000000000000000101110100
+00000000000000010000000101111000_00001_01000000000000000000000000000000
+00000000000000010000000101111100_00010_00000000000000000000000010101010
+00000000000000010000000110000100_01110_00000000000000000000000010101010
+00000000000000010000000110001000_00111_00000000000000000000000010101010
+00000000000000010000000110001100_00011_00000000000000000000000000000010
+00000000000000010000000110010100_00001_01000000000000000000000110010100
+00000000000000010000000110011000_00001_01000000000000000000000000000000
+00000000000000010000000110011100_00010_11111111111111111011000000000000
+00000000000000010000000110100000_00010_11111111111111111010101000000000
+00000000000000010000000110101000_01110_11111111111111111010101000000000
+00000000000000010000000110101100_00111_11111111111111111011000000000000
+00000000000000010000000110110000_00111_11111111111111111010101000000000
+00000000000000010000000110110100_00011_00000000000000000000000000000011
+00000000000000010000000110111100_00001_01000000000000000000000110111100
+00000000000000010000000111000000_00001_01000000000000000000000000000000
+00000000000000010000000111000100_00010_10111110111011110001000000000000
+00000000000000010000000111001000_00010_10111110111011110000101010100000
+00000000000000010000000111010000_01110_10111110111011110000101010100000
+00000000000000010000000111010100_00111_10111110111011110001000000000000
+00000000000000010000000111011000_00111_10111110111011110000101010100000
+00000000000000010000000111011100_00011_00000000000000000000000000000100
+00000000000000010000000111100100_00001_01000000000000000000000111100100
+00000000000000010000000111101000_00001_01000000000000000000000000000000
+00000000000000010000000111101100_00010_11111111111111111010000000000000
+00000000000000010000000111110000_00010_11111111111111111010000000001010
+00000000000000010000000111111000_01110_11111111111111111010000000001010
+00000000000000010000000111111100_00111_11111111111111111010000000000000
+00000000000000010000001000000000_00111_11111111111111111010000000001010
+00000000000000010000001000000100_00011_00000000000000000000000000000101
+00000000000000010000001000001100_00001_01000000000000000000001000001100
+00000000000000010000001000010000_00001_01000000000000000000000000001110
+00000000000000010000001000010100_00010_00000000000000000000000010101010
+00000000000000010000001000011100_01110_00000000000000000000000010101010
+00000000000000010000001000100000_00111_00000000000000000000000010101010
+00000000000000010000001000100100_00011_00000000000000000000000000000110
+00000000000000010000001000101100_00001_01000000000000000000001000101100
+00000000000000010000001000110000_00001_01000000000000000000000000001110
+00000000000000010000001000110100_00010_11111111111111111011000000000000
+00000000000000010000001000111000_00010_11111111111111111010101000000000
+00000000000000010000001001000000_01110_11111111111111111010101000000000
+00000000000000010000001001000100_00111_11111111111111111011000000000000
+00000000000000010000001001001000_00111_11111111111111111010101000000000
+00000000000000010000001001001100_00011_00000000000000000000000000000111
+00000000000000010000001001010100_00001_01000000000000000000001001010100
+00000000000000010000001001011000_00001_01000000000000000000000000001110
+00000000000000010000001001011100_00010_00000000000000000001000000000000
+00000000000000010000001001100000_00010_00000000000000000000101010100000
+00000000000000010000001001101000_01110_00000000000000000000101010100000
+00000000000000010000001001101100_00111_00000000000000000001000000000000
+00000000000000010000001001110000_00111_00000000000000000000101010100000
+00000000000000010000001001110100_00011_00000000000000000000000000001000
+00000000000000010000001001111100_00001_01000000000000000000001001111100
+00000000000000010000001010000000_00001_01000000000000000000000000001110
+00000000000000010000001010000100_00010_11111111111111111010000000000000
+00000000000000010000001010001000_00010_11111111111111111010000000001010
+00000000000000010000001010010000_01110_11111111111111111010000000001010
+00000000000000010000001010010100_00111_11111111111111111010000000000000
+00000000000000010000001010011000_00111_11111111111111111010000000001010
+00000000000000010000001010011100_00011_00000000000000000000000000001001
+00000000000000010000001010100100_00001_01000000000000000000001010100100
+00000000000000010000001010101000_00001_01000000000000000000000000010000
+00000000000000010000001010101100_00010_00010010001101000101000000000000
+00000000000000010000001010110000_00010_00010010001101000101011001111000
+00000000000000010000001010110100_00100_00111111111111111111111111110000
+00000000000000010000001010111100_00101_00000000000000000101011001111000
+00000000000000010000001011000000_00111_00000000000000000101000000000000
+00000000000000010000001011000100_00111_00000000000000000101011001111000
+00000000000000010000001011001000_00011_00000000000000000000000000001010
+00000000000000010000001011010000_00001_01000000000000000000001011010000
+00000000000000010000001011010100_00001_01000000000000000000000000010000
+00000000000000010000001011011000_00010_00000000000000000011000000000000
+00000000000000010000001011011100_00010_00000000000000000011000010011000
+00000000000000010000001011100000_00001_01000000000000000000000000001011
+00000000000000010000001011101000_00100_01000000000000000000001011101000
+00000000000000010000001011101100_00100_01000000000000000000000000010010
+00000000000000010000001011110000_00101_00000000000000000011000010011000
+00000000000000010000001011110100_00111_00000000000000000011000000000000
+00000000000000010000001011111000_00111_00000000000000000011000010011000
+00000000000000010000001011111100_00011_00000000000000000000000000001011
+00000000000000010000001100000100_00011_00000000000000000000000000001100
+00000000000000010000001100001000_00100_00000000000000000000000000000000
+00000000000000010000001100001100_00001_11111111111111111101000000000000
+00000000000000010000001100010000_00001_11111111111111111100110011011101
+00000000000000010000001100010100_00010_01000000000000000000001100010100
+00000000000000010000001100011000_00010_01000000000000000000000000000000
+00000000000000010000001100100000_01110_11111111111111111100110011011101
+00000000000000010000001100100100_00111_11111111111111111101000000000000
+00000000000000010000001100101000_00111_11111111111111111100110011011101
+00000000000000010000001100110000_00100_00000000000000000000000000000001
+00000000000000010000001100110100_00101_00000000000000000000000000000010
+00000000000000010000001100001100_00001_11111111111111111101000000000000
+00000000000000010000001100010000_00001_11111111111111111100110011011101
+00000000000000010000001100010100_00010_01000000000000000000001100010100
+00000000000000010000001100011000_00010_01000000000000000000000000000000
+00000000000000010000001100100000_01110_11111111111111111100110011011101
+00000000000000010000001100100100_00111_11111111111111111101000000000000
+00000000000000010000001100101000_00111_11111111111111111100110011011101
+00000000000000010000001100110000_00100_00000000000000000000000000000010
+00000000000000010000001100110100_00101_00000000000000000000000000000010
+00000000000000010000001100111100_00011_00000000000000000000000000001101
+00000000000000010000001101000000_00100_00000000000000000000000000000000
+00000000000000010000001101000100_00001_11111111111111111100000000000000
+00000000000000010000001101001000_00001_11111111111111111011110011001101
+00000000000000010000001101001100_00010_01000000000000000000001101001100
+00000000000000010000001101010000_00010_01000000000000000000000000000000
+00000000000000010000001101010100_00000_00000000000000000000000000000000
+00000000000000010000001101011100_01110_11111111111111111011110011001101
+00000000000000010000001101100000_00111_11111111111111111100000000000000
+00000000000000010000001101100100_00111_11111111111111111011110011001101
+00000000000000010000001101101100_00100_00000000000000000000000000000001
+00000000000000010000001101110000_00101_00000000000000000000000000000010
+00000000000000010000001101000100_00001_11111111111111111100000000000000
+00000000000000010000001101001000_00001_11111111111111111011110011001101
+00000000000000010000001101001100_00010_01000000000000000000001101001100
+00000000000000010000001101010000_00010_01000000000000000000000000000000
+00000000000000010000001101010100_00000_00000000000000000000000000000000
+00000000000000010000001101011100_01110_11111111111111111011110011001101
+00000000000000010000001101100000_00111_11111111111111111100000000000000
+00000000000000010000001101100100_00111_11111111111111111011110011001101
+00000000000000010000001101101100_00100_00000000000000000000000000000010
+00000000000000010000001101110000_00101_00000000000000000000000000000010
+00000000000000010000001101111000_00011_00000000000000000000000000001110
+00000000000000010000001101111100_00100_00000000000000000000000000000000
+00000000000000010000001110000000_00001_11111111111111111100000000000000
+00000000000000010000001110000100_00001_11111111111111111011101111001100
+00000000000000010000001110001000_00010_01000000000000000000001110001000
+00000000000000010000001110001100_00010_01000000000000000000000000000000
+00000000000000010000001110010000_00000_00000000000000000000000000000000
+00000000000000010000001110010100_00000_00000000000000000000000000000000
+00000000000000010000001110011100_01110_11111111111111111011101111001100
+00000000000000010000001110100000_00111_11111111111111111100000000000000
+00000000000000010000001110100100_00111_11111111111111111011101111001100
+00000000000000010000001110101100_00100_00000000000000000000000000000001
+00000000000000010000001110110000_00101_00000000000000000000000000000010
+00000000000000010000001110000000_00001_11111111111111111100000000000000
+00000000000000010000001110000100_00001_11111111111111111011101111001100
+00000000000000010000001110001000_00010_01000000000000000000001110001000
+00000000000000010000001110001100_00010_01000000000000000000000000000000
+00000000000000010000001110010000_00000_00000000000000000000000000000000
+00000000000000010000001110010100_00000_00000000000000000000000000000000
+00000000000000010000001110011100_01110_11111111111111111011101111001100
+00000000000000010000001110100000_00111_11111111111111111100000000000000
+00000000000000010000001110100100_00111_11111111111111111011101111001100
+00000000000000010000001110101100_00100_00000000000000000000000000000010
+00000000000000010000001110110000_00101_00000000000000000000000000000010
+00000000000000010000001110111000_00011_00000000000000000000000000001111
+00000000000000010000001110111100_00100_00000000000000000000000000000000
+00000000000000010000001111000000_00001_11111111111111111011000000000000
+00000000000000010000001111000100_00001_11111111111111111010101110111100
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111001100_00010_01000000000000000000001111001100
+00000000000000010000001111010000_00010_01000000000000000000000000000000
+00000000000000010000001111011000_01110_11111111111111111010101110111100
+00000000000000010000001111011100_00111_11111111111111111011000000000000
+00000000000000010000001111100000_00111_11111111111111111010101110111100
+00000000000000010000001111101000_00100_00000000000000000000000000000001
+00000000000000010000001111101100_00101_00000000000000000000000000000010
+00000000000000010000001111000000_00001_11111111111111111011000000000000
+00000000000000010000001111000100_00001_11111111111111111010101110111100
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111001100_00010_01000000000000000000001111001100
+00000000000000010000001111010000_00010_01000000000000000000000000000000
+00000000000000010000001111011000_01110_11111111111111111010101110111100
+00000000000000010000001111011100_00111_11111111111111111011000000000000
+00000000000000010000001111100000_00111_11111111111111111010101110111100
+00000000000000010000001111101000_00100_00000000000000000000000000000010
+00000000000000010000001111101100_00101_00000000000000000000000000000010
+00000000000000010000001111110100_00011_00000000000000000000000000010000
+00000000000000010000001111111000_00100_00000000000000000000000000000000
+00000000000000010000001111111100_00001_11111111111111111011000000000000
+00000000000000010000010000000000_00001_11111111111111111010101010111011
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_00010_01000000000000000000010000001000
+00000000000000010000010000001100_00010_01000000000000000000000000000000
+00000000000000010000010000010000_00000_00000000000000000000000000000000
+00000000000000010000010000011000_01110_11111111111111111010101010111011
+00000000000000010000010000011100_00111_11111111111111111011000000000000
+00000000000000010000010000100000_00111_11111111111111111010101010111011
+00000000000000010000010000101000_00100_00000000000000000000000000000001
+00000000000000010000010000101100_00101_00000000000000000000000000000010
+00000000000000010000001111111100_00001_11111111111111111011000000000000
+00000000000000010000010000000000_00001_11111111111111111010101010111011
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_00010_01000000000000000000010000001000
+00000000000000010000010000001100_00010_01000000000000000000000000000000
+00000000000000010000010000010000_00000_00000000000000000000000000000000
+00000000000000010000010000011000_01110_11111111111111111010101010111011
+00000000000000010000010000011100_00111_11111111111111111011000000000000
+00000000000000010000010000100000_00111_11111111111111111010101010111011
+00000000000000010000010000101000_00100_00000000000000000000000000000010
+00000000000000010000010000101100_00101_00000000000000000000000000000010
+00000000000000010000010000110100_00011_00000000000000000000000000010001
+00000000000000010000010000111000_00100_00000000000000000000000000000000
+00000000000000010000010000111100_00001_11111111111111111110000000000000
+00000000000000010000010001000000_00001_11111111111111111101101010101011
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00000_00000000000000000000000000000000
+00000000000000010000010001001100_00010_01000000000000000000010001001100
+00000000000000010000010001010000_00010_01000000000000000000000000000000
+00000000000000010000010001011000_01110_11111111111111111101101010101011
+00000000000000010000010001011100_00111_11111111111111111110000000000000
+00000000000000010000010001100000_00111_11111111111111111101101010101011
+00000000000000010000010001101000_00100_00000000000000000000000000000001
+00000000000000010000010001101100_00101_00000000000000000000000000000010
+00000000000000010000010000111100_00001_11111111111111111110000000000000
+00000000000000010000010001000000_00001_11111111111111111101101010101011
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00000_00000000000000000000000000000000
+00000000000000010000010001001100_00010_01000000000000000000010001001100
+00000000000000010000010001010000_00010_01000000000000000000000000000000
+00000000000000010000010001011000_01110_11111111111111111101101010101011
+00000000000000010000010001011100_00111_11111111111111111110000000000000
+00000000000000010000010001100000_00111_11111111111111111101101010101011
+00000000000000010000010001101000_00100_00000000000000000000000000000010
+00000000000000010000010001101100_00101_00000000000000000000000000000010
+00000000000000010000010001110100_00011_00000000000000000000000000010010
+00000000000000010000010001111000_00100_00000000000000000000000000000000
+00000000000000010000010001111100_00010_01000000000000000000010001111100
+00000000000000010000010010000000_00010_01000000000000000000000000000000
+00000000000000010000010010000100_00001_00000000000000000010000000000000
+00000000000000010000010010001000_00001_00000000000000000010001000110011
+00000000000000010000010010010000_01110_00000000000000000010001000110011
+00000000000000010000010010010100_00111_00000000000000000010000000000000
+00000000000000010000010010011000_00111_00000000000000000010001000110011
+00000000000000010000010010100000_00100_00000000000000000000000000000001
+00000000000000010000010010100100_00101_00000000000000000000000000000010
+00000000000000010000010001111100_00010_01000000000000000000010001111100
+00000000000000010000010010000000_00010_01000000000000000000000000000000
+00000000000000010000010010000100_00001_00000000000000000010000000000000
+00000000000000010000010010001000_00001_00000000000000000010001000110011
+00000000000000010000010010010000_01110_00000000000000000010001000110011
+00000000000000010000010010010100_00111_00000000000000000010000000000000
+00000000000000010000010010011000_00111_00000000000000000010001000110011
+00000000000000010000010010100000_00100_00000000000000000000000000000010
+00000000000000010000010010100100_00101_00000000000000000000000000000010
+00000000000000010000010010101100_00011_00000000000000000000000000010011
+00000000000000010000010010110000_00100_00000000000000000000000000000000
+00000000000000010000010010110100_00010_01000000000000000000010010110100
+00000000000000010000010010111000_00010_01000000000000000000000000000000
+00000000000000010000010010111100_00001_00000000000000000001000000000000
+00000000000000010000010011000000_00001_00000000000000000001001000100011
+00000000000000010000010011000100_00000_00000000000000000000000000000000
+00000000000000010000010011001100_01110_00000000000000000001001000100011
+00000000000000010000010011010000_00111_00000000000000000001000000000000
+00000000000000010000010011010100_00111_00000000000000000001001000100011
+00000000000000010000010011011100_00100_00000000000000000000000000000001
+00000000000000010000010011100000_00101_00000000000000000000000000000010
+00000000000000010000010010110100_00010_01000000000000000000010010110100
+00000000000000010000010010111000_00010_01000000000000000000000000000000
+00000000000000010000010010111100_00001_00000000000000000001000000000000
+00000000000000010000010011000000_00001_00000000000000000001001000100011
+00000000000000010000010011000100_00000_00000000000000000000000000000000
+00000000000000010000010011001100_01110_00000000000000000001001000100011
+00000000000000010000010011010000_00111_00000000000000000001000000000000
+00000000000000010000010011010100_00111_00000000000000000001001000100011
+00000000000000010000010011011100_00100_00000000000000000000000000000010
+00000000000000010000010011100000_00101_00000000000000000000000000000010
+00000000000000010000010011101000_00011_00000000000000000000000000010100
+00000000000000010000010011101100_00100_00000000000000000000000000000000
+00000000000000010000010011110000_00010_01000000000000000000010011110000
+00000000000000010000010011110100_00010_01000000000000000000000000000000
+00000000000000010000010011111000_00001_00000000000000000001000000000000
+00000000000000010000010011111100_00001_00000000000000000001000100100010
+00000000000000010000010100000000_00000_00000000000000000000000000000000
+00000000000000010000010100000100_00000_00000000000000000000000000000000
+00000000000000010000010100001100_01110_00000000000000000001000100100010
+00000000000000010000010100010000_00111_00000000000000000001000000000000
+00000000000000010000010100010100_00111_00000000000000000001000100100010
+00000000000000010000010100011100_00100_00000000000000000000000000000001
+00000000000000010000010100100000_00101_00000000000000000000000000000010
+00000000000000010000010011110000_00010_01000000000000000000010011110000
+00000000000000010000010011110100_00010_01000000000000000000000000000000
+00000000000000010000010011111000_00001_00000000000000000001000000000000
+00000000000000010000010011111100_00001_00000000000000000001000100100010
+00000000000000010000010100000000_00000_00000000000000000000000000000000
+00000000000000010000010100000100_00000_00000000000000000000000000000000
+00000000000000010000010100001100_01110_00000000000000000001000100100010
+00000000000000010000010100010000_00111_00000000000000000001000000000000
+00000000000000010000010100010100_00111_00000000000000000001000100100010
+00000000000000010000010100011100_00100_00000000000000000000000000000010
+00000000000000010000010100100000_00101_00000000000000000000000000000010
+00000000000000010000010100101000_00011_00000000000000000000000000010101
+00000000000000010000010100101100_00100_00000000000000000000000000000000
+00000000000000010000010100110000_00010_01000000000000000000010100110000
+00000000000000010000010100110100_00010_01000000000000000000000000000000
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00001_00000000000000000000000100010010
+00000000000000010000010101000100_01110_00000000000000000000000100010010
+00000000000000010000010101001000_00111_00000000000000000000000100010010
+00000000000000010000010101010000_00100_00000000000000000000000000000001
+00000000000000010000010101010100_00101_00000000000000000000000000000010
+00000000000000010000010100110000_00010_01000000000000000000010100110000
+00000000000000010000010100110100_00010_01000000000000000000000000000000
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00001_00000000000000000000000100010010
+00000000000000010000010101000100_01110_00000000000000000000000100010010
+00000000000000010000010101001000_00111_00000000000000000000000100010010
+00000000000000010000010101010000_00100_00000000000000000000000000000010
+00000000000000010000010101010100_00101_00000000000000000000000000000010
+00000000000000010000010101011100_00011_00000000000000000000000000010110
+00000000000000010000010101100000_00100_00000000000000000000000000000000
+00000000000000010000010101100100_00010_01000000000000000000010101100100
+00000000000000010000010101101000_00010_01000000000000000000000000000000
+00000000000000010000010101101100_00000_00000000000000000000000000000000
+00000000000000010000010101110000_00001_00000000000000000000000000010001
+00000000000000010000010101110100_00000_00000000000000000000000000000000
+00000000000000010000010101111100_01110_00000000000000000000000000010001
+00000000000000010000010110000000_00111_00000000000000000000000000010001
+00000000000000010000010110001000_00100_00000000000000000000000000000001
+00000000000000010000010110001100_00101_00000000000000000000000000000010
+00000000000000010000010101100100_00010_01000000000000000000010101100100
+00000000000000010000010101101000_00010_01000000000000000000000000000000
+00000000000000010000010101101100_00000_00000000000000000000000000000000
+00000000000000010000010101110000_00001_00000000000000000000000000010001
+00000000000000010000010101110100_00000_00000000000000000000000000000000
+00000000000000010000010101111100_01110_00000000000000000000000000010001
+00000000000000010000010110000000_00111_00000000000000000000000000010001
+00000000000000010000010110001000_00100_00000000000000000000000000000010
+00000000000000010000010110001100_00101_00000000000000000000000000000010
+00000000000000010000010110010100_00011_00000000000000000000000000010111
+00000000000000010000010110011000_00100_00000000000000000000000000000000
+00000000000000010000010110011100_00010_01000000000000000000010110011100
+00000000000000010000010110100000_00010_01000000000000000000000000000000
+00000000000000010000010110100100_00000_00000000000000000000000000000000
+00000000000000010000010110101000_00000_00000000000000000000000000000000
+00000000000000010000010110101100_00001_00000000000000000011000000000000
+00000000000000010000010110110000_00001_00000000000000000011000000000001
+00000000000000010000010110111000_01110_00000000000000000011000000000001
+00000000000000010000010110111100_00111_00000000000000000011000000000000
+00000000000000010000010111000000_00111_00000000000000000011000000000001
+00000000000000010000010111001000_00100_00000000000000000000000000000001
+00000000000000010000010111001100_00101_00000000000000000000000000000010
+00000000000000010000010110011100_00010_01000000000000000000010110011100
+00000000000000010000010110100000_00010_01000000000000000000000000000000
+00000000000000010000010110100100_00000_00000000000000000000000000000000
+00000000000000010000010110101000_00000_00000000000000000000000000000000
+00000000000000010000010110101100_00001_00000000000000000011000000000000
+00000000000000010000010110110000_00001_00000000000000000011000000000001
+00000000000000010000010110111000_01110_00000000000000000011000000000001
+00000000000000010000010110111100_00111_00000000000000000011000000000000
+00000000000000010000010111000000_00111_00000000000000000011000000000001
+00000000000000010000010111001000_00100_00000000000000000000000000000010
+00000000000000010000010111001100_00101_00000000000000000000000000000010
+00000000000000010000010111010100_01010_00000000000000001100000000000000
+00000000000000010000010111011000_01010_00000000000000001011111011101111
+00000000000000010000010111011100_01011_01000000000000000000010111011100
+00000000000000010000010111100000_01011_01000000000000000000000000000000
+00000000000000010000011000001100_00011_00000000000000000000000000000001
+00000000000000010000011000010000_10001_00000000000000000000000001011101
+00000000000000010000011000010100_01010_00000000000000000000000000000000
+00000000000000010000011000010100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sh/dmem.coe b/verilog/dv/test_c0/coe/sh/dmem.coe
new file mode 100644
index 0000000..2175f84
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sh/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+BEEFBEEF,
+BEEFBEEF,
+BEEFBEEF,
+BEEFBEEF,
+BEEFBEEF,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sh/imem.coe b/verilog/dv/test_c0/coe/sh/imem.coe
new file mode 100644
index 0000000..0ba9e55
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sh/imem.coe
@@ -0,0 +1,401 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+3FFF0097,
+E8C08093,
+0AA00113,
+00209023,
+00009703,
+0AA00393,
+00200193,
+44771E63,
+3FFF0097,
+E6C08093,
+FFFFB137,
+A0010113,
+00209123,
+00209703,
+FFFFB3B7,
+A0038393,
+00300193,
+42771A63,
+3FFF0097,
+E4408093,
+BEEF1137,
+AA010113,
+00209223,
+0040A703,
+BEEF13B7,
+AA038393,
+00400193,
+40771663,
+3FFF0097,
+E1C08093,
+FFFFA137,
+00A10113,
+00209323,
+00609703,
+FFFFA3B7,
+00A38393,
+00500193,
+3E771263,
+3FFF0097,
+E0208093,
+0AA00113,
+FE209D23,
+FFA09703,
+0AA00393,
+00600193,
+3C771263,
+3FFF0097,
+DE208093,
+FFFFB137,
+A0010113,
+FE209E23,
+FFC09703,
+FFFFB3B7,
+A0038393,
+00700193,
+38771E63,
+3FFF0097,
+DBA08093,
+00001137,
+AA010113,
+FE209F23,
+FFE09703,
+000013B7,
+AA038393,
+00800193,
+36771A63,
+3FFF0097,
+D9208093,
+FFFFA137,
+00A10113,
+00209023,
+00009703,
+FFFFA3B7,
+00A38393,
+00900193,
+34771663,
+3FFF0097,
+D6C08093,
+12345137,
+67810113,
+FE008213,
+02221023,
+00009283,
+000053B7,
+67838393,
+00A00193,
+32729063,
+3FFF0097,
+D4008093,
+00003137,
+09810113,
+FFB08093,
+002093A3,
+3FFF0217,
+D2A20213,
+00021283,
+000033B7,
+09838393,
+00B00193,
+2E729663,
+00C00193,
+00000213,
+FFFFD0B7,
+CDD08093,
+3FFF0117,
+CEC10113,
+00111023,
+00011703,
+FFFFD3B7,
+CDD38393,
+2C771063,
+00120213,
+00200293,
+FC521AE3,
+00D00193,
+00000213,
+FFFFC0B7,
+CCD08093,
+3FFF0117,
+CB410113,
+00000013,
+00111123,
+00211703,
+FFFFC3B7,
+CCD38393,
+28771263,
+00120213,
+00200293,
+FC5218E3,
+00E00193,
+00000213,
+FFFFC0B7,
+BCC08093,
+3FFF0117,
+C7810113,
+00000013,
+00000013,
+00111223,
+00411703,
+FFFFC3B7,
+BCC38393,
+24771263,
+00120213,
+00200293,
+FC5216E3,
+00F00193,
+00000213,
+FFFFB0B7,
+BBC08093,
+00000013,
+3FFF0117,
+C3410113,
+00111323,
+00611703,
+FFFFB3B7,
+BBC38393,
+20771463,
+00120213,
+00200293,
+FC5218E3,
+01000193,
+00000213,
+FFFFB0B7,
+ABB08093,
+00000013,
+3FFF0117,
+BF810113,
+00000013,
+00111423,
+00811703,
+FFFFB3B7,
+ABB38393,
+1C771463,
+00120213,
+00200293,
+FC5216E3,
+01100193,
+00000213,
+FFFFE0B7,
+AAB08093,
+00000013,
+00000013,
+3FFF0117,
+BB410113,
+00111523,
+00A11703,
+FFFFE3B7,
+AAB38393,
+18771463,
+00120213,
+00200293,
+FC5216E3,
+01200193,
+00000213,
+3FFF0117,
+B8410113,
+000020B7,
+23308093,
+00111023,
+00011703,
+000023B7,
+23338393,
+14771863,
+00120213,
+00200293,
+FC521AE3,
+01300193,
+00000213,
+3FFF0117,
+B4C10113,
+000010B7,
+22308093,
+00000013,
+00111123,
+00211703,
+000013B7,
+22338393,
+10771A63,
+00120213,
+00200293,
+FC5218E3,
+01400193,
+00000213,
+3FFF0117,
+B1010113,
+000010B7,
+12208093,
+00000013,
+00000013,
+00111223,
+00411703,
+000013B7,
+12238393,
+0C771A63,
+00120213,
+00200293,
+FC5216E3,
+01500193,
+00000213,
+3FFF0117,
+AD010113,
+00000013,
+11200093,
+00111323,
+00611703,
+11200393,
+0A771063,
+00120213,
+00200293,
+FC521CE3,
+01600193,
+00000213,
+3FFF0117,
+A9C10113,
+00000013,
+01100093,
+00000013,
+00111423,
+00811703,
+01100393,
+06771463,
+00120213,
+00200293,
+FC521AE3,
+01700193,
+00000213,
+3FFF0117,
+A6410113,
+00000013,
+00000013,
+000030B7,
+00108093,
+00111523,
+00A11703,
+000033B7,
+00138393,
+02771463,
+00120213,
+00200293,
+FC5216E3,
+0000C537,
+EEF50513,
+3FFF0597,
+A2458593,
+00A59323,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/simple/cekirdek_dy.txt b/verilog/dv/test_c0/coe/simple/cekirdek_dy.txt
new file mode 100644
index 0000000..63e8304
--- /dev/null
+++ b/verilog/dv/test_c0/coe/simple/cekirdek_dy.txt
@@ -0,0 +1,187 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000000101111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000000101111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000110000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000000110000100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000000110001000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/simple/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/simple/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..7a6244d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/simple/cekirdek_ps_bin.txt
@@ -0,0 +1,72 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000000
diff --git a/verilog/dv/test_c0/coe/simple/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/simple/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..9310bea
--- /dev/null
+++ b/verilog/dv/test_c0/coe/simple/cekirdek_ps_hex.txt
@@ -0,0 +1,72 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010180
diff --git a/verilog/dv/test_c0/coe/simple/cekirdek_yo.txt b/verilog/dv/test_c0/coe/simple/cekirdek_yo.txt
new file mode 100644
index 0000000..9e02e1a
--- /dev/null
+++ b/verilog/dv/test_c0/coe/simple/cekirdek_yo.txt
@@ -0,0 +1,53 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101111000_00011_00000000000000000000000000000001
+00000000000000010000000101111100_10001_00000000000000000000000001011101
+00000000000000010000000110000000_01010_00000000000000000000000000000000
+00000000000000010000000110000000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/simple/dmem.coe b/verilog/dv/test_c0/coe/simple/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/simple/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/simple/imem.coe b/verilog/dv/test_c0/coe/simple/imem.coe
new file mode 100644
index 0000000..4e183ba
--- /dev/null
+++ b/verilog/dv/test_c0/coe/simple/imem.coe
@@ -0,0 +1,113 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sll/cekirdek_dy.txt b/verilog/dv/test_c0/coe/sll/cekirdek_dy.txt
new file mode 100644
index 0000000..16254e3
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sll/cekirdek_dy.txt
@@ -0,0 +1,735 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010010011101110001111001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010010011101110001001001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000011101110001011001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001110011101110001101001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001100011101110001111001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001100011101110001001001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001011001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111000000000000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001101001100011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111100001110110111_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001111001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001001001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010001110110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100111000001110010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001001001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010010000100100001110110111_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001000111000001110010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001001001100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10010000100100001001001110110111_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000111000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001001001100011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000010010000100001110110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001010001100011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001011001100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100000000000000000100010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010001110110111_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100111000001110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001011001100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100000100000000000100010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010010000100100001110110111_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001000111000001110010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001011001100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100011100000000000100010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10010000100100001001001110110111_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000111000001110010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001011001100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100111000000000000100010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000010010000100001110110111_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011101110001100001100011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001000010110011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100001001110001100011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001000100110011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100010001000001100011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001001000010110011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000001110010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100001001011001100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011100110001000001100011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001100001100011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011100110001111001100011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001101001100011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001010001100011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001110001100011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001011001100011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001111001100011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001011001100011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001001001100011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001110001100011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000000000000110010011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001010001100011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010100000000000110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001111001100011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011000000000000110010011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001011001100011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000011001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000011001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000011001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001011100110011_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000011001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100000000000110010011_00000000000000010000011001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001111001100011_00000000000000010000011001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000011001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000001000100110011_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010100000000000000110010011_00000000000000010000011001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001010001100011_00000000000000010000011001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000010010011_00000000000000010000011010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001000100110011_00000000000000010000011010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000001110010011_00000000000000010000011010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010100100000000000110010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001101001100011_00000000000000010000011010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001000010110011_00000000000000010000011010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010101000000000000110010011_00000000000000010000011010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001001001100011_00000000000000010000011010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000000000010010011_00000000000000010000011010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001000100110111_00000000000000010000011010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000010000000100010011_00000000000000010000011010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001001000000110011_00000000000000010000011010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010101100000000000110010011_00000000000000010000011010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011011100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011011101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011011101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011011110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011011110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sll/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/sll/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..71ff5fe
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sll/cekirdek_ps_bin.txt
@@ -0,0 +1,524 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011000111100
+00000000000000010000011001000000
+00000000000000010000011001000100
+00000000000000010000011001001000
+00000000000000010000011001001100
+00000000000000010000011001010000
+00000000000000010000011001010100
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001000000
+00000000000000010000011001000100
+00000000000000010000011001001000
+00000000000000010000011001001100
+00000000000000010000011001010000
+00000000000000010000011001010100
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001101000
+00000000000000010000011001101100
+00000000000000010000011001110000
+00000000000000010000011001110100
+00000000000000010000011001111000
+00000000000000010000011001111100
+00000000000000010000011010000000
+00000000000000010000011010000100
+00000000000000010000011010001000
+00000000000000010000011010001100
+00000000000000010000011010010000
+00000000000000010000011010010100
+00000000000000010000011010011000
+00000000000000010000011010011100
+00000000000000010000011010100000
+00000000000000010000011010100100
+00000000000000010000011010101000
+00000000000000010000011010101100
+00000000000000010000011010110000
+00000000000000010000011010110100
+00000000000000010000011010111000
+00000000000000010000011010111100
+00000000000000010000011011000000
+00000000000000010000011011100000
+00000000000000010000011011100100
+00000000000000010000011011101000
+00000000000000010000011011101100
+00000000000000010000011011101100
diff --git a/verilog/dv/test_c0/coe/sll/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/sll/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..7eb532b
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sll/cekirdek_ps_hex.txt
@@ -0,0 +1,524 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+0001063c
+00010640
+00010644
+00010648
+0001064c
+00010650
+00010654
+00010658
+0001065c
+00010640
+00010644
+00010648
+0001064c
+00010650
+00010654
+00010658
+0001065c
+00010660
+00010664
+00010668
+0001066c
+00010670
+00010674
+00010678
+0001067c
+00010680
+00010684
+00010688
+0001068c
+00010690
+00010694
+00010698
+0001069c
+000106a0
+000106a4
+000106a8
+000106ac
+000106b0
+000106b4
+000106b8
+000106bc
+000106c0
+000106e0
+000106e4
+000106e8
+000106ec
+000106ec
diff --git a/verilog/dv/test_c0/coe/sll/cekirdek_yo.txt b/verilog/dv/test_c0/coe/sll/cekirdek_yo.txt
new file mode 100644
index 0000000..da99154
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sll/cekirdek_yo.txt
@@ -0,0 +1,433 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000001
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000000000001
+00000000000000010000000110000000_00111_00000000000000000000000000000001
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00000000000000000000000000000001
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_00000000000000000000000000000010
+00000000000000010000000110011000_00111_00000000000000000000000000000010
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000000001
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_00000000000000000000000010000000
+00000000000000010000000110110000_00111_00000000000000000000000010000000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_00000000000000000000000000000001
+00000000000000010000000111000000_00010_00000000000000000000000000001110
+00000000000000010000000111000100_01110_00000000000000000100000000000000
+00000000000000010000000111001000_00111_00000000000000000100000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_00000000000000000000000000000001
+00000000000000010000000111011000_00010_00000000000000000000000000011111
+00000000000000010000000111011100_01110_10000000000000000000000000000000
+00000000000000010000000111100000_00111_10000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_11111111111111111111111111111111
+00000000000000010000000111110000_00010_00000000000000000000000000000000
+00000000000000010000000111110100_01110_11111111111111111111111111111111
+00000000000000010000000111111000_00111_11111111111111111111111111111111
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_11111111111111111111111111111111
+00000000000000010000001000001000_00010_00000000000000000000000000000001
+00000000000000010000001000001100_01110_11111111111111111111111111111110
+00000000000000010000001000010000_00111_11111111111111111111111111111110
+00000000000000010000001000010100_00011_00000000000000000000000000001000
+00000000000000010000001000011100_00001_11111111111111111111111111111111
+00000000000000010000001000100000_00010_00000000000000000000000000000111
+00000000000000010000001000100100_01110_11111111111111111111111110000000
+00000000000000010000001000101000_00111_11111111111111111111111110000000
+00000000000000010000001000101100_00011_00000000000000000000000000001001
+00000000000000010000001000110100_00001_11111111111111111111111111111111
+00000000000000010000001000111000_00010_00000000000000000000000000001110
+00000000000000010000001000111100_01110_11111111111111111100000000000000
+00000000000000010000001001000000_00111_11111111111111111100000000000000
+00000000000000010000001001000100_00011_00000000000000000000000000001010
+00000000000000010000001001001100_00001_11111111111111111111111111111111
+00000000000000010000001001010000_00010_00000000000000000000000000011111
+00000000000000010000001001010100_01110_10000000000000000000000000000000
+00000000000000010000001001011000_00111_10000000000000000000000000000000
+00000000000000010000001001011100_00011_00000000000000000000000000001011
+00000000000000010000001001100100_00001_00100001001000010010000000000000
+00000000000000010000001001101000_00001_00100001001000010010000100100001
+00000000000000010000001001101100_00010_00000000000000000000000000000000
+00000000000000010000001001110000_01110_00100001001000010010000100100001
+00000000000000010000001001110100_00111_00100001001000010010000000000000
+00000000000000010000001001111000_00111_00100001001000010010000100100001
+00000000000000010000001001111100_00011_00000000000000000000000000001100
+00000000000000010000001010000100_00001_00100001001000010010000000000000
+00000000000000010000001010001000_00001_00100001001000010010000100100001
+00000000000000010000001010001100_00010_00000000000000000000000000000001
+00000000000000010000001010010000_01110_01000010010000100100001001000010
+00000000000000010000001010010100_00111_01000010010000100100000000000000
+00000000000000010000001010011000_00111_01000010010000100100001001000010
+00000000000000010000001010011100_00011_00000000000000000000000000001101
+00000000000000010000001010100100_00001_00100001001000010010000000000000
+00000000000000010000001010101000_00001_00100001001000010010000100100001
+00000000000000010000001010101100_00010_00000000000000000000000000000111
+00000000000000010000001010110000_01110_10010000100100001001000010000000
+00000000000000010000001010110100_00111_10010000100100001001000000000000
+00000000000000010000001010111000_00111_10010000100100001001000010000000
+00000000000000010000001010111100_00011_00000000000000000000000000001110
+00000000000000010000001011000100_00001_00100001001000010010000000000000
+00000000000000010000001011001000_00001_00100001001000010010000100100001
+00000000000000010000001011001100_00010_00000000000000000000000000001110
+00000000000000010000001011010000_01110_01001000010010000100000000000000
+00000000000000010000001011010100_00111_01001000010010000100000000000000
+00000000000000010000001011011000_00011_00000000000000000000000000001111
+00000000000000010000001011100000_00001_00100001001000010010000000000000
+00000000000000010000001011100100_00001_00100001001000010010000100100001
+00000000000000010000001011101000_00010_00000000000000000000000000011111
+00000000000000010000001011101100_01110_10000000000000000000000000000000
+00000000000000010000001011110000_00111_10000000000000000000000000000000
+00000000000000010000001011110100_00011_00000000000000000000000000010000
+00000000000000010000001011111100_00001_00100001001000010010000000000000
+00000000000000010000001100000000_00001_00100001001000010010000100100001
+00000000000000010000001100000100_00010_11111111111111111111111111000000
+00000000000000010000001100001000_01110_00100001001000010010000100100001
+00000000000000010000001100001100_00111_00100001001000010010000000000000
+00000000000000010000001100010000_00111_00100001001000010010000100100001
+00000000000000010000001100010100_00011_00000000000000000000000000010001
+00000000000000010000001100011100_00001_00100001001000010010000000000000
+00000000000000010000001100100000_00001_00100001001000010010000100100001
+00000000000000010000001100100100_00010_11111111111111111111111111000001
+00000000000000010000001100101000_01110_01000010010000100100001001000010
+00000000000000010000001100101100_00111_01000010010000100100000000000000
+00000000000000010000001100110000_00111_01000010010000100100001001000010
+00000000000000010000001100110100_00011_00000000000000000000000000010010
+00000000000000010000001100111100_00001_00100001001000010010000000000000
+00000000000000010000001101000000_00001_00100001001000010010000100100001
+00000000000000010000001101000100_00010_11111111111111111111111111000111
+00000000000000010000001101001000_01110_10010000100100001001000010000000
+00000000000000010000001101001100_00111_10010000100100001001000000000000
+00000000000000010000001101010000_00111_10010000100100001001000010000000
+00000000000000010000001101010100_00011_00000000000000000000000000010011
+00000000000000010000001101011100_00001_00100001001000010010000000000000
+00000000000000010000001101100000_00001_00100001001000010010000100100001
+00000000000000010000001101100100_00010_11111111111111111111111111001110
+00000000000000010000001101101000_01110_01001000010010000100000000000000
+00000000000000010000001101101100_00111_01001000010010000100000000000000
+00000000000000010000001101110000_00011_00000000000000000000000000010100
+00000000000000010000001101111000_00001_00000000000000000000000000000001
+00000000000000010000001101111100_00010_00000000000000000000000000000111
+00000000000000010000001110000000_00001_00000000000000000000000010000000
+00000000000000010000001110000100_00111_00000000000000000000000010000000
+00000000000000010000001110001000_00011_00000000000000000000000000010110
+00000000000000010000001110010000_00001_00000000000000000000000000000001
+00000000000000010000001110010100_00010_00000000000000000000000000001110
+00000000000000010000001110011000_00010_00000000000000000100000000000000
+00000000000000010000001110011100_00111_00000000000000000100000000000000
+00000000000000010000001110100000_00011_00000000000000000000000000010111
+00000000000000010000001110101000_00001_00000000000000000000000000000011
+00000000000000010000001110101100_00001_00000000000000000000000000011000
+00000000000000010000001110110000_00111_00000000000000000000000000011000
+00000000000000010000001110110100_00011_00000000000000000000000000011000
+00000000000000010000001110111100_00100_00000000000000000000000000000000
+00000000000000010000001111000000_00001_00000000000000000000000000000001
+00000000000000010000001111000100_00010_00000000000000000000000000000111
+00000000000000010000001111001000_01110_00000000000000000000000010000000
+00000000000000010000001111001100_00110_00000000000000000000000010000000
+00000000000000010000001111010000_00100_00000000000000000000000000000001
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001111000000_00001_00000000000000000000000000000001
+00000000000000010000001111000100_00010_00000000000000000000000000000111
+00000000000000010000001111001000_01110_00000000000000000000000010000000
+00000000000000010000001111001100_00110_00000000000000000000000010000000
+00000000000000010000001111010000_00100_00000000000000000000000000000010
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001111011100_00111_00000000000000000000000010000000
+00000000000000010000001111100000_00011_00000000000000000000000000011001
+00000000000000010000001111101000_00100_00000000000000000000000000000000
+00000000000000010000001111101100_00001_00000000000000000000000000000001
+00000000000000010000001111110000_00010_00000000000000000000000000001110
+00000000000000010000001111110100_01110_00000000000000000100000000000000
+00000000000000010000001111111000_00000_00000000000000000000000000000000
+00000000000000010000001111111100_00110_00000000000000000100000000000000
+00000000000000010000010000000000_00100_00000000000000000000000000000001
+00000000000000010000010000000100_00101_00000000000000000000000000000010
+00000000000000010000001111101100_00001_00000000000000000000000000000001
+00000000000000010000001111110000_00010_00000000000000000000000000001110
+00000000000000010000001111110100_01110_00000000000000000100000000000000
+00000000000000010000001111111000_00000_00000000000000000000000000000000
+00000000000000010000001111111100_00110_00000000000000000100000000000000
+00000000000000010000010000000000_00100_00000000000000000000000000000010
+00000000000000010000010000000100_00101_00000000000000000000000000000010
+00000000000000010000010000001100_00111_00000000000000000100000000000000
+00000000000000010000010000010000_00011_00000000000000000000000000011010
+00000000000000010000010000011000_00100_00000000000000000000000000000000
+00000000000000010000010000011100_00001_00000000000000000000000000000001
+00000000000000010000010000100000_00010_00000000000000000000000000011111
+00000000000000010000010000100100_01110_10000000000000000000000000000000
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_00110_10000000000000000000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000001
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010000011100_00001_00000000000000000000000000000001
+00000000000000010000010000100000_00010_00000000000000000000000000011111
+00000000000000010000010000100100_01110_10000000000000000000000000000000
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_00110_10000000000000000000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000010
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010001000000_00111_10000000000000000000000000000000
+00000000000000010000010001000100_00011_00000000000000000000000000011011
+00000000000000010000010001001100_00100_00000000000000000000000000000000
+00000000000000010000010001010000_00001_00000000000000000000000000000001
+00000000000000010000010001010100_00010_00000000000000000000000000000111
+00000000000000010000010001011000_01110_00000000000000000000000010000000
+00000000000000010000010001011100_00100_00000000000000000000000000000001
+00000000000000010000010001100000_00101_00000000000000000000000000000010
+00000000000000010000010001010000_00001_00000000000000000000000000000001
+00000000000000010000010001010100_00010_00000000000000000000000000000111
+00000000000000010000010001011000_01110_00000000000000000000000010000000
+00000000000000010000010001011100_00100_00000000000000000000000000000010
+00000000000000010000010001100000_00101_00000000000000000000000000000010
+00000000000000010000010001101000_00111_00000000000000000000000010000000
+00000000000000010000010001101100_00011_00000000000000000000000000011100
+00000000000000010000010001110100_00100_00000000000000000000000000000000
+00000000000000010000010001111000_00001_00000000000000000000000000000001
+00000000000000010000010001111100_00010_00000000000000000000000000001110
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_01110_00000000000000000100000000000000
+00000000000000010000010010001000_00100_00000000000000000000000000000001
+00000000000000010000010010001100_00101_00000000000000000000000000000010
+00000000000000010000010001111000_00001_00000000000000000000000000000001
+00000000000000010000010001111100_00010_00000000000000000000000000001110
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_01110_00000000000000000100000000000000
+00000000000000010000010010001000_00100_00000000000000000000000000000010
+00000000000000010000010010001100_00101_00000000000000000000000000000010
+00000000000000010000010010010100_00111_00000000000000000100000000000000
+00000000000000010000010010011000_00011_00000000000000000000000000011101
+00000000000000010000010010100000_00100_00000000000000000000000000000000
+00000000000000010000010010100100_00001_00000000000000000000000000000001
+00000000000000010000010010101000_00010_00000000000000000000000000011111
+00000000000000010000010010101100_00000_00000000000000000000000000000000
+00000000000000010000010010110000_00000_00000000000000000000000000000000
+00000000000000010000010010110100_01110_10000000000000000000000000000000
+00000000000000010000010010111000_00100_00000000000000000000000000000001
+00000000000000010000010010111100_00101_00000000000000000000000000000010
+00000000000000010000010010100100_00001_00000000000000000000000000000001
+00000000000000010000010010101000_00010_00000000000000000000000000011111
+00000000000000010000010010101100_00000_00000000000000000000000000000000
+00000000000000010000010010110000_00000_00000000000000000000000000000000
+00000000000000010000010010110100_01110_10000000000000000000000000000000
+00000000000000010000010010111000_00100_00000000000000000000000000000010
+00000000000000010000010010111100_00101_00000000000000000000000000000010
+00000000000000010000010011000100_00111_10000000000000000000000000000000
+00000000000000010000010011001000_00011_00000000000000000000000000011110
+00000000000000010000010011010000_00100_00000000000000000000000000000000
+00000000000000010000010011010100_00001_00000000000000000000000000000001
+00000000000000010000010011011000_00000_00000000000000000000000000000000
+00000000000000010000010011011100_00010_00000000000000000000000000000111
+00000000000000010000010011100000_01110_00000000000000000000000010000000
+00000000000000010000010011100100_00100_00000000000000000000000000000001
+00000000000000010000010011101000_00101_00000000000000000000000000000010
+00000000000000010000010011010100_00001_00000000000000000000000000000001
+00000000000000010000010011011000_00000_00000000000000000000000000000000
+00000000000000010000010011011100_00010_00000000000000000000000000000111
+00000000000000010000010011100000_01110_00000000000000000000000010000000
+00000000000000010000010011100100_00100_00000000000000000000000000000010
+00000000000000010000010011101000_00101_00000000000000000000000000000010
+00000000000000010000010011110000_00111_00000000000000000000000010000000
+00000000000000010000010011110100_00011_00000000000000000000000000011111
+00000000000000010000010011111100_00100_00000000000000000000000000000000
+00000000000000010000010100000000_00001_00000000000000000000000000000001
+00000000000000010000010100000100_00000_00000000000000000000000000000000
+00000000000000010000010100001000_00010_00000000000000000000000000001110
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_01110_00000000000000000100000000000000
+00000000000000010000010100010100_00100_00000000000000000000000000000001
+00000000000000010000010100011000_00101_00000000000000000000000000000010
+00000000000000010000010100000000_00001_00000000000000000000000000000001
+00000000000000010000010100000100_00000_00000000000000000000000000000000
+00000000000000010000010100001000_00010_00000000000000000000000000001110
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_01110_00000000000000000100000000000000
+00000000000000010000010100010100_00100_00000000000000000000000000000010
+00000000000000010000010100011000_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00111_00000000000000000100000000000000
+00000000000000010000010100100100_00011_00000000000000000000000000100000
+00000000000000010000010100101100_00100_00000000000000000000000000000000
+00000000000000010000010100110000_00001_00000000000000000000000000000001
+00000000000000010000010100110100_00000_00000000000000000000000000000000
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00010_00000000000000000000000000011111
+00000000000000010000010101000000_01110_10000000000000000000000000000000
+00000000000000010000010101000100_00100_00000000000000000000000000000001
+00000000000000010000010101001000_00101_00000000000000000000000000000010
+00000000000000010000010100110000_00001_00000000000000000000000000000001
+00000000000000010000010100110100_00000_00000000000000000000000000000000
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00010_00000000000000000000000000011111
+00000000000000010000010101000000_01110_10000000000000000000000000000000
+00000000000000010000010101000100_00100_00000000000000000000000000000010
+00000000000000010000010101001000_00101_00000000000000000000000000000010
+00000000000000010000010101010000_00111_10000000000000000000000000000000
+00000000000000010000010101010100_00011_00000000000000000000000000100001
+00000000000000010000010101011100_00100_00000000000000000000000000000000
+00000000000000010000010101100000_00010_00000000000000000000000000000111
+00000000000000010000010101100100_00001_00000000000000000000000000000001
+00000000000000010000010101101000_01110_00000000000000000000000010000000
+00000000000000010000010101101100_00100_00000000000000000000000000000001
+00000000000000010000010101110000_00101_00000000000000000000000000000010
+00000000000000010000010101100000_00010_00000000000000000000000000000111
+00000000000000010000010101100100_00001_00000000000000000000000000000001
+00000000000000010000010101101000_01110_00000000000000000000000010000000
+00000000000000010000010101101100_00100_00000000000000000000000000000010
+00000000000000010000010101110000_00101_00000000000000000000000000000010
+00000000000000010000010101111000_00111_00000000000000000000000010000000
+00000000000000010000010101111100_00011_00000000000000000000000000100010
+00000000000000010000010110000100_00100_00000000000000000000000000000000
+00000000000000010000010110001000_00010_00000000000000000000000000001110
+00000000000000010000010110001100_00001_00000000000000000000000000000001
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000000100000000000000
+00000000000000010000010110011000_00100_00000000000000000000000000000001
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110001000_00010_00000000000000000000000000001110
+00000000000000010000010110001100_00001_00000000000000000000000000000001
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000000100000000000000
+00000000000000010000010110011000_00100_00000000000000000000000000000010
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110100100_00111_00000000000000000100000000000000
+00000000000000010000010110101000_00011_00000000000000000000000000100011
+00000000000000010000010110110000_00100_00000000000000000000000000000000
+00000000000000010000010110110100_00010_00000000000000000000000000011111
+00000000000000010000010110111000_00001_00000000000000000000000000000001
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_01110_10000000000000000000000000000000
+00000000000000010000010111001000_00100_00000000000000000000000000000001
+00000000000000010000010111001100_00101_00000000000000000000000000000010
+00000000000000010000010110110100_00010_00000000000000000000000000011111
+00000000000000010000010110111000_00001_00000000000000000000000000000001
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_01110_10000000000000000000000000000000
+00000000000000010000010111001000_00100_00000000000000000000000000000010
+00000000000000010000010111001100_00101_00000000000000000000000000000010
+00000000000000010000010111010100_00111_10000000000000000000000000000000
+00000000000000010000010111011000_00011_00000000000000000000000000100100
+00000000000000010000010111100000_00100_00000000000000000000000000000000
+00000000000000010000010111100100_00010_00000000000000000000000000000111
+00000000000000010000010111101000_00000_00000000000000000000000000000000
+00000000000000010000010111101100_00001_00000000000000000000000000000001
+00000000000000010000010111110000_01110_00000000000000000000000010000000
+00000000000000010000010111110100_00100_00000000000000000000000000000001
+00000000000000010000010111111000_00101_00000000000000000000000000000010
+00000000000000010000010111100100_00010_00000000000000000000000000000111
+00000000000000010000010111101000_00000_00000000000000000000000000000000
+00000000000000010000010111101100_00001_00000000000000000000000000000001
+00000000000000010000010111110000_01110_00000000000000000000000010000000
+00000000000000010000010111110100_00100_00000000000000000000000000000010
+00000000000000010000010111111000_00101_00000000000000000000000000000010
+00000000000000010000011000000000_00111_00000000000000000000000010000000
+00000000000000010000011000000100_00011_00000000000000000000000000100101
+00000000000000010000011000001100_00100_00000000000000000000000000000000
+00000000000000010000011000010000_00010_00000000000000000000000000001110
+00000000000000010000011000010100_00000_00000000000000000000000000000000
+00000000000000010000011000011000_00001_00000000000000000000000000000001
+00000000000000010000011000011100_00000_00000000000000000000000000000000
+00000000000000010000011000100000_01110_00000000000000000100000000000000
+00000000000000010000011000100100_00100_00000000000000000000000000000001
+00000000000000010000011000101000_00101_00000000000000000000000000000010
+00000000000000010000011000010000_00010_00000000000000000000000000001110
+00000000000000010000011000010100_00000_00000000000000000000000000000000
+00000000000000010000011000011000_00001_00000000000000000000000000000001
+00000000000000010000011000011100_00000_00000000000000000000000000000000
+00000000000000010000011000100000_01110_00000000000000000100000000000000
+00000000000000010000011000100100_00100_00000000000000000000000000000010
+00000000000000010000011000101000_00101_00000000000000000000000000000010
+00000000000000010000011000110000_00111_00000000000000000100000000000000
+00000000000000010000011000110100_00011_00000000000000000000000000100110
+00000000000000010000011000111100_00100_00000000000000000000000000000000
+00000000000000010000011001000000_00010_00000000000000000000000000011111
+00000000000000010000011001000100_00000_00000000000000000000000000000000
+00000000000000010000011001001000_00000_00000000000000000000000000000000
+00000000000000010000011001001100_00001_00000000000000000000000000000001
+00000000000000010000011001010000_01110_10000000000000000000000000000000
+00000000000000010000011001010100_00100_00000000000000000000000000000001
+00000000000000010000011001011000_00101_00000000000000000000000000000010
+00000000000000010000011001000000_00010_00000000000000000000000000011111
+00000000000000010000011001000100_00000_00000000000000000000000000000000
+00000000000000010000011001001000_00000_00000000000000000000000000000000
+00000000000000010000011001001100_00001_00000000000000000000000000000001
+00000000000000010000011001010000_01110_10000000000000000000000000000000
+00000000000000010000011001010100_00100_00000000000000000000000000000010
+00000000000000010000011001011000_00101_00000000000000000000000000000010
+00000000000000010000011001100000_00111_10000000000000000000000000000000
+00000000000000010000011001100100_00011_00000000000000000000000000100111
+00000000000000010000011001101100_00001_00000000000000000000000000001111
+00000000000000010000011001110000_00010_00000000000000000000000000000000
+00000000000000010000011001110100_00111_00000000000000000000000000000000
+00000000000000010000011001111000_00011_00000000000000000000000000101000
+00000000000000010000011010000000_00001_00000000000000000000000000100000
+00000000000000010000011010000100_00010_00000000000000000000000000100000
+00000000000000010000011010001000_00111_00000000000000000000000000100000
+00000000000000010000011010001100_00011_00000000000000000000000000101001
+00000000000000010000011010010100_00001_00000000000000000000000000000000
+00000000000000010000011010011000_00111_00000000000000000000000000000000
+00000000000000010000011010011100_00011_00000000000000000000000000101010
+00000000000000010000011010100100_00001_00000000000000000000010000000000
+00000000000000010000011010101000_00010_00000000000000000001000000000000
+00000000000000010000011010101100_00010_00000000000000000000100000000000
+00000000000000010000011010110000_00000_00000000000000000000010000000000
+00000000000000010000011010110100_00111_00000000000000000000000000000000
+00000000000000010000011010111000_00011_00000000000000000000000000101011
+00000000000000010000011011100100_00011_00000000000000000000000000000001
+00000000000000010000011011101000_10001_00000000000000000000000001011101
+00000000000000010000011011101100_01010_00000000000000000000000000000000
+00000000000000010000011011101100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sll/dmem.coe b/verilog/dv/test_c0/coe/sll/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sll/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sll/imem.coe b/verilog/dv/test_c0/coe/sll/imem.coe
new file mode 100644
index 0000000..f1f7c33
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sll/imem.coe
@@ -0,0 +1,449 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00100093,
+00000113,
+00209733,
+00100393,
+00200193,
+52771E63,
+00100093,
+00100113,
+00209733,
+00200393,
+00300193,
+52771263,
+00100093,
+00700113,
+00209733,
+08000393,
+00400193,
+50771663,
+00100093,
+00E00113,
+00209733,
+000043B7,
+00500193,
+4E771A63,
+00100093,
+01F00113,
+00209733,
+800003B7,
+00600193,
+4C771E63,
+FFF00093,
+00000113,
+00209733,
+FFF00393,
+00700193,
+4C771263,
+FFF00093,
+00100113,
+00209733,
+FFE00393,
+00800193,
+4A771663,
+FFF00093,
+00700113,
+00209733,
+F8000393,
+00900193,
+48771A63,
+FFF00093,
+00E00113,
+00209733,
+FFFFC3B7,
+00A00193,
+46771E63,
+FFF00093,
+01F00113,
+00209733,
+800003B7,
+00B00193,
+46771263,
+212120B7,
+12108093,
+00000113,
+00209733,
+212123B7,
+12138393,
+00C00193,
+44771263,
+212120B7,
+12108093,
+00100113,
+00209733,
+424243B7,
+24238393,
+00D00193,
+42771263,
+212120B7,
+12108093,
+00700113,
+00209733,
+909093B7,
+08038393,
+00E00193,
+40771263,
+212120B7,
+12108093,
+00E00113,
+00209733,
+484843B7,
+00F00193,
+3E771463,
+212120B7,
+12108093,
+01F00113,
+00209733,
+800003B7,
+01000193,
+3C771663,
+212120B7,
+12108093,
+FC000113,
+00209733,
+212123B7,
+12138393,
+01100193,
+3A771663,
+212120B7,
+12108093,
+FC100113,
+00209733,
+424243B7,
+24238393,
+01200193,
+38771663,
+212120B7,
+12108093,
+FC700113,
+00209733,
+909093B7,
+08038393,
+01300193,
+36771663,
+212120B7,
+12108093,
+FCE00113,
+00209733,
+484843B7,
+01400193,
+34771863,
+00100093,
+00700113,
+002090B3,
+08000393,
+01600193,
+32709C63,
+00100093,
+00E00113,
+00209133,
+000043B7,
+01700193,
+32711063,
+00300093,
+001090B3,
+01800393,
+01800193,
+30709663,
+00000213,
+00100093,
+00700113,
+00209733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+08000393,
+01900193,
+2E731063,
+00000213,
+00100093,
+00E00113,
+00209733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+000043B7,
+01A00193,
+2A731863,
+00000213,
+00100093,
+01F00113,
+00209733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+800003B7,
+01B00193,
+26731E63,
+00000213,
+00100093,
+00700113,
+00209733,
+00120213,
+00200293,
+FE5216E3,
+08000393,
+01C00193,
+24771A63,
+00000213,
+00100093,
+00E00113,
+00000013,
+00209733,
+00120213,
+00200293,
+FE5214E3,
+000043B7,
+01D00193,
+22771463,
+00000213,
+00100093,
+01F00113,
+00000013,
+00000013,
+00209733,
+00120213,
+00200293,
+FE5212E3,
+800003B7,
+01E00193,
+1E771C63,
+00000213,
+00100093,
+00000013,
+00700113,
+00209733,
+00120213,
+00200293,
+FE5214E3,
+08000393,
+01F00193,
+1C771663,
+00000213,
+00100093,
+00000013,
+00E00113,
+00000013,
+00209733,
+00120213,
+00200293,
+FE5212E3,
+000043B7,
+02000193,
+18771E63,
+00000213,
+00100093,
+00000013,
+00000013,
+01F00113,
+00209733,
+00120213,
+00200293,
+FE5212E3,
+800003B7,
+02100193,
+16771663,
+00000213,
+00700113,
+00100093,
+00209733,
+00120213,
+00200293,
+FE5216E3,
+08000393,
+02200193,
+14771263,
+00000213,
+00E00113,
+00100093,
+00000013,
+00209733,
+00120213,
+00200293,
+FE5214E3,
+000043B7,
+02300193,
+10771C63,
+00000213,
+01F00113,
+00100093,
+00000013,
+00000013,
+00209733,
+00120213,
+00200293,
+FE5212E3,
+800003B7,
+02400193,
+0E771463,
+00000213,
+00700113,
+00000013,
+00100093,
+00209733,
+00120213,
+00200293,
+FE5214E3,
+08000393,
+02500193,
+0A771E63,
+00000213,
+00E00113,
+00000013,
+00100093,
+00000013,
+00209733,
+00120213,
+00200293,
+FE5212E3,
+000043B7,
+02600193,
+08771663,
+00000213,
+01F00113,
+00000013,
+00000013,
+00100093,
+00209733,
+00120213,
+00200293,
+FE5212E3,
+800003B7,
+02700193,
+04771E63,
+00F00093,
+00101133,
+00000393,
+02800193,
+04711463,
+02000093,
+00009133,
+02000393,
+02900193,
+02711A63,
+000010B3,
+00000393,
+02A00193,
+02709263,
+40000093,
+00001137,
+80010113,
+00209033,
+00000393,
+02B00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/slli/cekirdek_dy.txt b/verilog/dv/test_c0/coe/slli/cekirdek_dy.txt
new file mode 100644
index 0000000..f1a1a2c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slli/cekirdek_dy.txt
@@ -0,0 +1,429 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001011100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001101001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001001011100010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001000001100011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001011100010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001011001100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001001011100010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001110001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001001011100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001001001100011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001011100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001100001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001001011100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001111001100011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001011100010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111000000000000000001110010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001010001100011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001001011100010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111100001110110111_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001101001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001001011100010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001000001100011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001001011100010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010001110110111_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100111000001110010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001001001100011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001001011100010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010010000100100001110110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001000111000001110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001010001100011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001011100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10010000100100001001001110110111_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000111000001110010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001011001100011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001001011100010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000010010000100001110110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001101001100011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001001011100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011101110001111001100011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011100001001010001100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001011100010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001011100010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011100110001000001100011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001001011100010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001001011100010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011100110001101001100011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001001011100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001001011100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011100110001001001100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001011100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001011100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000000000000000001110010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001000001100011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001001011100010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001001011100010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100001110110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001001011100010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000000010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001001011100010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001011001100011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000001000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001111001100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000010010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000001001000000010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010000011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010000100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010000100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010000101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/slli/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/slli/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..076868c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slli/cekirdek_ps_bin.txt
@@ -0,0 +1,272 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100000
diff --git a/verilog/dv/test_c0/coe/slli/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/slli/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..9046a97
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slli/cekirdek_ps_hex.txt
@@ -0,0 +1,272 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+00010414
+00010418
+0001041c
+00010420
+00010420
diff --git a/verilog/dv/test_c0/coe/slli/cekirdek_yo.txt b/verilog/dv/test_c0/coe/slli/cekirdek_yo.txt
new file mode 100644
index 0000000..b45754f
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slli/cekirdek_yo.txt
@@ -0,0 +1,216 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000001
+00000000000000010000000101111000_01110_00000000000000000000000000000001
+00000000000000010000000101111100_00111_00000000000000000000000000000001
+00000000000000010000000110000000_00011_00000000000000000000000000000010
+00000000000000010000000110001000_00001_00000000000000000000000000000001
+00000000000000010000000110001100_01110_00000000000000000000000000000010
+00000000000000010000000110010000_00111_00000000000000000000000000000010
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011100_00001_00000000000000000000000000000001
+00000000000000010000000110100000_01110_00000000000000000000000010000000
+00000000000000010000000110100100_00111_00000000000000000000000010000000
+00000000000000010000000110101000_00011_00000000000000000000000000000100
+00000000000000010000000110110000_00001_00000000000000000000000000000001
+00000000000000010000000110110100_01110_00000000000000000100000000000000
+00000000000000010000000110111000_00111_00000000000000000100000000000000
+00000000000000010000000110111100_00011_00000000000000000000000000000101
+00000000000000010000000111000100_00001_00000000000000000000000000000001
+00000000000000010000000111001000_01110_10000000000000000000000000000000
+00000000000000010000000111001100_00111_10000000000000000000000000000000
+00000000000000010000000111010000_00011_00000000000000000000000000000110
+00000000000000010000000111011000_00001_11111111111111111111111111111111
+00000000000000010000000111011100_01110_11111111111111111111111111111111
+00000000000000010000000111100000_00111_11111111111111111111111111111111
+00000000000000010000000111100100_00011_00000000000000000000000000000111
+00000000000000010000000111101100_00001_11111111111111111111111111111111
+00000000000000010000000111110000_01110_11111111111111111111111111111110
+00000000000000010000000111110100_00111_11111111111111111111111111111110
+00000000000000010000000111111000_00011_00000000000000000000000000001000
+00000000000000010000001000000000_00001_11111111111111111111111111111111
+00000000000000010000001000000100_01110_11111111111111111111111110000000
+00000000000000010000001000001000_00111_11111111111111111111111110000000
+00000000000000010000001000001100_00011_00000000000000000000000000001001
+00000000000000010000001000010100_00001_11111111111111111111111111111111
+00000000000000010000001000011000_01110_11111111111111111100000000000000
+00000000000000010000001000011100_00111_11111111111111111100000000000000
+00000000000000010000001000100000_00011_00000000000000000000000000001010
+00000000000000010000001000101000_00001_11111111111111111111111111111111
+00000000000000010000001000101100_01110_10000000000000000000000000000000
+00000000000000010000001000110000_00111_10000000000000000000000000000000
+00000000000000010000001000110100_00011_00000000000000000000000000001011
+00000000000000010000001000111100_00001_00100001001000010010000000000000
+00000000000000010000001001000000_00001_00100001001000010010000100100001
+00000000000000010000001001000100_01110_00100001001000010010000100100001
+00000000000000010000001001001000_00111_00100001001000010010000000000000
+00000000000000010000001001001100_00111_00100001001000010010000100100001
+00000000000000010000001001010000_00011_00000000000000000000000000001100
+00000000000000010000001001011000_00001_00100001001000010010000000000000
+00000000000000010000001001011100_00001_00100001001000010010000100100001
+00000000000000010000001001100000_01110_01000010010000100100001001000010
+00000000000000010000001001100100_00111_01000010010000100100000000000000
+00000000000000010000001001101000_00111_01000010010000100100001001000010
+00000000000000010000001001101100_00011_00000000000000000000000000001101
+00000000000000010000001001110100_00001_00100001001000010010000000000000
+00000000000000010000001001111000_00001_00100001001000010010000100100001
+00000000000000010000001001111100_01110_10010000100100001001000010000000
+00000000000000010000001010000000_00111_10010000100100001001000000000000
+00000000000000010000001010000100_00111_10010000100100001001000010000000
+00000000000000010000001010001000_00011_00000000000000000000000000001110
+00000000000000010000001010010000_00001_00100001001000010010000000000000
+00000000000000010000001010010100_00001_00100001001000010010000100100001
+00000000000000010000001010011000_01110_01001000010010000100000000000000
+00000000000000010000001010011100_00111_01001000010010000100000000000000
+00000000000000010000001010100000_00011_00000000000000000000000000001111
+00000000000000010000001010101000_00001_00100001001000010010000000000000
+00000000000000010000001010101100_00001_00100001001000010010000100100001
+00000000000000010000001010110000_01110_10000000000000000000000000000000
+00000000000000010000001010110100_00111_10000000000000000000000000000000
+00000000000000010000001010111000_00011_00000000000000000000000000010000
+00000000000000010000001011000000_00001_00000000000000000000000000000001
+00000000000000010000001011000100_00001_00000000000000000000000010000000
+00000000000000010000001011001000_00111_00000000000000000000000010000000
+00000000000000010000001011001100_00011_00000000000000000000000000010001
+00000000000000010000001011010100_00100_00000000000000000000000000000000
+00000000000000010000001011011000_00001_00000000000000000000000000000001
+00000000000000010000001011011100_01110_00000000000000000000000010000000
+00000000000000010000001011100000_00110_00000000000000000000000010000000
+00000000000000010000001011100100_00100_00000000000000000000000000000001
+00000000000000010000001011101000_00101_00000000000000000000000000000010
+00000000000000010000001011011000_00001_00000000000000000000000000000001
+00000000000000010000001011011100_01110_00000000000000000000000010000000
+00000000000000010000001011100000_00110_00000000000000000000000010000000
+00000000000000010000001011100100_00100_00000000000000000000000000000010
+00000000000000010000001011101000_00101_00000000000000000000000000000010
+00000000000000010000001011110000_00111_00000000000000000000000010000000
+00000000000000010000001011110100_00011_00000000000000000000000000010010
+00000000000000010000001011111100_00100_00000000000000000000000000000000
+00000000000000010000001100000000_00001_00000000000000000000000000000001
+00000000000000010000001100000100_01110_00000000000000000100000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_00110_00000000000000000100000000000000
+00000000000000010000001100010000_00100_00000000000000000000000000000001
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100000000_00001_00000000000000000000000000000001
+00000000000000010000001100000100_01110_00000000000000000100000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_00110_00000000000000000100000000000000
+00000000000000010000001100010000_00100_00000000000000000000000000000010
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00111_00000000000000000100000000000000
+00000000000000010000001100100000_00011_00000000000000000000000000010011
+00000000000000010000001100101000_00100_00000000000000000000000000000000
+00000000000000010000001100101100_00001_00000000000000000000000000000001
+00000000000000010000001100110000_01110_10000000000000000000000000000000
+00000000000000010000001100110100_00000_00000000000000000000000000000000
+00000000000000010000001100111000_00000_00000000000000000000000000000000
+00000000000000010000001100111100_00110_10000000000000000000000000000000
+00000000000000010000001101000000_00100_00000000000000000000000000000001
+00000000000000010000001101000100_00101_00000000000000000000000000000010
+00000000000000010000001100101100_00001_00000000000000000000000000000001
+00000000000000010000001100110000_01110_10000000000000000000000000000000
+00000000000000010000001100110100_00000_00000000000000000000000000000000
+00000000000000010000001100111000_00000_00000000000000000000000000000000
+00000000000000010000001100111100_00110_10000000000000000000000000000000
+00000000000000010000001101000000_00100_00000000000000000000000000000010
+00000000000000010000001101000100_00101_00000000000000000000000000000010
+00000000000000010000001101001100_00111_10000000000000000000000000000000
+00000000000000010000001101010000_00011_00000000000000000000000000010100
+00000000000000010000001101011000_00100_00000000000000000000000000000000
+00000000000000010000001101011100_00001_00000000000000000000000000000001
+00000000000000010000001101100000_01110_00000000000000000000000010000000
+00000000000000010000001101100100_00100_00000000000000000000000000000001
+00000000000000010000001101101000_00101_00000000000000000000000000000010
+00000000000000010000001101011100_00001_00000000000000000000000000000001
+00000000000000010000001101100000_01110_00000000000000000000000010000000
+00000000000000010000001101100100_00100_00000000000000000000000000000010
+00000000000000010000001101101000_00101_00000000000000000000000000000010
+00000000000000010000001101110000_00111_00000000000000000000000010000000
+00000000000000010000001101110100_00011_00000000000000000000000000010101
+00000000000000010000001101111100_00100_00000000000000000000000000000000
+00000000000000010000001110000000_00001_00000000000000000000000000000001
+00000000000000010000001110000100_00000_00000000000000000000000000000000
+00000000000000010000001110001000_01110_00000000000000000100000000000000
+00000000000000010000001110001100_00100_00000000000000000000000000000001
+00000000000000010000001110010000_00101_00000000000000000000000000000010
+00000000000000010000001110000000_00001_00000000000000000000000000000001
+00000000000000010000001110000100_00000_00000000000000000000000000000000
+00000000000000010000001110001000_01110_00000000000000000100000000000000
+00000000000000010000001110001100_00100_00000000000000000000000000000010
+00000000000000010000001110010000_00101_00000000000000000000000000000010
+00000000000000010000001110011000_00111_00000000000000000100000000000000
+00000000000000010000001110011100_00011_00000000000000000000000000010110
+00000000000000010000001110100100_00100_00000000000000000000000000000000
+00000000000000010000001110101000_00001_00000000000000000000000000000001
+00000000000000010000001110101100_00000_00000000000000000000000000000000
+00000000000000010000001110110000_00000_00000000000000000000000000000000
+00000000000000010000001110110100_01110_10000000000000000000000000000000
+00000000000000010000001110111000_00100_00000000000000000000000000000001
+00000000000000010000001110111100_00101_00000000000000000000000000000010
+00000000000000010000001110101000_00001_00000000000000000000000000000001
+00000000000000010000001110101100_00000_00000000000000000000000000000000
+00000000000000010000001110110000_00000_00000000000000000000000000000000
+00000000000000010000001110110100_01110_10000000000000000000000000000000
+00000000000000010000001110111000_00100_00000000000000000000000000000010
+00000000000000010000001110111100_00101_00000000000000000000000000000010
+00000000000000010000001111000100_00111_10000000000000000000000000000000
+00000000000000010000001111001000_00011_00000000000000000000000000010111
+00000000000000010000001111010000_00001_00000000000000000000000000000000
+00000000000000010000001111010100_00111_00000000000000000000000000000000
+00000000000000010000001111011000_00011_00000000000000000000000000011000
+00000000000000010000001111100000_00001_00000000000000000000000000100001
+00000000000000010000001111100100_00000_00000010000100000000000000000000
+00000000000000010000001111101000_00111_00000000000000000000000000000000
+00000000000000010000001111101100_00011_00000000000000000000000000011001
+00000000000000010000010000011000_00011_00000000000000000000000000000001
+00000000000000010000010000011100_10001_00000000000000000000000001011101
+00000000000000010000010000100000_01010_00000000000000000000000000000000
+00000000000000010000010000100000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/slli/dmem.coe b/verilog/dv/test_c0/coe/slli/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slli/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/slli/imem.coe b/verilog/dv/test_c0/coe/slli/imem.coe
new file mode 100644
index 0000000..729cd28
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slli/imem.coe
@@ -0,0 +1,273 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00100093,
+00009713,
+00100393,
+00200193,
+26771A63,
+00100093,
+00109713,
+00200393,
+00300193,
+26771063,
+00100093,
+00709713,
+08000393,
+00400193,
+24771663,
+00100093,
+00E09713,
+000043B7,
+00500193,
+22771C63,
+00100093,
+01F09713,
+800003B7,
+00600193,
+22771263,
+FFF00093,
+00009713,
+FFF00393,
+00700193,
+20771863,
+FFF00093,
+00109713,
+FFE00393,
+00800193,
+1E771E63,
+FFF00093,
+00709713,
+F8000393,
+00900193,
+1E771463,
+FFF00093,
+00E09713,
+FFFFC3B7,
+00A00193,
+1C771A63,
+FFF00093,
+01F09713,
+800003B7,
+00B00193,
+1C771063,
+212120B7,
+12108093,
+00009713,
+212123B7,
+12138393,
+00C00193,
+1A771263,
+212120B7,
+12108093,
+00109713,
+424243B7,
+24238393,
+00D00193,
+18771463,
+212120B7,
+12108093,
+00709713,
+909093B7,
+08038393,
+00E00193,
+16771663,
+212120B7,
+12108093,
+00E09713,
+484843B7,
+00F00193,
+14771A63,
+212120B7,
+12108093,
+01F09713,
+800003B7,
+01000193,
+12771E63,
+00100093,
+00709093,
+08000393,
+01100193,
+12709463,
+00000213,
+00100093,
+00709713,
+00070313,
+00120213,
+00200293,
+FE5216E3,
+08000393,
+01200193,
+10731063,
+00000213,
+00100093,
+00E09713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+000043B7,
+01300193,
+0C731A63,
+00000213,
+00100093,
+01F09713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+800003B7,
+01400193,
+0A731263,
+00000213,
+00100093,
+00709713,
+00120213,
+00200293,
+FE5218E3,
+08000393,
+01500193,
+08771063,
+00000213,
+00100093,
+00000013,
+00E09713,
+00120213,
+00200293,
+FE5216E3,
+000043B7,
+01600193,
+04771C63,
+00000213,
+00100093,
+00000013,
+00000013,
+01F09713,
+00120213,
+00200293,
+FE5214E3,
+800003B7,
+01700193,
+02771663,
+01F01093,
+00000393,
+01800193,
+00709E63,
+02100093,
+01409013,
+00000393,
+01900193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/slt/cekirdek_dy.txt b/verilog/dv/test_c0/coe/slt/cekirdek_dy.txt
new file mode 100644
index 0000000..6e5b389
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slt/cekirdek_dy.txt
@@ -0,0 +1,701 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001101001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001111001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001001001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001011001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001101001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001111001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001001001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001010001100011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001011001100011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001011001100011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001100001100011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001101001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001111001100011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001001001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011101110001011001100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010000010110011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100001001101001100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010000100110011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100010001111001100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001010000010110011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100001001010001100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100011100110001111001100011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001011001100011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011100110001110001100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001100001100011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001001001100011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001101001100011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001010001100011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001110001100011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001010001100011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000010010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000010010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001000001100011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000010010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000010010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001101001100011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000010010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000010010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001001001100011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001110001100011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000010010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000010010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001010001100011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000010010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000010010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010011100110011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000010000100110011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001001001100011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010000100110011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000000000000110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001100001100011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000010000010110011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010100000000000110010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000100010011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010000000110011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011000000000000110010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/slt/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/slt/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..d79353c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slt/cekirdek_ps_bin.txt
@@ -0,0 +1,490 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001100100
diff --git a/verilog/dv/test_c0/coe/slt/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/slt/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..e58533d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slt/cekirdek_ps_hex.txt
@@ -0,0 +1,490 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+00010658
+0001065c
+00010660
+00010664
+00010664
diff --git a/verilog/dv/test_c0/coe/slt/cekirdek_yo.txt b/verilog/dv/test_c0/coe/slt/cekirdek_yo.txt
new file mode 100644
index 0000000..7c55ec0
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slt/cekirdek_yo.txt
@@ -0,0 +1,403 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000000000000
+00000000000000010000000110000000_00111_00000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00000000000000000000000000000001
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_00000000000000000000000000000000
+00000000000000010000000110011000_00111_00000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000000011
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_00000000000000000000000000000001
+00000000000000010000000110110000_00111_00000000000000000000000000000001
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_00000000000000000000000000000111
+00000000000000010000000111000000_00010_00000000000000000000000000000011
+00000000000000010000000111000100_01110_00000000000000000000000000000000
+00000000000000010000000111001000_00111_00000000000000000000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_00000000000000000000000000000000
+00000000000000010000000111011000_00010_11111111111111111000000000000000
+00000000000000010000000111011100_01110_00000000000000000000000000000000
+00000000000000010000000111100000_00111_00000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_00000000000000000000000000000000
+00000000000000010000000111110100_01110_00000000000000000000000000000001
+00000000000000010000000111111000_00111_00000000000000000000000000000001
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_10000000000000000000000000000000
+00000000000000010000001000001000_00010_11111111111111111000000000000000
+00000000000000010000001000001100_01110_00000000000000000000000000000001
+00000000000000010000001000010000_00111_00000000000000000000000000000001
+00000000000000010000001000010100_00011_00000000000000000000000000001000
+00000000000000010000001000011100_00001_00000000000000000000000000000000
+00000000000000010000001000100000_00010_00000000000000001000000000000000
+00000000000000010000001000100100_00010_00000000000000000111111111111111
+00000000000000010000001000101000_01110_00000000000000000000000000000001
+00000000000000010000001000101100_00111_00000000000000000000000000000001
+00000000000000010000001000110000_00011_00000000000000000000000000001001
+00000000000000010000001000111000_00001_10000000000000000000000000000000
+00000000000000010000001000111100_00001_01111111111111111111111111111111
+00000000000000010000001001000000_00010_00000000000000000000000000000000
+00000000000000010000001001000100_01110_00000000000000000000000000000000
+00000000000000010000001001001000_00111_00000000000000000000000000000000
+00000000000000010000001001001100_00011_00000000000000000000000000001010
+00000000000000010000001001010100_00001_10000000000000000000000000000000
+00000000000000010000001001011000_00001_01111111111111111111111111111111
+00000000000000010000001001011100_00010_00000000000000001000000000000000
+00000000000000010000001001100000_00010_00000000000000000111111111111111
+00000000000000010000001001100100_01110_00000000000000000000000000000000
+00000000000000010000001001101000_00111_00000000000000000000000000000000
+00000000000000010000001001101100_00011_00000000000000000000000000001011
+00000000000000010000001001110100_00001_10000000000000000000000000000000
+00000000000000010000001001111000_00010_00000000000000001000000000000000
+00000000000000010000001001111100_00010_00000000000000000111111111111111
+00000000000000010000001010000000_01110_00000000000000000000000000000001
+00000000000000010000001010000100_00111_00000000000000000000000000000001
+00000000000000010000001010001000_00011_00000000000000000000000000001100
+00000000000000010000001010010000_00001_10000000000000000000000000000000
+00000000000000010000001010010100_00001_01111111111111111111111111111111
+00000000000000010000001010011000_00010_11111111111111111000000000000000
+00000000000000010000001010011100_01110_00000000000000000000000000000000
+00000000000000010000001010100000_00111_00000000000000000000000000000000
+00000000000000010000001010100100_00011_00000000000000000000000000001101
+00000000000000010000001010101100_00001_00000000000000000000000000000000
+00000000000000010000001010110000_00010_11111111111111111111111111111111
+00000000000000010000001010110100_01110_00000000000000000000000000000000
+00000000000000010000001010111000_00111_00000000000000000000000000000000
+00000000000000010000001010111100_00011_00000000000000000000000000001110
+00000000000000010000001011000100_00001_11111111111111111111111111111111
+00000000000000010000001011001000_00010_00000000000000000000000000000001
+00000000000000010000001011001100_01110_00000000000000000000000000000001
+00000000000000010000001011010000_00111_00000000000000000000000000000001
+00000000000000010000001011010100_00011_00000000000000000000000000001111
+00000000000000010000001011011100_00001_11111111111111111111111111111111
+00000000000000010000001011100000_00010_11111111111111111111111111111111
+00000000000000010000001011100100_01110_00000000000000000000000000000000
+00000000000000010000001011101000_00111_00000000000000000000000000000000
+00000000000000010000001011101100_00011_00000000000000000000000000010000
+00000000000000010000001011110100_00001_00000000000000000000000000001110
+00000000000000010000001011111000_00010_00000000000000000000000000001101
+00000000000000010000001011111100_00001_00000000000000000000000000000000
+00000000000000010000001100000000_00111_00000000000000000000000000000000
+00000000000000010000001100000100_00011_00000000000000000000000000010001
+00000000000000010000001100001100_00001_00000000000000000000000000001011
+00000000000000010000001100010000_00010_00000000000000000000000000001101
+00000000000000010000001100010100_00010_00000000000000000000000000000001
+00000000000000010000001100011000_00111_00000000000000000000000000000001
+00000000000000010000001100011100_00011_00000000000000000000000000010010
+00000000000000010000001100100100_00001_00000000000000000000000000001101
+00000000000000010000001100101000_00001_00000000000000000000000000000000
+00000000000000010000001100101100_00111_00000000000000000000000000000000
+00000000000000010000001100110000_00011_00000000000000000000000000010011
+00000000000000010000001100111000_00100_00000000000000000000000000000000
+00000000000000010000001100111100_00001_00000000000000000000000000001011
+00000000000000010000001101000000_00010_00000000000000000000000000001101
+00000000000000010000001101000100_01110_00000000000000000000000000000001
+00000000000000010000001101001000_00110_00000000000000000000000000000001
+00000000000000010000001101001100_00100_00000000000000000000000000000001
+00000000000000010000001101010000_00101_00000000000000000000000000000010
+00000000000000010000001100111100_00001_00000000000000000000000000001011
+00000000000000010000001101000000_00010_00000000000000000000000000001101
+00000000000000010000001101000100_01110_00000000000000000000000000000001
+00000000000000010000001101001000_00110_00000000000000000000000000000001
+00000000000000010000001101001100_00100_00000000000000000000000000000010
+00000000000000010000001101010000_00101_00000000000000000000000000000010
+00000000000000010000001101011000_00111_00000000000000000000000000000001
+00000000000000010000001101011100_00011_00000000000000000000000000010100
+00000000000000010000001101100100_00100_00000000000000000000000000000000
+00000000000000010000001101101000_00001_00000000000000000000000000001110
+00000000000000010000001101101100_00010_00000000000000000000000000001101
+00000000000000010000001101110000_01110_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000000000000000000000
+00000000000000010000001101111100_00100_00000000000000000000000000000001
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001101101000_00001_00000000000000000000000000001110
+00000000000000010000001101101100_00010_00000000000000000000000000001101
+00000000000000010000001101110000_01110_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000000000000000000000
+00000000000000010000001101111100_00100_00000000000000000000000000000010
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00111_00000000000000000000000000000000
+00000000000000010000001110001100_00011_00000000000000000000000000010101
+00000000000000010000001110010100_00100_00000000000000000000000000000000
+00000000000000010000001110011000_00001_00000000000000000000000000001100
+00000000000000010000001110011100_00010_00000000000000000000000000001101
+00000000000000010000001110100000_01110_00000000000000000000000000000001
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101000_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00110_00000000000000000000000000000001
+00000000000000010000001110110000_00100_00000000000000000000000000000001
+00000000000000010000001110110100_00101_00000000000000000000000000000010
+00000000000000010000001110011000_00001_00000000000000000000000000001100
+00000000000000010000001110011100_00010_00000000000000000000000000001101
+00000000000000010000001110100000_01110_00000000000000000000000000000001
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101000_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00110_00000000000000000000000000000001
+00000000000000010000001110110000_00100_00000000000000000000000000000010
+00000000000000010000001110110100_00101_00000000000000000000000000000010
+00000000000000010000001110111100_00111_00000000000000000000000000000001
+00000000000000010000001111000000_00011_00000000000000000000000000010110
+00000000000000010000001111001000_00100_00000000000000000000000000000000
+00000000000000010000001111001100_00001_00000000000000000000000000001110
+00000000000000010000001111010000_00010_00000000000000000000000000001101
+00000000000000010000001111010100_01110_00000000000000000000000000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000001
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111001100_00001_00000000000000000000000000001110
+00000000000000010000001111010000_00010_00000000000000000000000000001101
+00000000000000010000001111010100_01110_00000000000000000000000000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000010
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00111_00000000000000000000000000000000
+00000000000000010000001111101000_00011_00000000000000000000000000010111
+00000000000000010000001111110000_00100_00000000000000000000000000000000
+00000000000000010000001111110100_00001_00000000000000000000000000001011
+00000000000000010000001111111000_00010_00000000000000000000000000001101
+00000000000000010000001111111100_00000_00000000000000000000000000000000
+00000000000000010000010000000000_01110_00000000000000000000000000000001
+00000000000000010000010000000100_00100_00000000000000000000000000000001
+00000000000000010000010000001000_00101_00000000000000000000000000000010
+00000000000000010000001111110100_00001_00000000000000000000000000001011
+00000000000000010000001111111000_00010_00000000000000000000000000001101
+00000000000000010000001111111100_00000_00000000000000000000000000000000
+00000000000000010000010000000000_01110_00000000000000000000000000000001
+00000000000000010000010000000100_00100_00000000000000000000000000000010
+00000000000000010000010000001000_00101_00000000000000000000000000000010
+00000000000000010000010000010000_00111_00000000000000000000000000000001
+00000000000000010000010000010100_00011_00000000000000000000000000011000
+00000000000000010000010000011100_00100_00000000000000000000000000000000
+00000000000000010000010000100000_00001_00000000000000000000000000001111
+00000000000000010000010000100100_00010_00000000000000000000000000001101
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_01110_00000000000000000000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000001
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010000100000_00001_00000000000000000000000000001111
+00000000000000010000010000100100_00010_00000000000000000000000000001101
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_01110_00000000000000000000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000010
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010001000000_00111_00000000000000000000000000000000
+00000000000000010000010001000100_00011_00000000000000000000000000011001
+00000000000000010000010001001100_00100_00000000000000000000000000000000
+00000000000000010000010001010000_00001_00000000000000000000000000001010
+00000000000000010000010001010100_00000_00000000000000000000000000000000
+00000000000000010000010001011000_00010_00000000000000000000000000001101
+00000000000000010000010001011100_01110_00000000000000000000000000000001
+00000000000000010000010001100000_00100_00000000000000000000000000000001
+00000000000000010000010001100100_00101_00000000000000000000000000000010
+00000000000000010000010001010000_00001_00000000000000000000000000001010
+00000000000000010000010001010100_00000_00000000000000000000000000000000
+00000000000000010000010001011000_00010_00000000000000000000000000001101
+00000000000000010000010001011100_01110_00000000000000000000000000000001
+00000000000000010000010001100000_00100_00000000000000000000000000000010
+00000000000000010000010001100100_00101_00000000000000000000000000000010
+00000000000000010000010001101100_00111_00000000000000000000000000000001
+00000000000000010000010001110000_00011_00000000000000000000000000011010
+00000000000000010000010001111000_00100_00000000000000000000000000000000
+00000000000000010000010001111100_00001_00000000000000000000000000010000
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_00010_00000000000000000000000000001101
+00000000000000010000010010001000_00000_00000000000000000000000000000000
+00000000000000010000010010001100_01110_00000000000000000000000000000000
+00000000000000010000010010010000_00100_00000000000000000000000000000001
+00000000000000010000010010010100_00101_00000000000000000000000000000010
+00000000000000010000010001111100_00001_00000000000000000000000000010000
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_00010_00000000000000000000000000001101
+00000000000000010000010010001000_00000_00000000000000000000000000000000
+00000000000000010000010010001100_01110_00000000000000000000000000000000
+00000000000000010000010010010000_00100_00000000000000000000000000000010
+00000000000000010000010010010100_00101_00000000000000000000000000000010
+00000000000000010000010010011100_00111_00000000000000000000000000000000
+00000000000000010000010010100000_00011_00000000000000000000000000011011
+00000000000000010000010010101000_00100_00000000000000000000000000000000
+00000000000000010000010010101100_00001_00000000000000000000000000001001
+00000000000000010000010010110000_00000_00000000000000000000000000000000
+00000000000000010000010010110100_00000_00000000000000000000000000000000
+00000000000000010000010010111000_00010_00000000000000000000000000001101
+00000000000000010000010010111100_01110_00000000000000000000000000000001
+00000000000000010000010011000000_00100_00000000000000000000000000000001
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010010101100_00001_00000000000000000000000000001001
+00000000000000010000010010110000_00000_00000000000000000000000000000000
+00000000000000010000010010110100_00000_00000000000000000000000000000000
+00000000000000010000010010111000_00010_00000000000000000000000000001101
+00000000000000010000010010111100_01110_00000000000000000000000000000001
+00000000000000010000010011000000_00100_00000000000000000000000000000010
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010011001100_00111_00000000000000000000000000000001
+00000000000000010000010011010000_00011_00000000000000000000000000011100
+00000000000000010000010011011000_00100_00000000000000000000000000000000
+00000000000000010000010011011100_00010_00000000000000000000000000001101
+00000000000000010000010011100000_00001_00000000000000000000000000010001
+00000000000000010000010011100100_01110_00000000000000000000000000000000
+00000000000000010000010011101000_00100_00000000000000000000000000000001
+00000000000000010000010011101100_00101_00000000000000000000000000000010
+00000000000000010000010011011100_00010_00000000000000000000000000001101
+00000000000000010000010011100000_00001_00000000000000000000000000010001
+00000000000000010000010011100100_01110_00000000000000000000000000000000
+00000000000000010000010011101000_00100_00000000000000000000000000000010
+00000000000000010000010011101100_00101_00000000000000000000000000000010
+00000000000000010000010011110100_00111_00000000000000000000000000000000
+00000000000000010000010011111000_00011_00000000000000000000000000011101
+00000000000000010000010100000000_00100_00000000000000000000000000000000
+00000000000000010000010100000100_00010_00000000000000000000000000001101
+00000000000000010000010100001000_00001_00000000000000000000000000001000
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_01110_00000000000000000000000000000001
+00000000000000010000010100010100_00100_00000000000000000000000000000001
+00000000000000010000010100011000_00101_00000000000000000000000000000010
+00000000000000010000010100000100_00010_00000000000000000000000000001101
+00000000000000010000010100001000_00001_00000000000000000000000000001000
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_01110_00000000000000000000000000000001
+00000000000000010000010100010100_00100_00000000000000000000000000000010
+00000000000000010000010100011000_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00111_00000000000000000000000000000001
+00000000000000010000010100100100_00011_00000000000000000000000000011110
+00000000000000010000010100101100_00100_00000000000000000000000000000000
+00000000000000010000010100110000_00010_00000000000000000000000000001101
+00000000000000010000010100110100_00001_00000000000000000000000000010010
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00000_00000000000000000000000000000000
+00000000000000010000010101000000_01110_00000000000000000000000000000000
+00000000000000010000010101000100_00100_00000000000000000000000000000001
+00000000000000010000010101001000_00101_00000000000000000000000000000010
+00000000000000010000010100110000_00010_00000000000000000000000000001101
+00000000000000010000010100110100_00001_00000000000000000000000000010010
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00000_00000000000000000000000000000000
+00000000000000010000010101000000_01110_00000000000000000000000000000000
+00000000000000010000010101000100_00100_00000000000000000000000000000010
+00000000000000010000010101001000_00101_00000000000000000000000000000010
+00000000000000010000010101010000_00111_00000000000000000000000000000000
+00000000000000010000010101010100_00011_00000000000000000000000000011111
+00000000000000010000010101011100_00100_00000000000000000000000000000000
+00000000000000010000010101100000_00010_00000000000000000000000000001101
+00000000000000010000010101100100_00000_00000000000000000000000000000000
+00000000000000010000010101101000_00001_00000000000000000000000000000111
+00000000000000010000010101101100_01110_00000000000000000000000000000001
+00000000000000010000010101110000_00100_00000000000000000000000000000001
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101100000_00010_00000000000000000000000000001101
+00000000000000010000010101100100_00000_00000000000000000000000000000000
+00000000000000010000010101101000_00001_00000000000000000000000000000111
+00000000000000010000010101101100_01110_00000000000000000000000000000001
+00000000000000010000010101110000_00100_00000000000000000000000000000010
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101111100_00111_00000000000000000000000000000001
+00000000000000010000010110000000_00011_00000000000000000000000000100000
+00000000000000010000010110001000_00100_00000000000000000000000000000000
+00000000000000010000010110001100_00010_00000000000000000000000000001101
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_00001_00000000000000000000000000010011
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_01110_00000000000000000000000000000000
+00000000000000010000010110100000_00100_00000000000000000000000000000001
+00000000000000010000010110100100_00101_00000000000000000000000000000010
+00000000000000010000010110001100_00010_00000000000000000000000000001101
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_00001_00000000000000000000000000010011
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_01110_00000000000000000000000000000000
+00000000000000010000010110100000_00100_00000000000000000000000000000010
+00000000000000010000010110100100_00101_00000000000000000000000000000010
+00000000000000010000010110101100_00111_00000000000000000000000000000000
+00000000000000010000010110110000_00011_00000000000000000000000000100001
+00000000000000010000010110111000_00100_00000000000000000000000000000000
+00000000000000010000010110111100_00010_00000000000000000000000000001101
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_00001_00000000000000000000000000000110
+00000000000000010000010111001100_01110_00000000000000000000000000000001
+00000000000000010000010111010000_00100_00000000000000000000000000000001
+00000000000000010000010111010100_00101_00000000000000000000000000000010
+00000000000000010000010110111100_00010_00000000000000000000000000001101
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_00001_00000000000000000000000000000110
+00000000000000010000010111001100_01110_00000000000000000000000000000001
+00000000000000010000010111010000_00100_00000000000000000000000000000010
+00000000000000010000010111010100_00101_00000000000000000000000000000010
+00000000000000010000010111011100_00111_00000000000000000000000000000001
+00000000000000010000010111100000_00011_00000000000000000000000000100010
+00000000000000010000010111101000_00001_11111111111111111111111111111111
+00000000000000010000010111101100_00010_00000000000000000000000000000000
+00000000000000010000010111110000_00111_00000000000000000000000000000000
+00000000000000010000010111110100_00011_00000000000000000000000000100011
+00000000000000010000010111111100_00001_11111111111111111111111111111111
+00000000000000010000011000000000_00010_00000000000000000000000000000001
+00000000000000010000011000000100_00111_00000000000000000000000000000001
+00000000000000010000011000001000_00011_00000000000000000000000000100100
+00000000000000010000011000010000_00001_00000000000000000000000000000000
+00000000000000010000011000010100_00111_00000000000000000000000000000000
+00000000000000010000011000011000_00011_00000000000000000000000000100101
+00000000000000010000011000100000_00001_00000000000000000000000000010000
+00000000000000010000011000100100_00010_00000000000000000000000000011110
+00000000000000010000011000101000_00000_00000000000000000000000000000001
+00000000000000010000011000101100_00111_00000000000000000000000000000000
+00000000000000010000011000110000_00011_00000000000000000000000000100110
+00000000000000010000011001011100_00011_00000000000000000000000000000001
+00000000000000010000011001100000_10001_00000000000000000000000001011101
+00000000000000010000011001100100_01010_00000000000000000000000000000000
+00000000000000010000011001100100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/slt/dmem.coe b/verilog/dv/test_c0/coe/slt/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slt/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/slt/imem.coe b/verilog/dv/test_c0/coe/slt/imem.coe
new file mode 100644
index 0000000..08644ec
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slt/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+00000113,
+0020A733,
+00000393,
+00200193,
+4A771A63,
+00100093,
+00100113,
+0020A733,
+00000393,
+00300193,
+48771E63,
+00300093,
+00700113,
+0020A733,
+00100393,
+00400193,
+48771263,
+00700093,
+00300113,
+0020A733,
+00000393,
+00500193,
+46771663,
+00000093,
+FFFF8137,
+0020A733,
+00000393,
+00600193,
+44771A63,
+800000B7,
+00000113,
+0020A733,
+00100393,
+00700193,
+42771E63,
+800000B7,
+FFFF8137,
+0020A733,
+00100393,
+00800193,
+42771263,
+00000093,
+00008137,
+FFF10113,
+0020A733,
+00100393,
+00900193,
+40771463,
+800000B7,
+FFF08093,
+00000113,
+0020A733,
+00000393,
+00A00193,
+3E771663,
+800000B7,
+FFF08093,
+00008137,
+FFF10113,
+0020A733,
+00000393,
+00B00193,
+3C771663,
+800000B7,
+00008137,
+FFF10113,
+0020A733,
+00100393,
+00C00193,
+3A771863,
+800000B7,
+FFF08093,
+FFFF8137,
+0020A733,
+00000393,
+00D00193,
+38771A63,
+00000093,
+FFF00113,
+0020A733,
+00000393,
+00E00193,
+36771E63,
+FFF00093,
+00100113,
+0020A733,
+00100393,
+00F00193,
+36771263,
+FFF00093,
+FFF00113,
+0020A733,
+00000393,
+01000193,
+34771663,
+00E00093,
+00D00113,
+0020A0B3,
+00000393,
+01100193,
+32709A63,
+00B00093,
+00D00113,
+0020A133,
+00100393,
+01200193,
+30711E63,
+00D00093,
+0010A0B3,
+00000393,
+01300193,
+30709463,
+00000213,
+00B00093,
+00D00113,
+0020A733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01400193,
+2C731E63,
+00000213,
+00E00093,
+00D00113,
+0020A733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01500193,
+2A731663,
+00000213,
+00C00093,
+00D00113,
+0020A733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+00100393,
+01600193,
+26731C63,
+00000213,
+00E00093,
+00D00113,
+0020A733,
+00120213,
+00200293,
+FE5216E3,
+00000393,
+01700193,
+24771863,
+00000213,
+00B00093,
+00D00113,
+00000013,
+0020A733,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01800193,
+22771263,
+00000213,
+00F00093,
+00D00113,
+00000013,
+00000013,
+0020A733,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01900193,
+1E771A63,
+00000213,
+00A00093,
+00000013,
+00D00113,
+0020A733,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01A00193,
+1C771463,
+00000213,
+01000093,
+00000013,
+00D00113,
+00000013,
+0020A733,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01B00193,
+18771C63,
+00000213,
+00900093,
+00000013,
+00000013,
+00D00113,
+0020A733,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+01C00193,
+16771463,
+00000213,
+00D00113,
+01100093,
+0020A733,
+00120213,
+00200293,
+FE5216E3,
+00000393,
+01D00193,
+14771063,
+00000213,
+00D00113,
+00800093,
+00000013,
+0020A733,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01E00193,
+10771A63,
+00000213,
+00D00113,
+01200093,
+00000013,
+00000013,
+0020A733,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01F00193,
+0E771263,
+00000213,
+00D00113,
+00000013,
+00700093,
+0020A733,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+02000193,
+0A771C63,
+00000213,
+00D00113,
+00000013,
+01300093,
+00000013,
+0020A733,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+02100193,
+08771463,
+00000213,
+00D00113,
+00000013,
+00000013,
+00600093,
+0020A733,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+02200193,
+04771C63,
+FFF00093,
+00102133,
+00000393,
+02300193,
+04711263,
+FFF00093,
+0000A133,
+00100393,
+02400193,
+02711863,
+000020B3,
+00000393,
+02500193,
+02709063,
+01000093,
+01E00113,
+0020A033,
+00000393,
+02600193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/slti/cekirdek_dy.txt b/verilog/dv/test_c0/coe/slti/cekirdek_dy.txt
new file mode 100644
index 0000000..27e4b0e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slti/cekirdek_dy.txt
@@ -0,0 +1,425 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010011100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001001001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001010011100010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001100001100011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001010011100010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001111001100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100001010011100010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001010001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001010011100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001101001100011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010011100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001000001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001010011100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001011001100011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001010011100010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001110001100011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010011100010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001000001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001010011100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001010001100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001010011100010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001101001100011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001010011100010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001111001100011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001010011100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001010001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001010011100010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001101001100011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001010011100010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001000001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100001010000010010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011100001001011001100011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001010011100010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001010011100010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011100110001001001100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000001010011100010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000001010011100010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011100110001110001100011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100001010011100010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100001010011100010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011100110001010001100011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001010011100010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001010011100010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001001001100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000001010011100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000001010011100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001111001100011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001010011100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001010011100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001100001100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000010000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001010000000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010000001000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010000010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010000011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/slti/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/slti/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..626fbb1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slti/cekirdek_ps_bin.txt
@@ -0,0 +1,268 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010000
diff --git a/verilog/dv/test_c0/coe/slti/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/slti/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..b341b54
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slti/cekirdek_ps_hex.txt
@@ -0,0 +1,268 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+00010404
+00010408
+0001040c
+00010410
+00010410
diff --git a/verilog/dv/test_c0/coe/slti/cekirdek_yo.txt b/verilog/dv/test_c0/coe/slti/cekirdek_yo.txt
new file mode 100644
index 0000000..8ce0b7e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slti/cekirdek_yo.txt
@@ -0,0 +1,212 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_01110_00000000000000000000000000000000
+00000000000000010000000101111100_00111_00000000000000000000000000000000
+00000000000000010000000110000000_00011_00000000000000000000000000000010
+00000000000000010000000110001000_00001_00000000000000000000000000000001
+00000000000000010000000110001100_01110_00000000000000000000000000000000
+00000000000000010000000110010000_00111_00000000000000000000000000000000
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011100_00001_00000000000000000000000000000011
+00000000000000010000000110100000_01110_00000000000000000000000000000001
+00000000000000010000000110100100_00111_00000000000000000000000000000001
+00000000000000010000000110101000_00011_00000000000000000000000000000100
+00000000000000010000000110110000_00001_00000000000000000000000000000111
+00000000000000010000000110110100_01110_00000000000000000000000000000000
+00000000000000010000000110111000_00111_00000000000000000000000000000000
+00000000000000010000000110111100_00011_00000000000000000000000000000101
+00000000000000010000000111000100_00001_00000000000000000000000000000000
+00000000000000010000000111001000_01110_00000000000000000000000000000000
+00000000000000010000000111001100_00111_00000000000000000000000000000000
+00000000000000010000000111010000_00011_00000000000000000000000000000110
+00000000000000010000000111011000_00001_10000000000000000000000000000000
+00000000000000010000000111011100_01110_00000000000000000000000000000001
+00000000000000010000000111100000_00111_00000000000000000000000000000001
+00000000000000010000000111100100_00011_00000000000000000000000000000111
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_01110_00000000000000000000000000000001
+00000000000000010000000111110100_00111_00000000000000000000000000000001
+00000000000000010000000111111000_00011_00000000000000000000000000001000
+00000000000000010000001000000000_00001_00000000000000000000000000000000
+00000000000000010000001000000100_01110_00000000000000000000000000000001
+00000000000000010000001000001000_00111_00000000000000000000000000000001
+00000000000000010000001000001100_00011_00000000000000000000000000001001
+00000000000000010000001000010100_00001_10000000000000000000000000000000
+00000000000000010000001000011000_00001_01111111111111111111111111111111
+00000000000000010000001000011100_01110_00000000000000000000000000000000
+00000000000000010000001000100000_00111_00000000000000000000000000000000
+00000000000000010000001000100100_00011_00000000000000000000000000001010
+00000000000000010000001000101100_00001_10000000000000000000000000000000
+00000000000000010000001000110000_00001_01111111111111111111111111111111
+00000000000000010000001000110100_01110_00000000000000000000000000000000
+00000000000000010000001000111000_00111_00000000000000000000000000000000
+00000000000000010000001000111100_00011_00000000000000000000000000001011
+00000000000000010000001001000100_00001_10000000000000000000000000000000
+00000000000000010000001001001000_01110_00000000000000000000000000000001
+00000000000000010000001001001100_00111_00000000000000000000000000000001
+00000000000000010000001001010000_00011_00000000000000000000000000001100
+00000000000000010000001001011000_00001_10000000000000000000000000000000
+00000000000000010000001001011100_00001_01111111111111111111111111111111
+00000000000000010000001001100000_01110_00000000000000000000000000000000
+00000000000000010000001001100100_00111_00000000000000000000000000000000
+00000000000000010000001001101000_00011_00000000000000000000000000001101
+00000000000000010000001001110000_00001_00000000000000000000000000000000
+00000000000000010000001001110100_01110_00000000000000000000000000000000
+00000000000000010000001001111000_00111_00000000000000000000000000000000
+00000000000000010000001001111100_00011_00000000000000000000000000001110
+00000000000000010000001010000100_00001_11111111111111111111111111111111
+00000000000000010000001010001000_01110_00000000000000000000000000000001
+00000000000000010000001010001100_00111_00000000000000000000000000000001
+00000000000000010000001010010000_00011_00000000000000000000000000001111
+00000000000000010000001010011000_00001_11111111111111111111111111111111
+00000000000000010000001010011100_01110_00000000000000000000000000000000
+00000000000000010000001010100000_00111_00000000000000000000000000000000
+00000000000000010000001010100100_00011_00000000000000000000000000010000
+00000000000000010000001010101100_00001_00000000000000000000000000001011
+00000000000000010000001010110000_00001_00000000000000000000000000000001
+00000000000000010000001010110100_00111_00000000000000000000000000000001
+00000000000000010000001010111000_00011_00000000000000000000000000010001
+00000000000000010000001011000000_00100_00000000000000000000000000000000
+00000000000000010000001011000100_00001_00000000000000000000000000001111
+00000000000000010000001011001000_01110_00000000000000000000000000000000
+00000000000000010000001011001100_00110_00000000000000000000000000000000
+00000000000000010000001011010000_00100_00000000000000000000000000000001
+00000000000000010000001011010100_00101_00000000000000000000000000000010
+00000000000000010000001011000100_00001_00000000000000000000000000001111
+00000000000000010000001011001000_01110_00000000000000000000000000000000
+00000000000000010000001011001100_00110_00000000000000000000000000000000
+00000000000000010000001011010000_00100_00000000000000000000000000000010
+00000000000000010000001011010100_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00111_00000000000000000000000000000000
+00000000000000010000001011100000_00011_00000000000000000000000000010010
+00000000000000010000001011101000_00100_00000000000000000000000000000000
+00000000000000010000001011101100_00001_00000000000000000000000000001010
+00000000000000010000001011110000_01110_00000000000000000000000000000001
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00110_00000000000000000000000000000001
+00000000000000010000001011111100_00100_00000000000000000000000000000001
+00000000000000010000001100000000_00101_00000000000000000000000000000010
+00000000000000010000001011101100_00001_00000000000000000000000000001010
+00000000000000010000001011110000_01110_00000000000000000000000000000001
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00110_00000000000000000000000000000001
+00000000000000010000001011111100_00100_00000000000000000000000000000010
+00000000000000010000001100000000_00101_00000000000000000000000000000010
+00000000000000010000001100001000_00111_00000000000000000000000000000001
+00000000000000010000001100001100_00011_00000000000000000000000000010011
+00000000000000010000001100010100_00100_00000000000000000000000000000000
+00000000000000010000001100011000_00001_00000000000000000000000000010000
+00000000000000010000001100011100_01110_00000000000000000000000000000000
+00000000000000010000001100100000_00000_00000000000000000000000000000000
+00000000000000010000001100100100_00000_00000000000000000000000000000000
+00000000000000010000001100101000_00110_00000000000000000000000000000000
+00000000000000010000001100101100_00100_00000000000000000000000000000001
+00000000000000010000001100110000_00101_00000000000000000000000000000010
+00000000000000010000001100011000_00001_00000000000000000000000000010000
+00000000000000010000001100011100_01110_00000000000000000000000000000000
+00000000000000010000001100100000_00000_00000000000000000000000000000000
+00000000000000010000001100100100_00000_00000000000000000000000000000000
+00000000000000010000001100101000_00110_00000000000000000000000000000000
+00000000000000010000001100101100_00100_00000000000000000000000000000010
+00000000000000010000001100110000_00101_00000000000000000000000000000010
+00000000000000010000001100111000_00111_00000000000000000000000000000000
+00000000000000010000001100111100_00011_00000000000000000000000000010100
+00000000000000010000001101000100_00100_00000000000000000000000000000000
+00000000000000010000001101001000_00001_00000000000000000000000000001011
+00000000000000010000001101001100_01110_00000000000000000000000000000001
+00000000000000010000001101010000_00100_00000000000000000000000000000001
+00000000000000010000001101010100_00101_00000000000000000000000000000010
+00000000000000010000001101001000_00001_00000000000000000000000000001011
+00000000000000010000001101001100_01110_00000000000000000000000000000001
+00000000000000010000001101010000_00100_00000000000000000000000000000010
+00000000000000010000001101010100_00101_00000000000000000000000000000010
+00000000000000010000001101011100_00111_00000000000000000000000000000001
+00000000000000010000001101100000_00011_00000000000000000000000000010101
+00000000000000010000001101101000_00100_00000000000000000000000000000000
+00000000000000010000001101101100_00001_00000000000000000000000000010001
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_01110_00000000000000000000000000000000
+00000000000000010000001101111000_00100_00000000000000000000000000000001
+00000000000000010000001101111100_00101_00000000000000000000000000000010
+00000000000000010000001101101100_00001_00000000000000000000000000010001
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_01110_00000000000000000000000000000000
+00000000000000010000001101111000_00100_00000000000000000000000000000010
+00000000000000010000001101111100_00101_00000000000000000000000000000010
+00000000000000010000001110000100_00111_00000000000000000000000000000000
+00000000000000010000001110001000_00011_00000000000000000000000000010110
+00000000000000010000001110010000_00100_00000000000000000000000000000000
+00000000000000010000001110010100_00001_00000000000000000000000000001100
+00000000000000010000001110011000_00000_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00000000000000000000000000000001
+00000000000000010000001110100100_00100_00000000000000000000000000000001
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110010100_00001_00000000000000000000000000001100
+00000000000000010000001110011000_00000_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00000000000000000000000000000001
+00000000000000010000001110100100_00100_00000000000000000000000000000010
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110110000_00111_00000000000000000000000000000001
+00000000000000010000001110110100_00011_00000000000000000000000000010111
+00000000000000010000001110111100_00001_00000000000000000000000000000000
+00000000000000010000001111000000_00111_00000000000000000000000000000000
+00000000000000010000001111000100_00011_00000000000000000000000000011000
+00000000000000010000001111001100_00001_00000000111111110000000000000000
+00000000000000010000001111010000_00001_00000000111111110000000011111111
+00000000000000010000001111010100_00000_00000000000000000000000000000000
+00000000000000010000001111011000_00111_00000000000000000000000000000000
+00000000000000010000001111011100_00011_00000000000000000000000000011001
+00000000000000010000010000001000_00011_00000000000000000000000000000001
+00000000000000010000010000001100_10001_00000000000000000000000001011101
+00000000000000010000010000010000_01010_00000000000000000000000000000000
+00000000000000010000010000010000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/slti/dmem.coe b/verilog/dv/test_c0/coe/slti/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slti/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/slti/imem.coe b/verilog/dv/test_c0/coe/slti/imem.coe
new file mode 100644
index 0000000..172cb32
--- /dev/null
+++ b/verilog/dv/test_c0/coe/slti/imem.coe
@@ -0,0 +1,273 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+0000A713,
+00000393,
+00200193,
+26771263,
+00100093,
+0010A713,
+00000393,
+00300193,
+24771863,
+00300093,
+0070A713,
+00100393,
+00400193,
+22771E63,
+00700093,
+0030A713,
+00000393,
+00500193,
+22771463,
+00000093,
+8000A713,
+00000393,
+00600193,
+20771A63,
+800000B7,
+0000A713,
+00100393,
+00700193,
+20771063,
+800000B7,
+8000A713,
+00100393,
+00800193,
+1E771663,
+00000093,
+7FF0A713,
+00100393,
+00900193,
+1C771C63,
+800000B7,
+FFF08093,
+0000A713,
+00000393,
+00A00193,
+1C771063,
+800000B7,
+FFF08093,
+7FF0A713,
+00000393,
+00B00193,
+1A771463,
+800000B7,
+7FF0A713,
+00100393,
+00C00193,
+18771A63,
+800000B7,
+FFF08093,
+8000A713,
+00000393,
+00D00193,
+16771E63,
+00000093,
+FFF0A713,
+00000393,
+00E00193,
+16771463,
+FFF00093,
+0010A713,
+00100393,
+00F00193,
+14771A63,
+FFF00093,
+FFF0A713,
+00000393,
+01000193,
+14771063,
+00B00093,
+00D0A093,
+00100393,
+01100193,
+12709663,
+00000213,
+00F00093,
+00A0A713,
+00070313,
+00120213,
+00200293,
+FE5216E3,
+00000393,
+01200193,
+10731263,
+00000213,
+00A00093,
+0100A713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01300193,
+0C731C63,
+00000213,
+01000093,
+0090A713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01400193,
+0A731463,
+00000213,
+00B00093,
+00F0A713,
+00120213,
+00200293,
+FE5218E3,
+00100393,
+01500193,
+08771263,
+00000213,
+01100093,
+00000013,
+0080A713,
+00120213,
+00200293,
+FE5216E3,
+00000393,
+01600193,
+04771E63,
+00000213,
+00C00093,
+00000013,
+00000013,
+00E0A713,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01700193,
+02771863,
+FFF02093,
+00000393,
+01800193,
+02709063,
+00FF00B7,
+0FF08093,
+FFF0A013,
+00000393,
+01900193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sltiu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/sltiu/cekirdek_dy.txt
new file mode 100644
index 0000000..37caee6
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltiu/cekirdek_dy.txt
@@ -0,0 +1,425 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011011100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001001001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001011011100010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001100001100011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001011011100010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001111001100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100001011011100010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001010001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001011011100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001101001100011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011011100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001000001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001011011100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001011001100011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001011011100010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001110001100011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011011100010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001000001100011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001011011100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001010001100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111100001011011100010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001101001100011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001011011100010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001111001100011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001011011100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001010001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001011011100010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001101001100011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001011011100010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001000001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100001011000010010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011100001001011001100011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001011011100010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001011011100010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011100110001001001100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000001011011100010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000001011011100010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011100110001110001100011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100001011011100010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100001011011100010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011100110001010001100011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001011011100010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001011011100010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001001001100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000001011011100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000001011011100010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001111001100011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001011011100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001011011100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001100001100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000011000010010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001011000000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010000001000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010000001100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010000010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010000011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sltiu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/sltiu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..626fbb1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltiu/cekirdek_ps_bin.txt
@@ -0,0 +1,268 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010000
diff --git a/verilog/dv/test_c0/coe/sltiu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/sltiu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..b341b54
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltiu/cekirdek_ps_hex.txt
@@ -0,0 +1,268 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+00010404
+00010408
+0001040c
+00010410
+00010410
diff --git a/verilog/dv/test_c0/coe/sltiu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/sltiu/cekirdek_yo.txt
new file mode 100644
index 0000000..6576fb3
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltiu/cekirdek_yo.txt
@@ -0,0 +1,212 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_01110_00000000000000000000000000000000
+00000000000000010000000101111100_00111_00000000000000000000000000000000
+00000000000000010000000110000000_00011_00000000000000000000000000000010
+00000000000000010000000110001000_00001_00000000000000000000000000000001
+00000000000000010000000110001100_01110_00000000000000000000000000000000
+00000000000000010000000110010000_00111_00000000000000000000000000000000
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011100_00001_00000000000000000000000000000011
+00000000000000010000000110100000_01110_00000000000000000000000000000001
+00000000000000010000000110100100_00111_00000000000000000000000000000001
+00000000000000010000000110101000_00011_00000000000000000000000000000100
+00000000000000010000000110110000_00001_00000000000000000000000000000111
+00000000000000010000000110110100_01110_00000000000000000000000000000000
+00000000000000010000000110111000_00111_00000000000000000000000000000000
+00000000000000010000000110111100_00011_00000000000000000000000000000101
+00000000000000010000000111000100_00001_00000000000000000000000000000000
+00000000000000010000000111001000_01110_00000000000000000000000000000001
+00000000000000010000000111001100_00111_00000000000000000000000000000001
+00000000000000010000000111010000_00011_00000000000000000000000000000110
+00000000000000010000000111011000_00001_10000000000000000000000000000000
+00000000000000010000000111011100_01110_00000000000000000000000000000000
+00000000000000010000000111100000_00111_00000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000111
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_01110_00000000000000000000000000000001
+00000000000000010000000111110100_00111_00000000000000000000000000000001
+00000000000000010000000111111000_00011_00000000000000000000000000001000
+00000000000000010000001000000000_00001_00000000000000000000000000000000
+00000000000000010000001000000100_01110_00000000000000000000000000000001
+00000000000000010000001000001000_00111_00000000000000000000000000000001
+00000000000000010000001000001100_00011_00000000000000000000000000001001
+00000000000000010000001000010100_00001_10000000000000000000000000000000
+00000000000000010000001000011000_00001_01111111111111111111111111111111
+00000000000000010000001000011100_01110_00000000000000000000000000000000
+00000000000000010000001000100000_00111_00000000000000000000000000000000
+00000000000000010000001000100100_00011_00000000000000000000000000001010
+00000000000000010000001000101100_00001_10000000000000000000000000000000
+00000000000000010000001000110000_00001_01111111111111111111111111111111
+00000000000000010000001000110100_01110_00000000000000000000000000000000
+00000000000000010000001000111000_00111_00000000000000000000000000000000
+00000000000000010000001000111100_00011_00000000000000000000000000001011
+00000000000000010000001001000100_00001_10000000000000000000000000000000
+00000000000000010000001001001000_01110_00000000000000000000000000000000
+00000000000000010000001001001100_00111_00000000000000000000000000000000
+00000000000000010000001001010000_00011_00000000000000000000000000001100
+00000000000000010000001001011000_00001_10000000000000000000000000000000
+00000000000000010000001001011100_00001_01111111111111111111111111111111
+00000000000000010000001001100000_01110_00000000000000000000000000000001
+00000000000000010000001001100100_00111_00000000000000000000000000000001
+00000000000000010000001001101000_00011_00000000000000000000000000001101
+00000000000000010000001001110000_00001_00000000000000000000000000000000
+00000000000000010000001001110100_01110_00000000000000000000000000000001
+00000000000000010000001001111000_00111_00000000000000000000000000000001
+00000000000000010000001001111100_00011_00000000000000000000000000001110
+00000000000000010000001010000100_00001_11111111111111111111111111111111
+00000000000000010000001010001000_01110_00000000000000000000000000000000
+00000000000000010000001010001100_00111_00000000000000000000000000000000
+00000000000000010000001010010000_00011_00000000000000000000000000001111
+00000000000000010000001010011000_00001_11111111111111111111111111111111
+00000000000000010000001010011100_01110_00000000000000000000000000000000
+00000000000000010000001010100000_00111_00000000000000000000000000000000
+00000000000000010000001010100100_00011_00000000000000000000000000010000
+00000000000000010000001010101100_00001_00000000000000000000000000001011
+00000000000000010000001010110000_00001_00000000000000000000000000000001
+00000000000000010000001010110100_00111_00000000000000000000000000000001
+00000000000000010000001010111000_00011_00000000000000000000000000010001
+00000000000000010000001011000000_00100_00000000000000000000000000000000
+00000000000000010000001011000100_00001_00000000000000000000000000001111
+00000000000000010000001011001000_01110_00000000000000000000000000000000
+00000000000000010000001011001100_00110_00000000000000000000000000000000
+00000000000000010000001011010000_00100_00000000000000000000000000000001
+00000000000000010000001011010100_00101_00000000000000000000000000000010
+00000000000000010000001011000100_00001_00000000000000000000000000001111
+00000000000000010000001011001000_01110_00000000000000000000000000000000
+00000000000000010000001011001100_00110_00000000000000000000000000000000
+00000000000000010000001011010000_00100_00000000000000000000000000000010
+00000000000000010000001011010100_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00111_00000000000000000000000000000000
+00000000000000010000001011100000_00011_00000000000000000000000000010010
+00000000000000010000001011101000_00100_00000000000000000000000000000000
+00000000000000010000001011101100_00001_00000000000000000000000000001010
+00000000000000010000001011110000_01110_00000000000000000000000000000001
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00110_00000000000000000000000000000001
+00000000000000010000001011111100_00100_00000000000000000000000000000001
+00000000000000010000001100000000_00101_00000000000000000000000000000010
+00000000000000010000001011101100_00001_00000000000000000000000000001010
+00000000000000010000001011110000_01110_00000000000000000000000000000001
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00110_00000000000000000000000000000001
+00000000000000010000001011111100_00100_00000000000000000000000000000010
+00000000000000010000001100000000_00101_00000000000000000000000000000010
+00000000000000010000001100001000_00111_00000000000000000000000000000001
+00000000000000010000001100001100_00011_00000000000000000000000000010011
+00000000000000010000001100010100_00100_00000000000000000000000000000000
+00000000000000010000001100011000_00001_00000000000000000000000000010000
+00000000000000010000001100011100_01110_00000000000000000000000000000000
+00000000000000010000001100100000_00000_00000000000000000000000000000000
+00000000000000010000001100100100_00000_00000000000000000000000000000000
+00000000000000010000001100101000_00110_00000000000000000000000000000000
+00000000000000010000001100101100_00100_00000000000000000000000000000001
+00000000000000010000001100110000_00101_00000000000000000000000000000010
+00000000000000010000001100011000_00001_00000000000000000000000000010000
+00000000000000010000001100011100_01110_00000000000000000000000000000000
+00000000000000010000001100100000_00000_00000000000000000000000000000000
+00000000000000010000001100100100_00000_00000000000000000000000000000000
+00000000000000010000001100101000_00110_00000000000000000000000000000000
+00000000000000010000001100101100_00100_00000000000000000000000000000010
+00000000000000010000001100110000_00101_00000000000000000000000000000010
+00000000000000010000001100111000_00111_00000000000000000000000000000000
+00000000000000010000001100111100_00011_00000000000000000000000000010100
+00000000000000010000001101000100_00100_00000000000000000000000000000000
+00000000000000010000001101001000_00001_00000000000000000000000000001011
+00000000000000010000001101001100_01110_00000000000000000000000000000001
+00000000000000010000001101010000_00100_00000000000000000000000000000001
+00000000000000010000001101010100_00101_00000000000000000000000000000010
+00000000000000010000001101001000_00001_00000000000000000000000000001011
+00000000000000010000001101001100_01110_00000000000000000000000000000001
+00000000000000010000001101010000_00100_00000000000000000000000000000010
+00000000000000010000001101010100_00101_00000000000000000000000000000010
+00000000000000010000001101011100_00111_00000000000000000000000000000001
+00000000000000010000001101100000_00011_00000000000000000000000000010101
+00000000000000010000001101101000_00100_00000000000000000000000000000000
+00000000000000010000001101101100_00001_00000000000000000000000000010001
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_01110_00000000000000000000000000000000
+00000000000000010000001101111000_00100_00000000000000000000000000000001
+00000000000000010000001101111100_00101_00000000000000000000000000000010
+00000000000000010000001101101100_00001_00000000000000000000000000010001
+00000000000000010000001101110000_00000_00000000000000000000000000000000
+00000000000000010000001101110100_01110_00000000000000000000000000000000
+00000000000000010000001101111000_00100_00000000000000000000000000000010
+00000000000000010000001101111100_00101_00000000000000000000000000000010
+00000000000000010000001110000100_00111_00000000000000000000000000000000
+00000000000000010000001110001000_00011_00000000000000000000000000010110
+00000000000000010000001110010000_00100_00000000000000000000000000000000
+00000000000000010000001110010100_00001_00000000000000000000000000001100
+00000000000000010000001110011000_00000_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00000000000000000000000000000001
+00000000000000010000001110100100_00100_00000000000000000000000000000001
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110010100_00001_00000000000000000000000000001100
+00000000000000010000001110011000_00000_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00000000000000000000000000000001
+00000000000000010000001110100100_00100_00000000000000000000000000000010
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110110000_00111_00000000000000000000000000000001
+00000000000000010000001110110100_00011_00000000000000000000000000010111
+00000000000000010000001110111100_00001_00000000000000000000000000000001
+00000000000000010000001111000000_00111_00000000000000000000000000000001
+00000000000000010000001111000100_00011_00000000000000000000000000011000
+00000000000000010000001111001100_00001_00000000111111110000000000000000
+00000000000000010000001111010000_00001_00000000111111110000000011111111
+00000000000000010000001111010100_00000_00000000000000000000000000000001
+00000000000000010000001111011000_00111_00000000000000000000000000000000
+00000000000000010000001111011100_00011_00000000000000000000000000011001
+00000000000000010000010000001000_00011_00000000000000000000000000000001
+00000000000000010000010000001100_10001_00000000000000000000000001011101
+00000000000000010000010000010000_01010_00000000000000000000000000000000
+00000000000000010000010000010000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sltiu/dmem.coe b/verilog/dv/test_c0/coe/sltiu/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltiu/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sltiu/imem.coe b/verilog/dv/test_c0/coe/sltiu/imem.coe
new file mode 100644
index 0000000..57574be
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltiu/imem.coe
@@ -0,0 +1,273 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+0000B713,
+00000393,
+00200193,
+26771263,
+00100093,
+0010B713,
+00000393,
+00300193,
+24771863,
+00300093,
+0070B713,
+00100393,
+00400193,
+22771E63,
+00700093,
+0030B713,
+00000393,
+00500193,
+22771463,
+00000093,
+8000B713,
+00100393,
+00600193,
+20771A63,
+800000B7,
+0000B713,
+00000393,
+00700193,
+20771063,
+800000B7,
+8000B713,
+00100393,
+00800193,
+1E771663,
+00000093,
+7FF0B713,
+00100393,
+00900193,
+1C771C63,
+800000B7,
+FFF08093,
+0000B713,
+00000393,
+00A00193,
+1C771063,
+800000B7,
+FFF08093,
+7FF0B713,
+00000393,
+00B00193,
+1A771463,
+800000B7,
+7FF0B713,
+00000393,
+00C00193,
+18771A63,
+800000B7,
+FFF08093,
+8000B713,
+00100393,
+00D00193,
+16771E63,
+00000093,
+FFF0B713,
+00100393,
+00E00193,
+16771463,
+FFF00093,
+0010B713,
+00000393,
+00F00193,
+14771A63,
+FFF00093,
+FFF0B713,
+00000393,
+01000193,
+14771063,
+00B00093,
+00D0B093,
+00100393,
+01100193,
+12709663,
+00000213,
+00F00093,
+00A0B713,
+00070313,
+00120213,
+00200293,
+FE5216E3,
+00000393,
+01200193,
+10731263,
+00000213,
+00A00093,
+0100B713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01300193,
+0C731C63,
+00000213,
+01000093,
+0090B713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01400193,
+0A731463,
+00000213,
+00B00093,
+00F0B713,
+00120213,
+00200293,
+FE5218E3,
+00100393,
+01500193,
+08771263,
+00000213,
+01100093,
+00000013,
+0080B713,
+00120213,
+00200293,
+FE5216E3,
+00000393,
+01600193,
+04771E63,
+00000213,
+00C00093,
+00000013,
+00000013,
+00E0B713,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01700193,
+02771863,
+FFF03093,
+00100393,
+01800193,
+02709063,
+00FF00B7,
+0FF08093,
+FFF0B013,
+00000393,
+01900193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sltu/cekirdek_dy.txt b/verilog/dv/test_c0/coe/sltu/cekirdek_dy.txt
new file mode 100644
index 0000000..7bf0374
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltu/cekirdek_dy.txt
@@ -0,0 +1,701 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001101001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001111001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001001001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001011001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001101001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001111001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001001001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001010001100011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001011001100011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001011001100011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001100001100011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001101001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001111001100011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001001001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011101110001011001100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011000010110011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100001001101001100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011000100110011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100010001111001100011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001011000010110011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100001001010001100011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100011100110001111001100011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001011001100011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011100110001110001100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001100001100011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001001001100011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001101001100011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001010001100011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001110001100011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001010001100011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000010010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000010010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001000001100011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000010010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000010010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001101001100011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000010010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000010010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001001001100011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001110001100011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000010010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000010010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001010001100011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000010010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000010010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011011100110011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000011000100110011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001001001100011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001011000100110011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000000000000110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001100001100011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000011000010110011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010100000000000110010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000100010011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001011000000110011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011000000000000110010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001101100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sltu/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/sltu/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..d79353c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltu/cekirdek_ps_bin.txt
@@ -0,0 +1,490 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001100100
diff --git a/verilog/dv/test_c0/coe/sltu/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/sltu/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..e58533d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltu/cekirdek_ps_hex.txt
@@ -0,0 +1,490 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+00010658
+0001065c
+00010660
+00010664
+00010664
diff --git a/verilog/dv/test_c0/coe/sltu/cekirdek_yo.txt b/verilog/dv/test_c0/coe/sltu/cekirdek_yo.txt
new file mode 100644
index 0000000..5ed5f15
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltu/cekirdek_yo.txt
@@ -0,0 +1,403 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000000000000
+00000000000000010000000110000000_00111_00000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00000000000000000000000000000001
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_00000000000000000000000000000000
+00000000000000010000000110011000_00111_00000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000000011
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_00000000000000000000000000000001
+00000000000000010000000110110000_00111_00000000000000000000000000000001
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_00000000000000000000000000000111
+00000000000000010000000111000000_00010_00000000000000000000000000000011
+00000000000000010000000111000100_01110_00000000000000000000000000000000
+00000000000000010000000111001000_00111_00000000000000000000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_00000000000000000000000000000000
+00000000000000010000000111011000_00010_11111111111111111000000000000000
+00000000000000010000000111011100_01110_00000000000000000000000000000001
+00000000000000010000000111100000_00111_00000000000000000000000000000001
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_00000000000000000000000000000000
+00000000000000010000000111110100_01110_00000000000000000000000000000000
+00000000000000010000000111111000_00111_00000000000000000000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_10000000000000000000000000000000
+00000000000000010000001000001000_00010_11111111111111111000000000000000
+00000000000000010000001000001100_01110_00000000000000000000000000000001
+00000000000000010000001000010000_00111_00000000000000000000000000000001
+00000000000000010000001000010100_00011_00000000000000000000000000001000
+00000000000000010000001000011100_00001_00000000000000000000000000000000
+00000000000000010000001000100000_00010_00000000000000001000000000000000
+00000000000000010000001000100100_00010_00000000000000000111111111111111
+00000000000000010000001000101000_01110_00000000000000000000000000000001
+00000000000000010000001000101100_00111_00000000000000000000000000000001
+00000000000000010000001000110000_00011_00000000000000000000000000001001
+00000000000000010000001000111000_00001_10000000000000000000000000000000
+00000000000000010000001000111100_00001_01111111111111111111111111111111
+00000000000000010000001001000000_00010_00000000000000000000000000000000
+00000000000000010000001001000100_01110_00000000000000000000000000000000
+00000000000000010000001001001000_00111_00000000000000000000000000000000
+00000000000000010000001001001100_00011_00000000000000000000000000001010
+00000000000000010000001001010100_00001_10000000000000000000000000000000
+00000000000000010000001001011000_00001_01111111111111111111111111111111
+00000000000000010000001001011100_00010_00000000000000001000000000000000
+00000000000000010000001001100000_00010_00000000000000000111111111111111
+00000000000000010000001001100100_01110_00000000000000000000000000000000
+00000000000000010000001001101000_00111_00000000000000000000000000000000
+00000000000000010000001001101100_00011_00000000000000000000000000001011
+00000000000000010000001001110100_00001_10000000000000000000000000000000
+00000000000000010000001001111000_00010_00000000000000001000000000000000
+00000000000000010000001001111100_00010_00000000000000000111111111111111
+00000000000000010000001010000000_01110_00000000000000000000000000000000
+00000000000000010000001010000100_00111_00000000000000000000000000000000
+00000000000000010000001010001000_00011_00000000000000000000000000001100
+00000000000000010000001010010000_00001_10000000000000000000000000000000
+00000000000000010000001010010100_00001_01111111111111111111111111111111
+00000000000000010000001010011000_00010_11111111111111111000000000000000
+00000000000000010000001010011100_01110_00000000000000000000000000000001
+00000000000000010000001010100000_00111_00000000000000000000000000000001
+00000000000000010000001010100100_00011_00000000000000000000000000001101
+00000000000000010000001010101100_00001_00000000000000000000000000000000
+00000000000000010000001010110000_00010_11111111111111111111111111111111
+00000000000000010000001010110100_01110_00000000000000000000000000000001
+00000000000000010000001010111000_00111_00000000000000000000000000000001
+00000000000000010000001010111100_00011_00000000000000000000000000001110
+00000000000000010000001011000100_00001_11111111111111111111111111111111
+00000000000000010000001011001000_00010_00000000000000000000000000000001
+00000000000000010000001011001100_01110_00000000000000000000000000000000
+00000000000000010000001011010000_00111_00000000000000000000000000000000
+00000000000000010000001011010100_00011_00000000000000000000000000001111
+00000000000000010000001011011100_00001_11111111111111111111111111111111
+00000000000000010000001011100000_00010_11111111111111111111111111111111
+00000000000000010000001011100100_01110_00000000000000000000000000000000
+00000000000000010000001011101000_00111_00000000000000000000000000000000
+00000000000000010000001011101100_00011_00000000000000000000000000010000
+00000000000000010000001011110100_00001_00000000000000000000000000001110
+00000000000000010000001011111000_00010_00000000000000000000000000001101
+00000000000000010000001011111100_00001_00000000000000000000000000000000
+00000000000000010000001100000000_00111_00000000000000000000000000000000
+00000000000000010000001100000100_00011_00000000000000000000000000010001
+00000000000000010000001100001100_00001_00000000000000000000000000001011
+00000000000000010000001100010000_00010_00000000000000000000000000001101
+00000000000000010000001100010100_00010_00000000000000000000000000000001
+00000000000000010000001100011000_00111_00000000000000000000000000000001
+00000000000000010000001100011100_00011_00000000000000000000000000010010
+00000000000000010000001100100100_00001_00000000000000000000000000001101
+00000000000000010000001100101000_00001_00000000000000000000000000000000
+00000000000000010000001100101100_00111_00000000000000000000000000000000
+00000000000000010000001100110000_00011_00000000000000000000000000010011
+00000000000000010000001100111000_00100_00000000000000000000000000000000
+00000000000000010000001100111100_00001_00000000000000000000000000001011
+00000000000000010000001101000000_00010_00000000000000000000000000001101
+00000000000000010000001101000100_01110_00000000000000000000000000000001
+00000000000000010000001101001000_00110_00000000000000000000000000000001
+00000000000000010000001101001100_00100_00000000000000000000000000000001
+00000000000000010000001101010000_00101_00000000000000000000000000000010
+00000000000000010000001100111100_00001_00000000000000000000000000001011
+00000000000000010000001101000000_00010_00000000000000000000000000001101
+00000000000000010000001101000100_01110_00000000000000000000000000000001
+00000000000000010000001101001000_00110_00000000000000000000000000000001
+00000000000000010000001101001100_00100_00000000000000000000000000000010
+00000000000000010000001101010000_00101_00000000000000000000000000000010
+00000000000000010000001101011000_00111_00000000000000000000000000000001
+00000000000000010000001101011100_00011_00000000000000000000000000010100
+00000000000000010000001101100100_00100_00000000000000000000000000000000
+00000000000000010000001101101000_00001_00000000000000000000000000001110
+00000000000000010000001101101100_00010_00000000000000000000000000001101
+00000000000000010000001101110000_01110_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000000000000000000000
+00000000000000010000001101111100_00100_00000000000000000000000000000001
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001101101000_00001_00000000000000000000000000001110
+00000000000000010000001101101100_00010_00000000000000000000000000001101
+00000000000000010000001101110000_01110_00000000000000000000000000000000
+00000000000000010000001101110100_00000_00000000000000000000000000000000
+00000000000000010000001101111000_00110_00000000000000000000000000000000
+00000000000000010000001101111100_00100_00000000000000000000000000000010
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00111_00000000000000000000000000000000
+00000000000000010000001110001100_00011_00000000000000000000000000010101
+00000000000000010000001110010100_00100_00000000000000000000000000000000
+00000000000000010000001110011000_00001_00000000000000000000000000001100
+00000000000000010000001110011100_00010_00000000000000000000000000001101
+00000000000000010000001110100000_01110_00000000000000000000000000000001
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101000_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00110_00000000000000000000000000000001
+00000000000000010000001110110000_00100_00000000000000000000000000000001
+00000000000000010000001110110100_00101_00000000000000000000000000000010
+00000000000000010000001110011000_00001_00000000000000000000000000001100
+00000000000000010000001110011100_00010_00000000000000000000000000001101
+00000000000000010000001110100000_01110_00000000000000000000000000000001
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101000_00000_00000000000000000000000000000000
+00000000000000010000001110101100_00110_00000000000000000000000000000001
+00000000000000010000001110110000_00100_00000000000000000000000000000010
+00000000000000010000001110110100_00101_00000000000000000000000000000010
+00000000000000010000001110111100_00111_00000000000000000000000000000001
+00000000000000010000001111000000_00011_00000000000000000000000000010110
+00000000000000010000001111001000_00100_00000000000000000000000000000000
+00000000000000010000001111001100_00001_00000000000000000000000000001110
+00000000000000010000001111010000_00010_00000000000000000000000000001101
+00000000000000010000001111010100_01110_00000000000000000000000000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000001
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111001100_00001_00000000000000000000000000001110
+00000000000000010000001111010000_00010_00000000000000000000000000001101
+00000000000000010000001111010100_01110_00000000000000000000000000000000
+00000000000000010000001111011000_00100_00000000000000000000000000000010
+00000000000000010000001111011100_00101_00000000000000000000000000000010
+00000000000000010000001111100100_00111_00000000000000000000000000000000
+00000000000000010000001111101000_00011_00000000000000000000000000010111
+00000000000000010000001111110000_00100_00000000000000000000000000000000
+00000000000000010000001111110100_00001_00000000000000000000000000001011
+00000000000000010000001111111000_00010_00000000000000000000000000001101
+00000000000000010000001111111100_00000_00000000000000000000000000000000
+00000000000000010000010000000000_01110_00000000000000000000000000000001
+00000000000000010000010000000100_00100_00000000000000000000000000000001
+00000000000000010000010000001000_00101_00000000000000000000000000000010
+00000000000000010000001111110100_00001_00000000000000000000000000001011
+00000000000000010000001111111000_00010_00000000000000000000000000001101
+00000000000000010000001111111100_00000_00000000000000000000000000000000
+00000000000000010000010000000000_01110_00000000000000000000000000000001
+00000000000000010000010000000100_00100_00000000000000000000000000000010
+00000000000000010000010000001000_00101_00000000000000000000000000000010
+00000000000000010000010000010000_00111_00000000000000000000000000000001
+00000000000000010000010000010100_00011_00000000000000000000000000011000
+00000000000000010000010000011100_00100_00000000000000000000000000000000
+00000000000000010000010000100000_00001_00000000000000000000000000001111
+00000000000000010000010000100100_00010_00000000000000000000000000001101
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_01110_00000000000000000000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000001
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010000100000_00001_00000000000000000000000000001111
+00000000000000010000010000100100_00010_00000000000000000000000000001101
+00000000000000010000010000101000_00000_00000000000000000000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_01110_00000000000000000000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000010
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010001000000_00111_00000000000000000000000000000000
+00000000000000010000010001000100_00011_00000000000000000000000000011001
+00000000000000010000010001001100_00100_00000000000000000000000000000000
+00000000000000010000010001010000_00001_00000000000000000000000000001010
+00000000000000010000010001010100_00000_00000000000000000000000000000000
+00000000000000010000010001011000_00010_00000000000000000000000000001101
+00000000000000010000010001011100_01110_00000000000000000000000000000001
+00000000000000010000010001100000_00100_00000000000000000000000000000001
+00000000000000010000010001100100_00101_00000000000000000000000000000010
+00000000000000010000010001010000_00001_00000000000000000000000000001010
+00000000000000010000010001010100_00000_00000000000000000000000000000000
+00000000000000010000010001011000_00010_00000000000000000000000000001101
+00000000000000010000010001011100_01110_00000000000000000000000000000001
+00000000000000010000010001100000_00100_00000000000000000000000000000010
+00000000000000010000010001100100_00101_00000000000000000000000000000010
+00000000000000010000010001101100_00111_00000000000000000000000000000001
+00000000000000010000010001110000_00011_00000000000000000000000000011010
+00000000000000010000010001111000_00100_00000000000000000000000000000000
+00000000000000010000010001111100_00001_00000000000000000000000000010000
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_00010_00000000000000000000000000001101
+00000000000000010000010010001000_00000_00000000000000000000000000000000
+00000000000000010000010010001100_01110_00000000000000000000000000000000
+00000000000000010000010010010000_00100_00000000000000000000000000000001
+00000000000000010000010010010100_00101_00000000000000000000000000000010
+00000000000000010000010001111100_00001_00000000000000000000000000010000
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_00010_00000000000000000000000000001101
+00000000000000010000010010001000_00000_00000000000000000000000000000000
+00000000000000010000010010001100_01110_00000000000000000000000000000000
+00000000000000010000010010010000_00100_00000000000000000000000000000010
+00000000000000010000010010010100_00101_00000000000000000000000000000010
+00000000000000010000010010011100_00111_00000000000000000000000000000000
+00000000000000010000010010100000_00011_00000000000000000000000000011011
+00000000000000010000010010101000_00100_00000000000000000000000000000000
+00000000000000010000010010101100_00001_00000000000000000000000000001001
+00000000000000010000010010110000_00000_00000000000000000000000000000000
+00000000000000010000010010110100_00000_00000000000000000000000000000000
+00000000000000010000010010111000_00010_00000000000000000000000000001101
+00000000000000010000010010111100_01110_00000000000000000000000000000001
+00000000000000010000010011000000_00100_00000000000000000000000000000001
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010010101100_00001_00000000000000000000000000001001
+00000000000000010000010010110000_00000_00000000000000000000000000000000
+00000000000000010000010010110100_00000_00000000000000000000000000000000
+00000000000000010000010010111000_00010_00000000000000000000000000001101
+00000000000000010000010010111100_01110_00000000000000000000000000000001
+00000000000000010000010011000000_00100_00000000000000000000000000000010
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010011001100_00111_00000000000000000000000000000001
+00000000000000010000010011010000_00011_00000000000000000000000000011100
+00000000000000010000010011011000_00100_00000000000000000000000000000000
+00000000000000010000010011011100_00010_00000000000000000000000000001101
+00000000000000010000010011100000_00001_00000000000000000000000000010001
+00000000000000010000010011100100_01110_00000000000000000000000000000000
+00000000000000010000010011101000_00100_00000000000000000000000000000001
+00000000000000010000010011101100_00101_00000000000000000000000000000010
+00000000000000010000010011011100_00010_00000000000000000000000000001101
+00000000000000010000010011100000_00001_00000000000000000000000000010001
+00000000000000010000010011100100_01110_00000000000000000000000000000000
+00000000000000010000010011101000_00100_00000000000000000000000000000010
+00000000000000010000010011101100_00101_00000000000000000000000000000010
+00000000000000010000010011110100_00111_00000000000000000000000000000000
+00000000000000010000010011111000_00011_00000000000000000000000000011101
+00000000000000010000010100000000_00100_00000000000000000000000000000000
+00000000000000010000010100000100_00010_00000000000000000000000000001101
+00000000000000010000010100001000_00001_00000000000000000000000000001000
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_01110_00000000000000000000000000000001
+00000000000000010000010100010100_00100_00000000000000000000000000000001
+00000000000000010000010100011000_00101_00000000000000000000000000000010
+00000000000000010000010100000100_00010_00000000000000000000000000001101
+00000000000000010000010100001000_00001_00000000000000000000000000001000
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_01110_00000000000000000000000000000001
+00000000000000010000010100010100_00100_00000000000000000000000000000010
+00000000000000010000010100011000_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00111_00000000000000000000000000000001
+00000000000000010000010100100100_00011_00000000000000000000000000011110
+00000000000000010000010100101100_00100_00000000000000000000000000000000
+00000000000000010000010100110000_00010_00000000000000000000000000001101
+00000000000000010000010100110100_00001_00000000000000000000000000010010
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00000_00000000000000000000000000000000
+00000000000000010000010101000000_01110_00000000000000000000000000000000
+00000000000000010000010101000100_00100_00000000000000000000000000000001
+00000000000000010000010101001000_00101_00000000000000000000000000000010
+00000000000000010000010100110000_00010_00000000000000000000000000001101
+00000000000000010000010100110100_00001_00000000000000000000000000010010
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00000_00000000000000000000000000000000
+00000000000000010000010101000000_01110_00000000000000000000000000000000
+00000000000000010000010101000100_00100_00000000000000000000000000000010
+00000000000000010000010101001000_00101_00000000000000000000000000000010
+00000000000000010000010101010000_00111_00000000000000000000000000000000
+00000000000000010000010101010100_00011_00000000000000000000000000011111
+00000000000000010000010101011100_00100_00000000000000000000000000000000
+00000000000000010000010101100000_00010_00000000000000000000000000001101
+00000000000000010000010101100100_00000_00000000000000000000000000000000
+00000000000000010000010101101000_00001_00000000000000000000000000000111
+00000000000000010000010101101100_01110_00000000000000000000000000000001
+00000000000000010000010101110000_00100_00000000000000000000000000000001
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101100000_00010_00000000000000000000000000001101
+00000000000000010000010101100100_00000_00000000000000000000000000000000
+00000000000000010000010101101000_00001_00000000000000000000000000000111
+00000000000000010000010101101100_01110_00000000000000000000000000000001
+00000000000000010000010101110000_00100_00000000000000000000000000000010
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101111100_00111_00000000000000000000000000000001
+00000000000000010000010110000000_00011_00000000000000000000000000100000
+00000000000000010000010110001000_00100_00000000000000000000000000000000
+00000000000000010000010110001100_00010_00000000000000000000000000001101
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_00001_00000000000000000000000000010011
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_01110_00000000000000000000000000000000
+00000000000000010000010110100000_00100_00000000000000000000000000000001
+00000000000000010000010110100100_00101_00000000000000000000000000000010
+00000000000000010000010110001100_00010_00000000000000000000000000001101
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_00001_00000000000000000000000000010011
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_01110_00000000000000000000000000000000
+00000000000000010000010110100000_00100_00000000000000000000000000000010
+00000000000000010000010110100100_00101_00000000000000000000000000000010
+00000000000000010000010110101100_00111_00000000000000000000000000000000
+00000000000000010000010110110000_00011_00000000000000000000000000100001
+00000000000000010000010110111000_00100_00000000000000000000000000000000
+00000000000000010000010110111100_00010_00000000000000000000000000001101
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_00001_00000000000000000000000000000110
+00000000000000010000010111001100_01110_00000000000000000000000000000001
+00000000000000010000010111010000_00100_00000000000000000000000000000001
+00000000000000010000010111010100_00101_00000000000000000000000000000010
+00000000000000010000010110111100_00010_00000000000000000000000000001101
+00000000000000010000010111000000_00000_00000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_00001_00000000000000000000000000000110
+00000000000000010000010111001100_01110_00000000000000000000000000000001
+00000000000000010000010111010000_00100_00000000000000000000000000000010
+00000000000000010000010111010100_00101_00000000000000000000000000000010
+00000000000000010000010111011100_00111_00000000000000000000000000000001
+00000000000000010000010111100000_00011_00000000000000000000000000100010
+00000000000000010000010111101000_00001_11111111111111111111111111111111
+00000000000000010000010111101100_00010_00000000000000000000000000000001
+00000000000000010000010111110000_00111_00000000000000000000000000000001
+00000000000000010000010111110100_00011_00000000000000000000000000100011
+00000000000000010000010111111100_00001_11111111111111111111111111111111
+00000000000000010000011000000000_00010_00000000000000000000000000000000
+00000000000000010000011000000100_00111_00000000000000000000000000000000
+00000000000000010000011000001000_00011_00000000000000000000000000100100
+00000000000000010000011000010000_00001_00000000000000000000000000000000
+00000000000000010000011000010100_00111_00000000000000000000000000000000
+00000000000000010000011000011000_00011_00000000000000000000000000100101
+00000000000000010000011000100000_00001_00000000000000000000000000010000
+00000000000000010000011000100100_00010_00000000000000000000000000011110
+00000000000000010000011000101000_00000_00000000000000000000000000000001
+00000000000000010000011000101100_00111_00000000000000000000000000000000
+00000000000000010000011000110000_00011_00000000000000000000000000100110
+00000000000000010000011001011100_00011_00000000000000000000000000000001
+00000000000000010000011001100000_10001_00000000000000000000000001011101
+00000000000000010000011001100100_01010_00000000000000000000000000000000
+00000000000000010000011001100100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sltu/dmem.coe b/verilog/dv/test_c0/coe/sltu/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltu/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sltu/imem.coe b/verilog/dv/test_c0/coe/sltu/imem.coe
new file mode 100644
index 0000000..b776e0c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sltu/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+00000113,
+0020B733,
+00000393,
+00200193,
+4A771A63,
+00100093,
+00100113,
+0020B733,
+00000393,
+00300193,
+48771E63,
+00300093,
+00700113,
+0020B733,
+00100393,
+00400193,
+48771263,
+00700093,
+00300113,
+0020B733,
+00000393,
+00500193,
+46771663,
+00000093,
+FFFF8137,
+0020B733,
+00100393,
+00600193,
+44771A63,
+800000B7,
+00000113,
+0020B733,
+00000393,
+00700193,
+42771E63,
+800000B7,
+FFFF8137,
+0020B733,
+00100393,
+00800193,
+42771263,
+00000093,
+00008137,
+FFF10113,
+0020B733,
+00100393,
+00900193,
+40771463,
+800000B7,
+FFF08093,
+00000113,
+0020B733,
+00000393,
+00A00193,
+3E771663,
+800000B7,
+FFF08093,
+00008137,
+FFF10113,
+0020B733,
+00000393,
+00B00193,
+3C771663,
+800000B7,
+00008137,
+FFF10113,
+0020B733,
+00000393,
+00C00193,
+3A771863,
+800000B7,
+FFF08093,
+FFFF8137,
+0020B733,
+00100393,
+00D00193,
+38771A63,
+00000093,
+FFF00113,
+0020B733,
+00100393,
+00E00193,
+36771E63,
+FFF00093,
+00100113,
+0020B733,
+00000393,
+00F00193,
+36771263,
+FFF00093,
+FFF00113,
+0020B733,
+00000393,
+01000193,
+34771663,
+00E00093,
+00D00113,
+0020B0B3,
+00000393,
+01100193,
+32709A63,
+00B00093,
+00D00113,
+0020B133,
+00100393,
+01200193,
+30711E63,
+00D00093,
+0010B0B3,
+00000393,
+01300193,
+30709463,
+00000213,
+00B00093,
+00D00113,
+0020B733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01400193,
+2C731E63,
+00000213,
+00E00093,
+00D00113,
+0020B733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01500193,
+2A731663,
+00000213,
+00C00093,
+00D00113,
+0020B733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+00100393,
+01600193,
+26731C63,
+00000213,
+00E00093,
+00D00113,
+0020B733,
+00120213,
+00200293,
+FE5216E3,
+00000393,
+01700193,
+24771863,
+00000213,
+00B00093,
+00D00113,
+00000013,
+0020B733,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01800193,
+22771263,
+00000213,
+00F00093,
+00D00113,
+00000013,
+00000013,
+0020B733,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01900193,
+1E771A63,
+00000213,
+00A00093,
+00000013,
+00D00113,
+0020B733,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01A00193,
+1C771463,
+00000213,
+01000093,
+00000013,
+00D00113,
+00000013,
+0020B733,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01B00193,
+18771C63,
+00000213,
+00900093,
+00000013,
+00000013,
+00D00113,
+0020B733,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+01C00193,
+16771463,
+00000213,
+00D00113,
+01100093,
+0020B733,
+00120213,
+00200293,
+FE5216E3,
+00000393,
+01D00193,
+14771063,
+00000213,
+00D00113,
+00800093,
+00000013,
+0020B733,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+01E00193,
+10771A63,
+00000213,
+00D00113,
+01200093,
+00000013,
+00000013,
+0020B733,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+01F00193,
+0E771263,
+00000213,
+00D00113,
+00000013,
+00700093,
+0020B733,
+00120213,
+00200293,
+FE5214E3,
+00100393,
+02000193,
+0A771C63,
+00000213,
+00D00113,
+00000013,
+01300093,
+00000013,
+0020B733,
+00120213,
+00200293,
+FE5212E3,
+00000393,
+02100193,
+08771463,
+00000213,
+00D00113,
+00000013,
+00000013,
+00600093,
+0020B733,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+02200193,
+04771C63,
+FFF00093,
+00103133,
+00100393,
+02300193,
+04711263,
+FFF00093,
+0000B133,
+00000393,
+02400193,
+02711863,
+000030B3,
+00000393,
+02500193,
+02709063,
+01000093,
+01E00113,
+0020B033,
+00000393,
+02600193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sra/cekirdek_dy.txt b/verilog/dv/test_c0/coe/sra/cekirdek_dy.txt
new file mode 100644
index 0000000..7b46276
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sra/cekirdek_dy.txt
@@ -0,0 +1,754 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01011000011101110001010001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000000001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010110011101110001100001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010100011101110001110001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010100011101110001000001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010010011101110001001001100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000011101110001001001100011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000000001110110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001110011101110001001001100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001100011101110001001001100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001001001100011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001010001100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000001110110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100111000001110010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001010001100011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000110000001100001110110111_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100000000111000001110010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001010001100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000110000001110110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100111000001110010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001010001100011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01100000011000111000001110010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001010001100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001011001100011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100000000000000000100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000001110110111_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100111000001110010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001011001100011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100000100000000000100010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000110000001100001110110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100000000111000001110010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001011001100011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100011100000000000100010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000110000001110110111_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100111000001110010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001011001100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100111000000000000100010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01100000011000111000001110010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001011001100011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011101110001100001100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101000010110011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100001001110001100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101000100110011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100010001000001100011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000100001101000010110011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100001001011001100011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011100110001000001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001100001100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011100110001111001100011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001101001100011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001010001100011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001110001100011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001011001100011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001111001100011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001011001100011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001001001100011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001110001100011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000000000000110010011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001010001100011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000011001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000011001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010100000000000110010011_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001111001100011_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000011001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000011001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000011001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000011001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011000000000000110010011_00000000000000010000011010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001011001100011_00000000000000010000011010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000011010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000011010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000011010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101011100110011_00000000000000010000011010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000011010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100000000000110010011_00000000000000010000011010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001111001100011_00000000000000010000011010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000011010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000100000101000100110011_00000000000000010000011010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010100000000000000110010011_00000000000000010000011011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001010001100011_00000000000000010000011011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000010010011_00000000000000010000011011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000001101000100110011_00000000000000010000011011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000001110010011_00000000000000010000011011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010100100000000000110010011_00000000000000010000011011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001101001100011_00000000000000010000011011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000101000010110011_00000000000000010000011011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010101000000000000110010011_00000000000000010000011011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001001001100011_00000000000000010000011011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000000000010010011_00000000000000010000011011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001000100110111_00000000000000010000011011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000010000000100010011_00000000000000010000011011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001101000000110011_00000000000000010000011011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010101100000000000110010011_00000000000000010000011100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011100110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011100110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011100111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011100111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011101000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sra/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/sra/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..cc25f20
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sra/cekirdek_ps_bin.txt
@@ -0,0 +1,543 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011000111100
+00000000000000010000011001000000
+00000000000000010000011001000100
+00000000000000010000011001001000
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011000111100
+00000000000000010000011001000000
+00000000000000010000011001000100
+00000000000000010000011001001000
+00000000000000010000011001001100
+00000000000000010000011001010000
+00000000000000010000011001010100
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001101000
+00000000000000010000011001101100
+00000000000000010000011001110000
+00000000000000010000011001110100
+00000000000000010000011001111000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001101000
+00000000000000010000011001101100
+00000000000000010000011001110000
+00000000000000010000011001110100
+00000000000000010000011001111000
+00000000000000010000011001111100
+00000000000000010000011010000000
+00000000000000010000011010000100
+00000000000000010000011010001000
+00000000000000010000011010001100
+00000000000000010000011010010000
+00000000000000010000011010010100
+00000000000000010000011010011000
+00000000000000010000011010011100
+00000000000000010000011010100000
+00000000000000010000011010100100
+00000000000000010000011010101000
+00000000000000010000011010001100
+00000000000000010000011010010000
+00000000000000010000011010010100
+00000000000000010000011010011000
+00000000000000010000011010011100
+00000000000000010000011010100000
+00000000000000010000011010100100
+00000000000000010000011010101000
+00000000000000010000011010101100
+00000000000000010000011010110000
+00000000000000010000011010110100
+00000000000000010000011010111000
+00000000000000010000011010111100
+00000000000000010000011011000000
+00000000000000010000011011000100
+00000000000000010000011011001000
+00000000000000010000011011001100
+00000000000000010000011011010000
+00000000000000010000011011010100
+00000000000000010000011011011000
+00000000000000010000011011011100
+00000000000000010000011011100000
+00000000000000010000011011100100
+00000000000000010000011011101000
+00000000000000010000011011101100
+00000000000000010000011011110000
+00000000000000010000011011110100
+00000000000000010000011011111000
+00000000000000010000011011111100
+00000000000000010000011100000000
+00000000000000010000011100000100
+00000000000000010000011100001000
+00000000000000010000011100001100
+00000000000000010000011100101100
+00000000000000010000011100110000
+00000000000000010000011100110100
+00000000000000010000011100111000
+00000000000000010000011100111000
diff --git a/verilog/dv/test_c0/coe/sra/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/sra/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..96e4be6
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sra/cekirdek_ps_hex.txt
@@ -0,0 +1,543 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+0001063c
+00010640
+00010644
+00010648
+00010630
+00010634
+00010638
+0001063c
+00010640
+00010644
+00010648
+0001064c
+00010650
+00010654
+00010658
+0001065c
+00010660
+00010664
+00010668
+0001066c
+00010670
+00010674
+00010678
+0001065c
+00010660
+00010664
+00010668
+0001066c
+00010670
+00010674
+00010678
+0001067c
+00010680
+00010684
+00010688
+0001068c
+00010690
+00010694
+00010698
+0001069c
+000106a0
+000106a4
+000106a8
+0001068c
+00010690
+00010694
+00010698
+0001069c
+000106a0
+000106a4
+000106a8
+000106ac
+000106b0
+000106b4
+000106b8
+000106bc
+000106c0
+000106c4
+000106c8
+000106cc
+000106d0
+000106d4
+000106d8
+000106dc
+000106e0
+000106e4
+000106e8
+000106ec
+000106f0
+000106f4
+000106f8
+000106fc
+00010700
+00010704
+00010708
+0001070c
+0001072c
+00010730
+00010734
+00010738
+00010738
diff --git a/verilog/dv/test_c0/coe/sra/cekirdek_yo.txt b/verilog/dv/test_c0/coe/sra/cekirdek_yo.txt
new file mode 100644
index 0000000..a05222b
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sra/cekirdek_yo.txt
@@ -0,0 +1,451 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_10000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_10000000000000000000000000000000
+00000000000000010000000110000000_00111_10000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_10000000000000000000000000000000
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_11000000000000000000000000000000
+00000000000000010000000110011000_00111_11000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_10000000000000000000000000000000
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_11111111000000000000000000000000
+00000000000000010000000110110000_00111_11111111000000000000000000000000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_10000000000000000000000000000000
+00000000000000010000000111000000_00010_00000000000000000000000000001110
+00000000000000010000000111000100_01110_11111111111111100000000000000000
+00000000000000010000000111001000_00111_11111111111111100000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00001_10000000000000000000000000000001
+00000000000000010000000111011100_00010_00000000000000000000000000011111
+00000000000000010000000111100000_01110_11111111111111111111111111111111
+00000000000000010000000111100100_00111_11111111111111111111111111111111
+00000000000000010000000111101000_00011_00000000000000000000000000000110
+00000000000000010000000111110000_00001_10000000000000000000000000000000
+00000000000000010000000111110100_00001_01111111111111111111111111111111
+00000000000000010000000111111000_00010_00000000000000000000000000000000
+00000000000000010000000111111100_01110_01111111111111111111111111111111
+00000000000000010000001000000000_00111_10000000000000000000000000000000
+00000000000000010000001000000100_00111_01111111111111111111111111111111
+00000000000000010000001000001000_00011_00000000000000000000000000000111
+00000000000000010000001000010000_00001_10000000000000000000000000000000
+00000000000000010000001000010100_00001_01111111111111111111111111111111
+00000000000000010000001000011000_00010_00000000000000000000000000000001
+00000000000000010000001000011100_01110_00111111111111111111111111111111
+00000000000000010000001000100000_00111_01000000000000000000000000000000
+00000000000000010000001000100100_00111_00111111111111111111111111111111
+00000000000000010000001000101000_00011_00000000000000000000000000001000
+00000000000000010000001000110000_00001_10000000000000000000000000000000
+00000000000000010000001000110100_00001_01111111111111111111111111111111
+00000000000000010000001000111000_00010_00000000000000000000000000000111
+00000000000000010000001000111100_01110_00000000111111111111111111111111
+00000000000000010000001001000000_00111_00000001000000000000000000000000
+00000000000000010000001001000100_00111_00000000111111111111111111111111
+00000000000000010000001001001000_00011_00000000000000000000000000001001
+00000000000000010000001001010000_00001_10000000000000000000000000000000
+00000000000000010000001001010100_00001_01111111111111111111111111111111
+00000000000000010000001001011000_00010_00000000000000000000000000001110
+00000000000000010000001001011100_01110_00000000000000011111111111111111
+00000000000000010000001001100000_00111_00000000000000100000000000000000
+00000000000000010000001001100100_00111_00000000000000011111111111111111
+00000000000000010000001001101000_00011_00000000000000000000000000001010
+00000000000000010000001001110000_00001_10000000000000000000000000000000
+00000000000000010000001001110100_00001_01111111111111111111111111111111
+00000000000000010000001001111000_00010_00000000000000000000000000011111
+00000000000000010000001001111100_01110_00000000000000000000000000000000
+00000000000000010000001010000000_00111_00000000000000000000000000000000
+00000000000000010000001010000100_00011_00000000000000000000000000001011
+00000000000000010000001010001100_00001_10000001100000011000000000000000
+00000000000000010000001010010000_00001_10000001100000011000000110000001
+00000000000000010000001010010100_00010_00000000000000000000000000000000
+00000000000000010000001010011000_01110_10000001100000011000000110000001
+00000000000000010000001010011100_00111_10000001100000011000000000000000
+00000000000000010000001010100000_00111_10000001100000011000000110000001
+00000000000000010000001010100100_00011_00000000000000000000000000001100
+00000000000000010000001010101100_00001_10000001100000011000000000000000
+00000000000000010000001010110000_00001_10000001100000011000000110000001
+00000000000000010000001010110100_00010_00000000000000000000000000000001
+00000000000000010000001010111000_01110_11000000110000001100000011000000
+00000000000000010000001010111100_00111_11000000110000001100000000000000
+00000000000000010000001011000000_00111_11000000110000001100000011000000
+00000000000000010000001011000100_00011_00000000000000000000000000001101
+00000000000000010000001011001100_00001_10000001100000011000000000000000
+00000000000000010000001011010000_00001_10000001100000011000000110000001
+00000000000000010000001011010100_00010_00000000000000000000000000000111
+00000000000000010000001011011000_01110_11111111000000110000001100000011
+00000000000000010000001011011100_00111_11111111000000110000000000000000
+00000000000000010000001011100000_00111_11111111000000110000001100000011
+00000000000000010000001011100100_00011_00000000000000000000000000001110
+00000000000000010000001011101100_00001_10000001100000011000000000000000
+00000000000000010000001011110000_00001_10000001100000011000000110000001
+00000000000000010000001011110100_00010_00000000000000000000000000001110
+00000000000000010000001011111000_01110_11111111111111100000011000000110
+00000000000000010000001011111100_00111_11111111111111100000000000000000
+00000000000000010000001100000000_00111_11111111111111100000011000000110
+00000000000000010000001100000100_00011_00000000000000000000000000001111
+00000000000000010000001100001100_00001_10000001100000011000000000000000
+00000000000000010000001100010000_00001_10000001100000011000000110000001
+00000000000000010000001100010100_00010_00000000000000000000000000011111
+00000000000000010000001100011000_01110_11111111111111111111111111111111
+00000000000000010000001100011100_00111_11111111111111111111111111111111
+00000000000000010000001100100000_00011_00000000000000000000000000010000
+00000000000000010000001100101000_00001_10000001100000011000000000000000
+00000000000000010000001100101100_00001_10000001100000011000000110000001
+00000000000000010000001100110000_00010_11111111111111111111111111000000
+00000000000000010000001100110100_01110_10000001100000011000000110000001
+00000000000000010000001100111000_00111_10000001100000011000000000000000
+00000000000000010000001100111100_00111_10000001100000011000000110000001
+00000000000000010000001101000000_00011_00000000000000000000000000010001
+00000000000000010000001101001000_00001_10000001100000011000000000000000
+00000000000000010000001101001100_00001_10000001100000011000000110000001
+00000000000000010000001101010000_00010_11111111111111111111111111000001
+00000000000000010000001101010100_01110_11000000110000001100000011000000
+00000000000000010000001101011000_00111_11000000110000001100000000000000
+00000000000000010000001101011100_00111_11000000110000001100000011000000
+00000000000000010000001101100000_00011_00000000000000000000000000010010
+00000000000000010000001101101000_00001_10000001100000011000000000000000
+00000000000000010000001101101100_00001_10000001100000011000000110000001
+00000000000000010000001101110000_00010_11111111111111111111111111000111
+00000000000000010000001101110100_01110_11111111000000110000001100000011
+00000000000000010000001101111000_00111_11111111000000110000000000000000
+00000000000000010000001101111100_00111_11111111000000110000001100000011
+00000000000000010000001110000000_00011_00000000000000000000000000010011
+00000000000000010000001110001000_00001_10000001100000011000000000000000
+00000000000000010000001110001100_00001_10000001100000011000000110000001
+00000000000000010000001110010000_00010_11111111111111111111111111001110
+00000000000000010000001110010100_01110_11111111111111100000011000000110
+00000000000000010000001110011000_00111_11111111111111100000000000000000
+00000000000000010000001110011100_00111_11111111111111100000011000000110
+00000000000000010000001110100000_00011_00000000000000000000000000010100
+00000000000000010000001110101000_00001_10000001100000011000000000000000
+00000000000000010000001110101100_00001_10000001100000011000000110000001
+00000000000000010000001110110000_00010_11111111111111111111111111111111
+00000000000000010000001110110100_01110_11111111111111111111111111111111
+00000000000000010000001110111000_00111_11111111111111111111111111111111
+00000000000000010000001110111100_00011_00000000000000000000000000010101
+00000000000000010000001111000100_00001_10000000000000000000000000000000
+00000000000000010000001111001000_00010_00000000000000000000000000000111
+00000000000000010000001111001100_00001_11111111000000000000000000000000
+00000000000000010000001111010000_00111_11111111000000000000000000000000
+00000000000000010000001111010100_00011_00000000000000000000000000010110
+00000000000000010000001111011100_00001_10000000000000000000000000000000
+00000000000000010000001111100000_00010_00000000000000000000000000001110
+00000000000000010000001111100100_00010_11111111111111100000000000000000
+00000000000000010000001111101000_00111_11111111111111100000000000000000
+00000000000000010000001111101100_00011_00000000000000000000000000010111
+00000000000000010000001111110100_00001_00000000000000000000000000000111
+00000000000000010000001111111000_00001_00000000000000000000000000000000
+00000000000000010000001111111100_00111_00000000000000000000000000000000
+00000000000000010000010000000000_00011_00000000000000000000000000011000
+00000000000000010000010000001000_00100_00000000000000000000000000000000
+00000000000000010000010000001100_00001_10000000000000000000000000000000
+00000000000000010000010000010000_00010_00000000000000000000000000000111
+00000000000000010000010000010100_01110_11111111000000000000000000000000
+00000000000000010000010000011000_00110_11111111000000000000000000000000
+00000000000000010000010000011100_00100_00000000000000000000000000000001
+00000000000000010000010000100000_00101_00000000000000000000000000000010
+00000000000000010000010000001100_00001_10000000000000000000000000000000
+00000000000000010000010000010000_00010_00000000000000000000000000000111
+00000000000000010000010000010100_01110_11111111000000000000000000000000
+00000000000000010000010000011000_00110_11111111000000000000000000000000
+00000000000000010000010000011100_00100_00000000000000000000000000000010
+00000000000000010000010000100000_00101_00000000000000000000000000000010
+00000000000000010000010000101000_00111_11111111000000000000000000000000
+00000000000000010000010000101100_00011_00000000000000000000000000011001
+00000000000000010000010000110100_00100_00000000000000000000000000000000
+00000000000000010000010000111000_00001_10000000000000000000000000000000
+00000000000000010000010000111100_00010_00000000000000000000000000001110
+00000000000000010000010001000000_01110_11111111111111100000000000000000
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00110_11111111111111100000000000000000
+00000000000000010000010001001100_00100_00000000000000000000000000000001
+00000000000000010000010001010000_00101_00000000000000000000000000000010
+00000000000000010000010000111000_00001_10000000000000000000000000000000
+00000000000000010000010000111100_00010_00000000000000000000000000001110
+00000000000000010000010001000000_01110_11111111111111100000000000000000
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00110_11111111111111100000000000000000
+00000000000000010000010001001100_00100_00000000000000000000000000000010
+00000000000000010000010001010000_00101_00000000000000000000000000000010
+00000000000000010000010001011000_00111_11111111111111100000000000000000
+00000000000000010000010001011100_00011_00000000000000000000000000011010
+00000000000000010000010001100100_00100_00000000000000000000000000000000
+00000000000000010000010001101000_00001_10000000000000000000000000000000
+00000000000000010000010001101100_00010_00000000000000000000000000011111
+00000000000000010000010001110000_01110_11111111111111111111111111111111
+00000000000000010000010001110100_00000_00000000000000000000000000000000
+00000000000000010000010001111000_00000_00000000000000000000000000000000
+00000000000000010000010001111100_00110_11111111111111111111111111111111
+00000000000000010000010010000000_00100_00000000000000000000000000000001
+00000000000000010000010010000100_00101_00000000000000000000000000000010
+00000000000000010000010001101000_00001_10000000000000000000000000000000
+00000000000000010000010001101100_00010_00000000000000000000000000011111
+00000000000000010000010001110000_01110_11111111111111111111111111111111
+00000000000000010000010001110100_00000_00000000000000000000000000000000
+00000000000000010000010001111000_00000_00000000000000000000000000000000
+00000000000000010000010001111100_00110_11111111111111111111111111111111
+00000000000000010000010010000000_00100_00000000000000000000000000000010
+00000000000000010000010010000100_00101_00000000000000000000000000000010
+00000000000000010000010010001100_00111_11111111111111111111111111111111
+00000000000000010000010010010000_00011_00000000000000000000000000011011
+00000000000000010000010010011000_00100_00000000000000000000000000000000
+00000000000000010000010010011100_00001_10000000000000000000000000000000
+00000000000000010000010010100000_00010_00000000000000000000000000000111
+00000000000000010000010010100100_01110_11111111000000000000000000000000
+00000000000000010000010010101000_00100_00000000000000000000000000000001
+00000000000000010000010010101100_00101_00000000000000000000000000000010
+00000000000000010000010010011100_00001_10000000000000000000000000000000
+00000000000000010000010010100000_00010_00000000000000000000000000000111
+00000000000000010000010010100100_01110_11111111000000000000000000000000
+00000000000000010000010010101000_00100_00000000000000000000000000000010
+00000000000000010000010010101100_00101_00000000000000000000000000000010
+00000000000000010000010010110100_00111_11111111000000000000000000000000
+00000000000000010000010010111000_00011_00000000000000000000000000011100
+00000000000000010000010011000000_00100_00000000000000000000000000000000
+00000000000000010000010011000100_00001_10000000000000000000000000000000
+00000000000000010000010011001000_00010_00000000000000000000000000001110
+00000000000000010000010011001100_00000_00000000000000000000000000000000
+00000000000000010000010011010000_01110_11111111111111100000000000000000
+00000000000000010000010011010100_00100_00000000000000000000000000000001
+00000000000000010000010011011000_00101_00000000000000000000000000000010
+00000000000000010000010011000100_00001_10000000000000000000000000000000
+00000000000000010000010011001000_00010_00000000000000000000000000001110
+00000000000000010000010011001100_00000_00000000000000000000000000000000
+00000000000000010000010011010000_01110_11111111111111100000000000000000
+00000000000000010000010011010100_00100_00000000000000000000000000000010
+00000000000000010000010011011000_00101_00000000000000000000000000000010
+00000000000000010000010011100000_00111_11111111111111100000000000000000
+00000000000000010000010011100100_00011_00000000000000000000000000011101
+00000000000000010000010011101100_00100_00000000000000000000000000000000
+00000000000000010000010011110000_00001_10000000000000000000000000000000
+00000000000000010000010011110100_00010_00000000000000000000000000011111
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_00000_00000000000000000000000000000000
+00000000000000010000010100000000_01110_11111111111111111111111111111111
+00000000000000010000010100000100_00100_00000000000000000000000000000001
+00000000000000010000010100001000_00101_00000000000000000000000000000010
+00000000000000010000010011110000_00001_10000000000000000000000000000000
+00000000000000010000010011110100_00010_00000000000000000000000000011111
+00000000000000010000010011111000_00000_00000000000000000000000000000000
+00000000000000010000010011111100_00000_00000000000000000000000000000000
+00000000000000010000010100000000_01110_11111111111111111111111111111111
+00000000000000010000010100000100_00100_00000000000000000000000000000010
+00000000000000010000010100001000_00101_00000000000000000000000000000010
+00000000000000010000010100010000_00111_11111111111111111111111111111111
+00000000000000010000010100010100_00011_00000000000000000000000000011110
+00000000000000010000010100011100_00100_00000000000000000000000000000000
+00000000000000010000010100100000_00001_10000000000000000000000000000000
+00000000000000010000010100100100_00000_00000000000000000000000000000000
+00000000000000010000010100101000_00010_00000000000000000000000000000111
+00000000000000010000010100101100_01110_11111111000000000000000000000000
+00000000000000010000010100110000_00100_00000000000000000000000000000001
+00000000000000010000010100110100_00101_00000000000000000000000000000010
+00000000000000010000010100100000_00001_10000000000000000000000000000000
+00000000000000010000010100100100_00000_00000000000000000000000000000000
+00000000000000010000010100101000_00010_00000000000000000000000000000111
+00000000000000010000010100101100_01110_11111111000000000000000000000000
+00000000000000010000010100110000_00100_00000000000000000000000000000010
+00000000000000010000010100110100_00101_00000000000000000000000000000010
+00000000000000010000010100111100_00111_11111111000000000000000000000000
+00000000000000010000010101000000_00011_00000000000000000000000000011111
+00000000000000010000010101001000_00100_00000000000000000000000000000000
+00000000000000010000010101001100_00001_10000000000000000000000000000000
+00000000000000010000010101010000_00000_00000000000000000000000000000000
+00000000000000010000010101010100_00010_00000000000000000000000000001110
+00000000000000010000010101011000_00000_00000000000000000000000000000000
+00000000000000010000010101011100_01110_11111111111111100000000000000000
+00000000000000010000010101100000_00100_00000000000000000000000000000001
+00000000000000010000010101100100_00101_00000000000000000000000000000010
+00000000000000010000010101001100_00001_10000000000000000000000000000000
+00000000000000010000010101010000_00000_00000000000000000000000000000000
+00000000000000010000010101010100_00010_00000000000000000000000000001110
+00000000000000010000010101011000_00000_00000000000000000000000000000000
+00000000000000010000010101011100_01110_11111111111111100000000000000000
+00000000000000010000010101100000_00100_00000000000000000000000000000010
+00000000000000010000010101100100_00101_00000000000000000000000000000010
+00000000000000010000010101101100_00111_11111111111111100000000000000000
+00000000000000010000010101110000_00011_00000000000000000000000000100000
+00000000000000010000010101111000_00100_00000000000000000000000000000000
+00000000000000010000010101111100_00001_10000000000000000000000000000000
+00000000000000010000010110000000_00000_00000000000000000000000000000000
+00000000000000010000010110000100_00000_00000000000000000000000000000000
+00000000000000010000010110001000_00010_00000000000000000000000000011111
+00000000000000010000010110001100_01110_11111111111111111111111111111111
+00000000000000010000010110010000_00100_00000000000000000000000000000001
+00000000000000010000010110010100_00101_00000000000000000000000000000010
+00000000000000010000010101111100_00001_10000000000000000000000000000000
+00000000000000010000010110000000_00000_00000000000000000000000000000000
+00000000000000010000010110000100_00000_00000000000000000000000000000000
+00000000000000010000010110001000_00010_00000000000000000000000000011111
+00000000000000010000010110001100_01110_11111111111111111111111111111111
+00000000000000010000010110010000_00100_00000000000000000000000000000010
+00000000000000010000010110010100_00101_00000000000000000000000000000010
+00000000000000010000010110011100_00111_11111111111111111111111111111111
+00000000000000010000010110100000_00011_00000000000000000000000000100001
+00000000000000010000010110101000_00100_00000000000000000000000000000000
+00000000000000010000010110101100_00010_00000000000000000000000000000111
+00000000000000010000010110110000_00001_10000000000000000000000000000000
+00000000000000010000010110110100_01110_11111111000000000000000000000000
+00000000000000010000010110111000_00100_00000000000000000000000000000001
+00000000000000010000010110111100_00101_00000000000000000000000000000010
+00000000000000010000010110101100_00010_00000000000000000000000000000111
+00000000000000010000010110110000_00001_10000000000000000000000000000000
+00000000000000010000010110110100_01110_11111111000000000000000000000000
+00000000000000010000010110111000_00100_00000000000000000000000000000010
+00000000000000010000010110111100_00101_00000000000000000000000000000010
+00000000000000010000010111000100_00111_11111111000000000000000000000000
+00000000000000010000010111001000_00011_00000000000000000000000000100010
+00000000000000010000010111010000_00100_00000000000000000000000000000000
+00000000000000010000010111010100_00010_00000000000000000000000000001110
+00000000000000010000010111011000_00001_10000000000000000000000000000000
+00000000000000010000010111011100_00000_00000000000000000000000000000000
+00000000000000010000010111100000_01110_11111111111111100000000000000000
+00000000000000010000010111100100_00100_00000000000000000000000000000001
+00000000000000010000010111101000_00101_00000000000000000000000000000010
+00000000000000010000010111010100_00010_00000000000000000000000000001110
+00000000000000010000010111011000_00001_10000000000000000000000000000000
+00000000000000010000010111011100_00000_00000000000000000000000000000000
+00000000000000010000010111100000_01110_11111111111111100000000000000000
+00000000000000010000010111100100_00100_00000000000000000000000000000010
+00000000000000010000010111101000_00101_00000000000000000000000000000010
+00000000000000010000010111110000_00111_11111111111111100000000000000000
+00000000000000010000010111110100_00011_00000000000000000000000000100011
+00000000000000010000010111111100_00100_00000000000000000000000000000000
+00000000000000010000011000000000_00010_00000000000000000000000000011111
+00000000000000010000011000000100_00001_10000000000000000000000000000000
+00000000000000010000011000001000_00000_00000000000000000000000000000000
+00000000000000010000011000001100_00000_00000000000000000000000000000000
+00000000000000010000011000010000_01110_11111111111111111111111111111111
+00000000000000010000011000010100_00100_00000000000000000000000000000001
+00000000000000010000011000011000_00101_00000000000000000000000000000010
+00000000000000010000011000000000_00010_00000000000000000000000000011111
+00000000000000010000011000000100_00001_10000000000000000000000000000000
+00000000000000010000011000001000_00000_00000000000000000000000000000000
+00000000000000010000011000001100_00000_00000000000000000000000000000000
+00000000000000010000011000010000_01110_11111111111111111111111111111111
+00000000000000010000011000010100_00100_00000000000000000000000000000010
+00000000000000010000011000011000_00101_00000000000000000000000000000010
+00000000000000010000011000100000_00111_11111111111111111111111111111111
+00000000000000010000011000100100_00011_00000000000000000000000000100100
+00000000000000010000011000101100_00100_00000000000000000000000000000000
+00000000000000010000011000110000_00010_00000000000000000000000000000111
+00000000000000010000011000110100_00000_00000000000000000000000000000000
+00000000000000010000011000111000_00001_10000000000000000000000000000000
+00000000000000010000011000111100_01110_11111111000000000000000000000000
+00000000000000010000011001000000_00100_00000000000000000000000000000001
+00000000000000010000011001000100_00101_00000000000000000000000000000010
+00000000000000010000011000110000_00010_00000000000000000000000000000111
+00000000000000010000011000110100_00000_00000000000000000000000000000000
+00000000000000010000011000111000_00001_10000000000000000000000000000000
+00000000000000010000011000111100_01110_11111111000000000000000000000000
+00000000000000010000011001000000_00100_00000000000000000000000000000010
+00000000000000010000011001000100_00101_00000000000000000000000000000010
+00000000000000010000011001001100_00111_11111111000000000000000000000000
+00000000000000010000011001010000_00011_00000000000000000000000000100101
+00000000000000010000011001011000_00100_00000000000000000000000000000000
+00000000000000010000011001011100_00010_00000000000000000000000000001110
+00000000000000010000011001100000_00000_00000000000000000000000000000000
+00000000000000010000011001100100_00001_10000000000000000000000000000000
+00000000000000010000011001101000_00000_00000000000000000000000000000000
+00000000000000010000011001101100_01110_11111111111111100000000000000000
+00000000000000010000011001110000_00100_00000000000000000000000000000001
+00000000000000010000011001110100_00101_00000000000000000000000000000010
+00000000000000010000011001011100_00010_00000000000000000000000000001110
+00000000000000010000011001100000_00000_00000000000000000000000000000000
+00000000000000010000011001100100_00001_10000000000000000000000000000000
+00000000000000010000011001101000_00000_00000000000000000000000000000000
+00000000000000010000011001101100_01110_11111111111111100000000000000000
+00000000000000010000011001110000_00100_00000000000000000000000000000010
+00000000000000010000011001110100_00101_00000000000000000000000000000010
+00000000000000010000011001111100_00111_11111111111111100000000000000000
+00000000000000010000011010000000_00011_00000000000000000000000000100110
+00000000000000010000011010001000_00100_00000000000000000000000000000000
+00000000000000010000011010001100_00010_00000000000000000000000000011111
+00000000000000010000011010010000_00000_00000000000000000000000000000000
+00000000000000010000011010010100_00000_00000000000000000000000000000000
+00000000000000010000011010011000_00001_10000000000000000000000000000000
+00000000000000010000011010011100_01110_11111111111111111111111111111111
+00000000000000010000011010100000_00100_00000000000000000000000000000001
+00000000000000010000011010100100_00101_00000000000000000000000000000010
+00000000000000010000011010001100_00010_00000000000000000000000000011111
+00000000000000010000011010010000_00000_00000000000000000000000000000000
+00000000000000010000011010010100_00000_00000000000000000000000000000000
+00000000000000010000011010011000_00001_10000000000000000000000000000000
+00000000000000010000011010011100_01110_11111111111111111111111111111111
+00000000000000010000011010100000_00100_00000000000000000000000000000010
+00000000000000010000011010100100_00101_00000000000000000000000000000010
+00000000000000010000011010101100_00111_11111111111111111111111111111111
+00000000000000010000011010110000_00011_00000000000000000000000000100111
+00000000000000010000011010111000_00001_00000000000000000000000000001111
+00000000000000010000011010111100_00010_00000000000000000000000000000000
+00000000000000010000011011000000_00111_00000000000000000000000000000000
+00000000000000010000011011000100_00011_00000000000000000000000000101000
+00000000000000010000011011001100_00001_00000000000000000000000000100000
+00000000000000010000011011010000_00010_00000000000000000000000000100000
+00000000000000010000011011010100_00111_00000000000000000000000000100000
+00000000000000010000011011011000_00011_00000000000000000000000000101001
+00000000000000010000011011100000_00001_00000000000000000000000000000000
+00000000000000010000011011100100_00111_00000000000000000000000000000000
+00000000000000010000011011101000_00011_00000000000000000000000000101010
+00000000000000010000011011110000_00001_00000000000000000000010000000000
+00000000000000010000011011110100_00010_00000000000000000001000000000000
+00000000000000010000011011111000_00010_00000000000000000000100000000000
+00000000000000010000011011111100_00000_00000000000000000000010000000000
+00000000000000010000011100000000_00111_00000000000000000000000000000000
+00000000000000010000011100000100_00011_00000000000000000000000000101011
+00000000000000010000011100110000_00011_00000000000000000000000000000001
+00000000000000010000011100110100_10001_00000000000000000000000001011101
+00000000000000010000011100111000_01010_00000000000000000000000000000000
+00000000000000010000011100111000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sra/dmem.coe b/verilog/dv/test_c0/coe/sra/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sra/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sra/imem.coe b/verilog/dv/test_c0/coe/sra/imem.coe
new file mode 100644
index 0000000..9221f02
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sra/imem.coe
@@ -0,0 +1,481 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+800000B7,
+00000113,
+4020D733,
+800003B7,
+00200193,
+58771463,
+800000B7,
+00100113,
+4020D733,
+C00003B7,
+00300193,
+56771863,
+800000B7,
+00700113,
+4020D733,
+FF0003B7,
+00400193,
+54771C63,
+800000B7,
+00E00113,
+4020D733,
+FFFE03B7,
+00500193,
+54771063,
+800000B7,
+00108093,
+01F00113,
+4020D733,
+FFF00393,
+00600193,
+52771263,
+800000B7,
+FFF08093,
+00000113,
+4020D733,
+800003B7,
+FFF38393,
+00700193,
+50771263,
+800000B7,
+FFF08093,
+00100113,
+4020D733,
+400003B7,
+FFF38393,
+00800193,
+4E771263,
+800000B7,
+FFF08093,
+00700113,
+4020D733,
+010003B7,
+FFF38393,
+00900193,
+4C771263,
+800000B7,
+FFF08093,
+00E00113,
+4020D733,
+000203B7,
+FFF38393,
+00A00193,
+4A771263,
+800000B7,
+FFF08093,
+01F00113,
+4020D733,
+00000393,
+00B00193,
+48771463,
+818180B7,
+18108093,
+00000113,
+4020D733,
+818183B7,
+18138393,
+00C00193,
+46771463,
+818180B7,
+18108093,
+00100113,
+4020D733,
+C0C0C3B7,
+0C038393,
+00D00193,
+44771463,
+818180B7,
+18108093,
+00700113,
+4020D733,
+FF0303B7,
+30338393,
+00E00193,
+42771463,
+818180B7,
+18108093,
+00E00113,
+4020D733,
+FFFE03B7,
+60638393,
+00F00193,
+40771463,
+818180B7,
+18108093,
+01F00113,
+4020D733,
+FFF00393,
+01000193,
+3E771663,
+818180B7,
+18108093,
+FC000113,
+4020D733,
+818183B7,
+18138393,
+01100193,
+3C771663,
+818180B7,
+18108093,
+FC100113,
+4020D733,
+C0C0C3B7,
+0C038393,
+01200193,
+3A771663,
+818180B7,
+18108093,
+FC700113,
+4020D733,
+FF0303B7,
+30338393,
+01300193,
+38771663,
+818180B7,
+18108093,
+FCE00113,
+4020D733,
+FFFE03B7,
+60638393,
+01400193,
+36771663,
+818180B7,
+18108093,
+FFF00113,
+4020D733,
+FFF00393,
+01500193,
+34771863,
+800000B7,
+00700113,
+4020D0B3,
+FF0003B7,
+01600193,
+32709C63,
+800000B7,
+00E00113,
+4020D133,
+FFFE03B7,
+01700193,
+32711063,
+00700093,
+4010D0B3,
+00000393,
+01800193,
+30709663,
+00000213,
+800000B7,
+00700113,
+4020D733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+FF0003B7,
+01900193,
+2E731063,
+00000213,
+800000B7,
+00E00113,
+4020D733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+FFFE03B7,
+01A00193,
+2A731863,
+00000213,
+800000B7,
+01F00113,
+4020D733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+FFF00393,
+01B00193,
+26731E63,
+00000213,
+800000B7,
+00700113,
+4020D733,
+00120213,
+00200293,
+FE5216E3,
+FF0003B7,
+01C00193,
+24771A63,
+00000213,
+800000B7,
+00E00113,
+00000013,
+4020D733,
+00120213,
+00200293,
+FE5214E3,
+FFFE03B7,
+01D00193,
+22771463,
+00000213,
+800000B7,
+01F00113,
+00000013,
+00000013,
+4020D733,
+00120213,
+00200293,
+FE5212E3,
+FFF00393,
+01E00193,
+1E771C63,
+00000213,
+800000B7,
+00000013,
+00700113,
+4020D733,
+00120213,
+00200293,
+FE5214E3,
+FF0003B7,
+01F00193,
+1C771663,
+00000213,
+800000B7,
+00000013,
+00E00113,
+00000013,
+4020D733,
+00120213,
+00200293,
+FE5212E3,
+FFFE03B7,
+02000193,
+18771E63,
+00000213,
+800000B7,
+00000013,
+00000013,
+01F00113,
+4020D733,
+00120213,
+00200293,
+FE5212E3,
+FFF00393,
+02100193,
+16771663,
+00000213,
+00700113,
+800000B7,
+4020D733,
+00120213,
+00200293,
+FE5216E3,
+FF0003B7,
+02200193,
+14771263,
+00000213,
+00E00113,
+800000B7,
+00000013,
+4020D733,
+00120213,
+00200293,
+FE5214E3,
+FFFE03B7,
+02300193,
+10771C63,
+00000213,
+01F00113,
+800000B7,
+00000013,
+00000013,
+4020D733,
+00120213,
+00200293,
+FE5212E3,
+FFF00393,
+02400193,
+0E771463,
+00000213,
+00700113,
+00000013,
+800000B7,
+4020D733,
+00120213,
+00200293,
+FE5214E3,
+FF0003B7,
+02500193,
+0A771E63,
+00000213,
+00E00113,
+00000013,
+800000B7,
+00000013,
+4020D733,
+00120213,
+00200293,
+FE5212E3,
+FFFE03B7,
+02600193,
+08771663,
+00000213,
+01F00113,
+00000013,
+00000013,
+800000B7,
+4020D733,
+00120213,
+00200293,
+FE5212E3,
+FFF00393,
+02700193,
+04771E63,
+00F00093,
+40105133,
+00000393,
+02800193,
+04711463,
+02000093,
+4000D133,
+02000393,
+02900193,
+02711A63,
+400050B3,
+00000393,
+02A00193,
+02709263,
+40000093,
+00001137,
+80010113,
+4020D033,
+00000393,
+02B00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/srai/cekirdek_dy.txt b/verilog/dv/test_c0/coe/srai/cekirdek_dy.txt
new file mode 100644
index 0000000..47bd0d5
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srai/cekirdek_dy.txt
@@ -0,0 +1,444 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000001101011100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011101110001010001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000100001101011100010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000000001110110111_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000011101110001101001100011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001101011100010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000011101110001000001100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000111000001101011100010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001011001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001111100001101011100010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001101001100011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000001101011100010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001110001100011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000100001101011100010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000000001110110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001111001100011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001101011100010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001000001100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000111000001101011100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001001001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001111100001101011100010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001011001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000001101011100010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000001110110111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100111000001110010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001100001100011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000100001101011100010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000110000001100001110110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100000000111000001110010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001101001100011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001101011100010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000110000001110110111_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100111000001110010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001110001100011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000111000001101011100010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01100000011000111000001110010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001111001100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000001100000011000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000100001000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001111100001101011100010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001001001100011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001101000010010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011100001001100001100011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001101011100010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001101011100010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011100110001010001100011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000111000001101011100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000111000001101011100010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011100110001111001100011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001111100001101011100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001111100001101011100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011100110001010001100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001101011100010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001101011100010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000000000001110110111_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001001001100011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000111000001101011100010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000111000001101011100010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111100000001110110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001111001100011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001111100001101011100010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000001111100001101011100010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001011001100011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000010000000101000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001111001100011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000010010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000101000001101000000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010001001100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010001010000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010001010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/srai/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/srai/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..da3625d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srai/cekirdek_ps_bin.txt
@@ -0,0 +1,287 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001010100
diff --git a/verilog/dv/test_c0/coe/srai/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/srai/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..daa2b43
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srai/cekirdek_ps_hex.txt
@@ -0,0 +1,287 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+00010448
+0001044c
+00010450
+00010454
+00010454
diff --git a/verilog/dv/test_c0/coe/srai/cekirdek_yo.txt b/verilog/dv/test_c0/coe/srai/cekirdek_yo.txt
new file mode 100644
index 0000000..6e3a4ef
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srai/cekirdek_yo.txt
@@ -0,0 +1,231 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_01110_00000000000000000000000000000000
+00000000000000010000000101111100_00111_00000000000000000000000000000000
+00000000000000010000000110000000_00011_00000000000000000000000000000010
+00000000000000010000000110001000_00001_10000000000000000000000000000000
+00000000000000010000000110001100_01110_11000000000000000000000000000000
+00000000000000010000000110010000_00111_11000000000000000000000000000000
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011100_00001_10000000000000000000000000000000
+00000000000000010000000110100000_01110_11111111000000000000000000000000
+00000000000000010000000110100100_00111_11111111000000000000000000000000
+00000000000000010000000110101000_00011_00000000000000000000000000000100
+00000000000000010000000110110000_00001_10000000000000000000000000000000
+00000000000000010000000110110100_01110_11111111111111100000000000000000
+00000000000000010000000110111000_00111_11111111111111100000000000000000
+00000000000000010000000110111100_00011_00000000000000000000000000000101
+00000000000000010000000111000100_00001_10000000000000000000000000000000
+00000000000000010000000111001000_00001_10000000000000000000000000000001
+00000000000000010000000111001100_01110_11111111111111111111111111111111
+00000000000000010000000111010000_00111_11111111111111111111111111111111
+00000000000000010000000111010100_00011_00000000000000000000000000000110
+00000000000000010000000111011100_00001_10000000000000000000000000000000
+00000000000000010000000111100000_00001_01111111111111111111111111111111
+00000000000000010000000111100100_01110_01111111111111111111111111111111
+00000000000000010000000111101000_00111_10000000000000000000000000000000
+00000000000000010000000111101100_00111_01111111111111111111111111111111
+00000000000000010000000111110000_00011_00000000000000000000000000000111
+00000000000000010000000111111000_00001_10000000000000000000000000000000
+00000000000000010000000111111100_00001_01111111111111111111111111111111
+00000000000000010000001000000000_01110_00111111111111111111111111111111
+00000000000000010000001000000100_00111_01000000000000000000000000000000
+00000000000000010000001000001000_00111_00111111111111111111111111111111
+00000000000000010000001000001100_00011_00000000000000000000000000001000
+00000000000000010000001000010100_00001_10000000000000000000000000000000
+00000000000000010000001000011000_00001_01111111111111111111111111111111
+00000000000000010000001000011100_01110_00000000111111111111111111111111
+00000000000000010000001000100000_00111_00000001000000000000000000000000
+00000000000000010000001000100100_00111_00000000111111111111111111111111
+00000000000000010000001000101000_00011_00000000000000000000000000001001
+00000000000000010000001000110000_00001_10000000000000000000000000000000
+00000000000000010000001000110100_00001_01111111111111111111111111111111
+00000000000000010000001000111000_01110_00000000000000011111111111111111
+00000000000000010000001000111100_00111_00000000000000100000000000000000
+00000000000000010000001001000000_00111_00000000000000011111111111111111
+00000000000000010000001001000100_00011_00000000000000000000000000001010
+00000000000000010000001001001100_00001_10000000000000000000000000000000
+00000000000000010000001001010000_00001_01111111111111111111111111111111
+00000000000000010000001001010100_01110_00000000000000000000000000000000
+00000000000000010000001001011000_00111_00000000000000000000000000000000
+00000000000000010000001001011100_00011_00000000000000000000000000001011
+00000000000000010000001001100100_00001_10000001100000011000000000000000
+00000000000000010000001001101000_00001_10000001100000011000000110000001
+00000000000000010000001001101100_01110_10000001100000011000000110000001
+00000000000000010000001001110000_00111_10000001100000011000000000000000
+00000000000000010000001001110100_00111_10000001100000011000000110000001
+00000000000000010000001001111000_00011_00000000000000000000000000001100
+00000000000000010000001010000000_00001_10000001100000011000000000000000
+00000000000000010000001010000100_00001_10000001100000011000000110000001
+00000000000000010000001010001000_01110_11000000110000001100000011000000
+00000000000000010000001010001100_00111_11000000110000001100000000000000
+00000000000000010000001010010000_00111_11000000110000001100000011000000
+00000000000000010000001010010100_00011_00000000000000000000000000001101
+00000000000000010000001010011100_00001_10000001100000011000000000000000
+00000000000000010000001010100000_00001_10000001100000011000000110000001
+00000000000000010000001010100100_01110_11111111000000110000001100000011
+00000000000000010000001010101000_00111_11111111000000110000000000000000
+00000000000000010000001010101100_00111_11111111000000110000001100000011
+00000000000000010000001010110000_00011_00000000000000000000000000001110
+00000000000000010000001010111000_00001_10000001100000011000000000000000
+00000000000000010000001010111100_00001_10000001100000011000000110000001
+00000000000000010000001011000000_01110_11111111111111100000011000000110
+00000000000000010000001011000100_00111_11111111111111100000000000000000
+00000000000000010000001011001000_00111_11111111111111100000011000000110
+00000000000000010000001011001100_00011_00000000000000000000000000001111
+00000000000000010000001011010100_00001_10000001100000011000000000000000
+00000000000000010000001011011000_00001_10000001100000011000000110000001
+00000000000000010000001011011100_01110_11111111111111111111111111111111
+00000000000000010000001011100000_00111_11111111111111111111111111111111
+00000000000000010000001011100100_00011_00000000000000000000000000010000
+00000000000000010000001011101100_00001_10000000000000000000000000000000
+00000000000000010000001011110000_00001_11111111000000000000000000000000
+00000000000000010000001011110100_00111_11111111000000000000000000000000
+00000000000000010000001011111000_00011_00000000000000000000000000010001
+00000000000000010000001100000000_00100_00000000000000000000000000000000
+00000000000000010000001100000100_00001_10000000000000000000000000000000
+00000000000000010000001100001000_01110_11111111000000000000000000000000
+00000000000000010000001100001100_00110_11111111000000000000000000000000
+00000000000000010000001100010000_00100_00000000000000000000000000000001
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100000100_00001_10000000000000000000000000000000
+00000000000000010000001100001000_01110_11111111000000000000000000000000
+00000000000000010000001100001100_00110_11111111000000000000000000000000
+00000000000000010000001100010000_00100_00000000000000000000000000000010
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00111_11111111000000000000000000000000
+00000000000000010000001100100000_00011_00000000000000000000000000010010
+00000000000000010000001100101000_00100_00000000000000000000000000000000
+00000000000000010000001100101100_00001_10000000000000000000000000000000
+00000000000000010000001100110000_01110_11111111111111100000000000000000
+00000000000000010000001100110100_00000_00000000000000000000000000000000
+00000000000000010000001100111000_00110_11111111111111100000000000000000
+00000000000000010000001100111100_00100_00000000000000000000000000000001
+00000000000000010000001101000000_00101_00000000000000000000000000000010
+00000000000000010000001100101100_00001_10000000000000000000000000000000
+00000000000000010000001100110000_01110_11111111111111100000000000000000
+00000000000000010000001100110100_00000_00000000000000000000000000000000
+00000000000000010000001100111000_00110_11111111111111100000000000000000
+00000000000000010000001100111100_00100_00000000000000000000000000000010
+00000000000000010000001101000000_00101_00000000000000000000000000000010
+00000000000000010000001101001000_00111_11111111111111100000000000000000
+00000000000000010000001101001100_00011_00000000000000000000000000010011
+00000000000000010000001101010100_00100_00000000000000000000000000000000
+00000000000000010000001101011000_00001_10000000000000000000000000000000
+00000000000000010000001101011100_00001_10000000000000000000000000000001
+00000000000000010000001101100000_01110_11111111111111111111111111111111
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101000_00000_00000000000000000000000000000000
+00000000000000010000001101101100_00110_11111111111111111111111111111111
+00000000000000010000001101110000_00100_00000000000000000000000000000001
+00000000000000010000001101110100_00101_00000000000000000000000000000010
+00000000000000010000001101011000_00001_10000000000000000000000000000000
+00000000000000010000001101011100_00001_10000000000000000000000000000001
+00000000000000010000001101100000_01110_11111111111111111111111111111111
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101000_00000_00000000000000000000000000000000
+00000000000000010000001101101100_00110_11111111111111111111111111111111
+00000000000000010000001101110000_00100_00000000000000000000000000000010
+00000000000000010000001101110100_00101_00000000000000000000000000000010
+00000000000000010000001101111100_00111_11111111111111111111111111111111
+00000000000000010000001110000000_00011_00000000000000000000000000010100
+00000000000000010000001110001000_00100_00000000000000000000000000000000
+00000000000000010000001110001100_00001_10000000000000000000000000000000
+00000000000000010000001110010000_01110_11111111000000000000000000000000
+00000000000000010000001110010100_00100_00000000000000000000000000000001
+00000000000000010000001110011000_00101_00000000000000000000000000000010
+00000000000000010000001110001100_00001_10000000000000000000000000000000
+00000000000000010000001110010000_01110_11111111000000000000000000000000
+00000000000000010000001110010100_00100_00000000000000000000000000000010
+00000000000000010000001110011000_00101_00000000000000000000000000000010
+00000000000000010000001110100000_00111_11111111000000000000000000000000
+00000000000000010000001110100100_00011_00000000000000000000000000010101
+00000000000000010000001110101100_00100_00000000000000000000000000000000
+00000000000000010000001110110000_00001_10000000000000000000000000000000
+00000000000000010000001110110100_00000_00000000000000000000000000000000
+00000000000000010000001110111000_01110_11111111111111100000000000000000
+00000000000000010000001110111100_00100_00000000000000000000000000000001
+00000000000000010000001111000000_00101_00000000000000000000000000000010
+00000000000000010000001110110000_00001_10000000000000000000000000000000
+00000000000000010000001110110100_00000_00000000000000000000000000000000
+00000000000000010000001110111000_01110_11111111111111100000000000000000
+00000000000000010000001110111100_00100_00000000000000000000000000000010
+00000000000000010000001111000000_00101_00000000000000000000000000000010
+00000000000000010000001111001000_00111_11111111111111100000000000000000
+00000000000000010000001111001100_00011_00000000000000000000000000010110
+00000000000000010000001111010100_00100_00000000000000000000000000000000
+00000000000000010000001111011000_00001_10000000000000000000000000000000
+00000000000000010000001111011100_00001_10000000000000000000000000000001
+00000000000000010000001111100000_00000_00000000000000000000000000000000
+00000000000000010000001111100100_00000_00000000000000000000000000000000
+00000000000000010000001111101000_01110_11111111111111111111111111111111
+00000000000000010000001111101100_00100_00000000000000000000000000000001
+00000000000000010000001111110000_00101_00000000000000000000000000000010
+00000000000000010000001111011000_00001_10000000000000000000000000000000
+00000000000000010000001111011100_00001_10000000000000000000000000000001
+00000000000000010000001111100000_00000_00000000000000000000000000000000
+00000000000000010000001111100100_00000_00000000000000000000000000000000
+00000000000000010000001111101000_01110_11111111111111111111111111111111
+00000000000000010000001111101100_00100_00000000000000000000000000000010
+00000000000000010000001111110000_00101_00000000000000000000000000000010
+00000000000000010000001111111000_00111_11111111111111111111111111111111
+00000000000000010000001111111100_00011_00000000000000000000000000010111
+00000000000000010000010000000100_00001_00000000000000000000000000000000
+00000000000000010000010000001000_00111_00000000000000000000000000000000
+00000000000000010000010000001100_00011_00000000000000000000000000011000
+00000000000000010000010000010100_00001_00000000000000000000000000100001
+00000000000000010000010000011000_00000_00000000000000000000000000000000
+00000000000000010000010000011100_00111_00000000000000000000000000000000
+00000000000000010000010000100000_00011_00000000000000000000000000011001
+00000000000000010000010001001100_00011_00000000000000000000000000000001
+00000000000000010000010001010000_10001_00000000000000000000000001011101
+00000000000000010000010001010100_01010_00000000000000000000000000000000
+00000000000000010000010001010100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/srai/dmem.coe b/verilog/dv/test_c0/coe/srai/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srai/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/srai/imem.coe b/verilog/dv/test_c0/coe/srai/imem.coe
new file mode 100644
index 0000000..9f06429
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srai/imem.coe
@@ -0,0 +1,289 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+4000D713,
+00000393,
+00200193,
+2A771463,
+800000B7,
+4010D713,
+C00003B7,
+00300193,
+28771A63,
+800000B7,
+4070D713,
+FF0003B7,
+00400193,
+28771063,
+800000B7,
+40E0D713,
+FFFE03B7,
+00500193,
+26771663,
+800000B7,
+00108093,
+41F0D713,
+FFF00393,
+00600193,
+24771A63,
+800000B7,
+FFF08093,
+4000D713,
+800003B7,
+FFF38393,
+00700193,
+22771C63,
+800000B7,
+FFF08093,
+4010D713,
+400003B7,
+FFF38393,
+00800193,
+20771E63,
+800000B7,
+FFF08093,
+4070D713,
+010003B7,
+FFF38393,
+00900193,
+20771063,
+800000B7,
+FFF08093,
+40E0D713,
+000203B7,
+FFF38393,
+00A00193,
+1E771263,
+800000B7,
+FFF08093,
+41F0D713,
+00000393,
+00B00193,
+1C771663,
+818180B7,
+18108093,
+4000D713,
+818183B7,
+18138393,
+00C00193,
+1A771863,
+818180B7,
+18108093,
+4010D713,
+C0C0C3B7,
+0C038393,
+00D00193,
+18771A63,
+818180B7,
+18108093,
+4070D713,
+FF0303B7,
+30338393,
+00E00193,
+16771C63,
+818180B7,
+18108093,
+40E0D713,
+FFFE03B7,
+60638393,
+00F00193,
+14771E63,
+818180B7,
+18108093,
+41F0D713,
+FFF00393,
+01000193,
+14771263,
+800000B7,
+4070D093,
+FF0003B7,
+01100193,
+12709863,
+00000213,
+800000B7,
+4070D713,
+00070313,
+00120213,
+00200293,
+FE5216E3,
+FF0003B7,
+01200193,
+10731463,
+00000213,
+800000B7,
+40E0D713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+FFFE03B7,
+01300193,
+0C731E63,
+00000213,
+800000B7,
+00108093,
+41F0D713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+FFF00393,
+01400193,
+0A731463,
+00000213,
+800000B7,
+4070D713,
+00120213,
+00200293,
+FE5218E3,
+FF0003B7,
+01500193,
+08771263,
+00000213,
+800000B7,
+00000013,
+40E0D713,
+00120213,
+00200293,
+FE5216E3,
+FFFE03B7,
+01600193,
+04771E63,
+00000213,
+800000B7,
+00108093,
+00000013,
+00000013,
+41F0D713,
+00120213,
+00200293,
+FE5212E3,
+FFF00393,
+01700193,
+02771663,
+40405093,
+00000393,
+01800193,
+00709E63,
+02100093,
+40A0D013,
+00000393,
+01900193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/srl/cekirdek_dy.txt b/verilog/dv/test_c0/coe/srl/cekirdek_dy.txt
new file mode 100644
index 0000000..e129e5c
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srl/cekirdek_dy.txt
@@ -0,0 +1,748 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010110011101110001100001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000000001110110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010100011101110001110001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010100011101110001000001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010010011101110001010001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01010000011101110001011001100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001110011101110001101001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001100011101110001110001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000001110110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001111001100011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001000000001110110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001000001100011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001010001100011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010001110110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100111000001110010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001010001100011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000100100001001001110110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001000000111000001110010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001010001100011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000100100001110110111_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001000111000001110010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001010001100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000001110110111_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000010000111000001110010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001010001100011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001011001100011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100000000000000000100010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010001110110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100111000001110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001011001100011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100000100000000000100010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000100100001001001110110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001000000111000001110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001011001100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100011100000000000100010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000100100001110110111_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001000111000001110010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001011001100011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100111000000000000100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000001110110111_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000010000111000001110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001011001100011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011101110001100001100011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101000010110011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100001001110001100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101000100110011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100010001000001100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000010010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001101000010110011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100001001011001100011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011100110001000001100011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001100001100011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011100110001111001100011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001101001100011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001010001100011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001110001100011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001011001100011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001111001100011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001011001100011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001001001100011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001110001100011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000000000000110010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001010001100011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000011100000000000100010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010100000000000110010011_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001111001100011_00000000000000010000011000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000011001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000011001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000100010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000100010011_00000000000000010000011001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000011001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011000000000000110010011_00000000000000010000011001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001011001100011_00000000000000010000011001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000011001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000011010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000011010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000000100010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000100010011_00000000000000010000011001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000011001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000011010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101011100110011_00000000000000010000011010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000011010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000011010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000011010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000011010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100000000000110010011_00000000000000010000011010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001111001100011_00000000000000010000011010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000011010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000101000100110011_00000000000000010000011010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010100000000000000110010011_00000000000000010000011010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001010001100011_00000000000000010000011010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000010010011_00000000000000010000011010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001101000100110011_00000000000000010000011010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000001110010011_00000000000000010000011010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010100100000000000110010011_00000000000000010000011011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001101001100011_00000000000000010000011011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000101000010110011_00000000000000010000011011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010101000000000000110010011_00000000000000010000011011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001001001100011_00000000000000010000011011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000000000010010011_00000000000000010000011011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000001000100110111_00000000000000010000011011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000010000000100010011_00000000000000010000011011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001101000000110011_00000000000000010000011011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010101100000000000110010011_00000000000000010000011011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011100011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011100011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011100100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011100100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011100101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/srl/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/srl/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..cddde49
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srl/cekirdek_ps_bin.txt
@@ -0,0 +1,537 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011000110100
+00000000000000010000011000111000
+00000000000000010000011000111100
+00000000000000010000011001000000
+00000000000000010000011001000100
+00000000000000010000011001001000
+00000000000000010000011001001100
+00000000000000010000011001010000
+00000000000000010000011001010100
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001000100
+00000000000000010000011001001000
+00000000000000010000011001001100
+00000000000000010000011001010000
+00000000000000010000011001010100
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001100000
+00000000000000010000011001100100
+00000000000000010000011001101000
+00000000000000010000011001101100
+00000000000000010000011001110000
+00000000000000010000011001110100
+00000000000000010000011001111000
+00000000000000010000011001111100
+00000000000000010000011010000000
+00000000000000010000011010000100
+00000000000000010000011010001000
+00000000000000010000011010001100
+00000000000000010000011010010000
+00000000000000010000011001110100
+00000000000000010000011001111000
+00000000000000010000011001111100
+00000000000000010000011010000000
+00000000000000010000011010000100
+00000000000000010000011010001000
+00000000000000010000011010001100
+00000000000000010000011010010000
+00000000000000010000011010010100
+00000000000000010000011010011000
+00000000000000010000011010011100
+00000000000000010000011010100000
+00000000000000010000011010100100
+00000000000000010000011010101000
+00000000000000010000011010101100
+00000000000000010000011010110000
+00000000000000010000011010110100
+00000000000000010000011010111000
+00000000000000010000011010111100
+00000000000000010000011011000000
+00000000000000010000011011000100
+00000000000000010000011011001000
+00000000000000010000011011001100
+00000000000000010000011011010000
+00000000000000010000011011010100
+00000000000000010000011011011000
+00000000000000010000011011011100
+00000000000000010000011011100000
+00000000000000010000011011100100
+00000000000000010000011011101000
+00000000000000010000011011101100
+00000000000000010000011011110000
+00000000000000010000011011110100
+00000000000000010000011100010100
+00000000000000010000011100011000
+00000000000000010000011100011100
+00000000000000010000011100100000
+00000000000000010000011100100000
diff --git a/verilog/dv/test_c0/coe/srl/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/srl/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..7b0331d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srl/cekirdek_ps_hex.txt
@@ -0,0 +1,537 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010634
+00010638
+0001063c
+00010640
+00010644
+00010648
+0001064c
+00010650
+00010654
+00010658
+0001065c
+00010660
+00010644
+00010648
+0001064c
+00010650
+00010654
+00010658
+0001065c
+00010660
+00010664
+00010668
+0001066c
+00010670
+00010674
+00010678
+0001067c
+00010680
+00010684
+00010688
+0001068c
+00010690
+00010674
+00010678
+0001067c
+00010680
+00010684
+00010688
+0001068c
+00010690
+00010694
+00010698
+0001069c
+000106a0
+000106a4
+000106a8
+000106ac
+000106b0
+000106b4
+000106b8
+000106bc
+000106c0
+000106c4
+000106c8
+000106cc
+000106d0
+000106d4
+000106d8
+000106dc
+000106e0
+000106e4
+000106e8
+000106ec
+000106f0
+000106f4
+00010714
+00010718
+0001071c
+00010720
+00010720
diff --git a/verilog/dv/test_c0/coe/srl/cekirdek_yo.txt b/verilog/dv/test_c0/coe/srl/cekirdek_yo.txt
new file mode 100644
index 0000000..5efd4be
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srl/cekirdek_yo.txt
@@ -0,0 +1,445 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_10000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_10000000000000000000000000000000
+00000000000000010000000110000000_00111_10000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_10000000000000000000000000000000
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_01000000000000000000000000000000
+00000000000000010000000110011000_00111_01000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_10000000000000000000000000000000
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_00000001000000000000000000000000
+00000000000000010000000110110000_00111_00000001000000000000000000000000
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_10000000000000000000000000000000
+00000000000000010000000111000000_00010_00000000000000000000000000001110
+00000000000000010000000111000100_01110_00000000000000100000000000000000
+00000000000000010000000111001000_00111_00000000000000100000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00001_10000000000000000000000000000001
+00000000000000010000000111011100_00010_00000000000000000000000000011111
+00000000000000010000000111100000_01110_00000000000000000000000000000001
+00000000000000010000000111100100_00111_00000000000000000000000000000001
+00000000000000010000000111101000_00011_00000000000000000000000000000110
+00000000000000010000000111110000_00001_11111111111111111111111111111111
+00000000000000010000000111110100_00010_00000000000000000000000000000000
+00000000000000010000000111111000_01110_11111111111111111111111111111111
+00000000000000010000000111111100_00111_11111111111111111111111111111111
+00000000000000010000001000000000_00011_00000000000000000000000000000111
+00000000000000010000001000001000_00001_11111111111111111111111111111111
+00000000000000010000001000001100_00010_00000000000000000000000000000001
+00000000000000010000001000010000_01110_01111111111111111111111111111111
+00000000000000010000001000010100_00111_10000000000000000000000000000000
+00000000000000010000001000011000_00111_01111111111111111111111111111111
+00000000000000010000001000011100_00011_00000000000000000000000000001000
+00000000000000010000001000100100_00001_11111111111111111111111111111111
+00000000000000010000001000101000_00010_00000000000000000000000000000111
+00000000000000010000001000101100_01110_00000001111111111111111111111111
+00000000000000010000001000110000_00111_00000010000000000000000000000000
+00000000000000010000001000110100_00111_00000001111111111111111111111111
+00000000000000010000001000111000_00011_00000000000000000000000000001001
+00000000000000010000001001000000_00001_11111111111111111111111111111111
+00000000000000010000001001000100_00010_00000000000000000000000000001110
+00000000000000010000001001001000_01110_00000000000000111111111111111111
+00000000000000010000001001001100_00111_00000000000001000000000000000000
+00000000000000010000001001010000_00111_00000000000000111111111111111111
+00000000000000010000001001010100_00011_00000000000000000000000000001010
+00000000000000010000001001011100_00001_11111111111111111111111111111111
+00000000000000010000001001100000_00010_00000000000000000000000000011111
+00000000000000010000001001100100_01110_00000000000000000000000000000001
+00000000000000010000001001101000_00111_00000000000000000000000000000001
+00000000000000010000001001101100_00011_00000000000000000000000000001011
+00000000000000010000001001110100_00001_00100001001000010010000000000000
+00000000000000010000001001111000_00001_00100001001000010010000100100001
+00000000000000010000001001111100_00010_00000000000000000000000000000000
+00000000000000010000001010000000_01110_00100001001000010010000100100001
+00000000000000010000001010000100_00111_00100001001000010010000000000000
+00000000000000010000001010001000_00111_00100001001000010010000100100001
+00000000000000010000001010001100_00011_00000000000000000000000000001100
+00000000000000010000001010010100_00001_00100001001000010010000000000000
+00000000000000010000001010011000_00001_00100001001000010010000100100001
+00000000000000010000001010011100_00010_00000000000000000000000000000001
+00000000000000010000001010100000_01110_00010000100100001001000010010000
+00000000000000010000001010100100_00111_00010000100100001001000000000000
+00000000000000010000001010101000_00111_00010000100100001001000010010000
+00000000000000010000001010101100_00011_00000000000000000000000000001101
+00000000000000010000001010110100_00001_00100001001000010010000000000000
+00000000000000010000001010111000_00001_00100001001000010010000100100001
+00000000000000010000001010111100_00010_00000000000000000000000000000111
+00000000000000010000001011000000_01110_00000000010000100100001001000010
+00000000000000010000001011000100_00111_00000000010000100100000000000000
+00000000000000010000001011001000_00111_00000000010000100100001001000010
+00000000000000010000001011001100_00011_00000000000000000000000000001110
+00000000000000010000001011010100_00001_00100001001000010010000000000000
+00000000000000010000001011011000_00001_00100001001000010010000100100001
+00000000000000010000001011011100_00010_00000000000000000000000000001110
+00000000000000010000001011100000_01110_00000000000000001000010010000100
+00000000000000010000001011100100_00111_00000000000000001000000000000000
+00000000000000010000001011101000_00111_00000000000000001000010010000100
+00000000000000010000001011101100_00011_00000000000000000000000000001111
+00000000000000010000001011110100_00001_00100001001000010010000000000000
+00000000000000010000001011111000_00001_00100001001000010010000100100001
+00000000000000010000001011111100_00010_00000000000000000000000000011111
+00000000000000010000001100000000_01110_00000000000000000000000000000000
+00000000000000010000001100000100_00111_00000000000000000000000000000000
+00000000000000010000001100001000_00011_00000000000000000000000000010000
+00000000000000010000001100010000_00001_00100001001000010010000000000000
+00000000000000010000001100010100_00001_00100001001000010010000100100001
+00000000000000010000001100011000_00010_11111111111111111111111111000000
+00000000000000010000001100011100_01110_00100001001000010010000100100001
+00000000000000010000001100100000_00111_00100001001000010010000000000000
+00000000000000010000001100100100_00111_00100001001000010010000100100001
+00000000000000010000001100101000_00011_00000000000000000000000000010001
+00000000000000010000001100110000_00001_00100001001000010010000000000000
+00000000000000010000001100110100_00001_00100001001000010010000100100001
+00000000000000010000001100111000_00010_11111111111111111111111111000001
+00000000000000010000001100111100_01110_00010000100100001001000010010000
+00000000000000010000001101000000_00111_00010000100100001001000000000000
+00000000000000010000001101000100_00111_00010000100100001001000010010000
+00000000000000010000001101001000_00011_00000000000000000000000000010010
+00000000000000010000001101010000_00001_00100001001000010010000000000000
+00000000000000010000001101010100_00001_00100001001000010010000100100001
+00000000000000010000001101011000_00010_11111111111111111111111111000111
+00000000000000010000001101011100_01110_00000000010000100100001001000010
+00000000000000010000001101100000_00111_00000000010000100100000000000000
+00000000000000010000001101100100_00111_00000000010000100100001001000010
+00000000000000010000001101101000_00011_00000000000000000000000000010011
+00000000000000010000001101110000_00001_00100001001000010010000000000000
+00000000000000010000001101110100_00001_00100001001000010010000100100001
+00000000000000010000001101111000_00010_11111111111111111111111111001110
+00000000000000010000001101111100_01110_00000000000000001000010010000100
+00000000000000010000001110000000_00111_00000000000000001000000000000000
+00000000000000010000001110000100_00111_00000000000000001000010010000100
+00000000000000010000001110001000_00011_00000000000000000000000000010100
+00000000000000010000001110010000_00001_00100001001000010010000000000000
+00000000000000010000001110010100_00001_00100001001000010010000100100001
+00000000000000010000001110011000_00010_11111111111111111111111111111111
+00000000000000010000001110011100_01110_00000000000000000000000000000000
+00000000000000010000001110100000_00111_00000000000000000000000000000000
+00000000000000010000001110100100_00011_00000000000000000000000000010101
+00000000000000010000001110101100_00001_10000000000000000000000000000000
+00000000000000010000001110110000_00010_00000000000000000000000000000111
+00000000000000010000001110110100_00001_00000001000000000000000000000000
+00000000000000010000001110111000_00111_00000001000000000000000000000000
+00000000000000010000001110111100_00011_00000000000000000000000000010110
+00000000000000010000001111000100_00001_10000000000000000000000000000000
+00000000000000010000001111001000_00010_00000000000000000000000000001110
+00000000000000010000001111001100_00010_00000000000000100000000000000000
+00000000000000010000001111010000_00111_00000000000000100000000000000000
+00000000000000010000001111010100_00011_00000000000000000000000000010111
+00000000000000010000001111011100_00001_00000000000000000000000000000111
+00000000000000010000001111100000_00001_00000000000000000000000000000000
+00000000000000010000001111100100_00111_00000000000000000000000000000000
+00000000000000010000001111101000_00011_00000000000000000000000000011000
+00000000000000010000001111110000_00100_00000000000000000000000000000000
+00000000000000010000001111110100_00001_10000000000000000000000000000000
+00000000000000010000001111111000_00010_00000000000000000000000000000111
+00000000000000010000001111111100_01110_00000001000000000000000000000000
+00000000000000010000010000000000_00110_00000001000000000000000000000000
+00000000000000010000010000000100_00100_00000000000000000000000000000001
+00000000000000010000010000001000_00101_00000000000000000000000000000010
+00000000000000010000001111110100_00001_10000000000000000000000000000000
+00000000000000010000001111111000_00010_00000000000000000000000000000111
+00000000000000010000001111111100_01110_00000001000000000000000000000000
+00000000000000010000010000000000_00110_00000001000000000000000000000000
+00000000000000010000010000000100_00100_00000000000000000000000000000010
+00000000000000010000010000001000_00101_00000000000000000000000000000010
+00000000000000010000010000010000_00111_00000001000000000000000000000000
+00000000000000010000010000010100_00011_00000000000000000000000000011001
+00000000000000010000010000011100_00100_00000000000000000000000000000000
+00000000000000010000010000100000_00001_10000000000000000000000000000000
+00000000000000010000010000100100_00010_00000000000000000000000000001110
+00000000000000010000010000101000_01110_00000000000000100000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_00110_00000000000000100000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000001
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010000100000_00001_10000000000000000000000000000000
+00000000000000010000010000100100_00010_00000000000000000000000000001110
+00000000000000010000010000101000_01110_00000000000000100000000000000000
+00000000000000010000010000101100_00000_00000000000000000000000000000000
+00000000000000010000010000110000_00110_00000000000000100000000000000000
+00000000000000010000010000110100_00100_00000000000000000000000000000010
+00000000000000010000010000111000_00101_00000000000000000000000000000010
+00000000000000010000010001000000_00111_00000000000000100000000000000000
+00000000000000010000010001000100_00011_00000000000000000000000000011010
+00000000000000010000010001001100_00100_00000000000000000000000000000000
+00000000000000010000010001010000_00001_10000000000000000000000000000000
+00000000000000010000010001010100_00010_00000000000000000000000000011111
+00000000000000010000010001011000_01110_00000000000000000000000000000001
+00000000000000010000010001011100_00000_00000000000000000000000000000000
+00000000000000010000010001100000_00000_00000000000000000000000000000000
+00000000000000010000010001100100_00110_00000000000000000000000000000001
+00000000000000010000010001101000_00100_00000000000000000000000000000001
+00000000000000010000010001101100_00101_00000000000000000000000000000010
+00000000000000010000010001010000_00001_10000000000000000000000000000000
+00000000000000010000010001010100_00010_00000000000000000000000000011111
+00000000000000010000010001011000_01110_00000000000000000000000000000001
+00000000000000010000010001011100_00000_00000000000000000000000000000000
+00000000000000010000010001100000_00000_00000000000000000000000000000000
+00000000000000010000010001100100_00110_00000000000000000000000000000001
+00000000000000010000010001101000_00100_00000000000000000000000000000010
+00000000000000010000010001101100_00101_00000000000000000000000000000010
+00000000000000010000010001110100_00111_00000000000000000000000000000001
+00000000000000010000010001111000_00011_00000000000000000000000000011011
+00000000000000010000010010000000_00100_00000000000000000000000000000000
+00000000000000010000010010000100_00001_10000000000000000000000000000000
+00000000000000010000010010001000_00010_00000000000000000000000000000111
+00000000000000010000010010001100_01110_00000001000000000000000000000000
+00000000000000010000010010010000_00100_00000000000000000000000000000001
+00000000000000010000010010010100_00101_00000000000000000000000000000010
+00000000000000010000010010000100_00001_10000000000000000000000000000000
+00000000000000010000010010001000_00010_00000000000000000000000000000111
+00000000000000010000010010001100_01110_00000001000000000000000000000000
+00000000000000010000010010010000_00100_00000000000000000000000000000010
+00000000000000010000010010010100_00101_00000000000000000000000000000010
+00000000000000010000010010011100_00111_00000001000000000000000000000000
+00000000000000010000010010100000_00011_00000000000000000000000000011100
+00000000000000010000010010101000_00100_00000000000000000000000000000000
+00000000000000010000010010101100_00001_10000000000000000000000000000000
+00000000000000010000010010110000_00010_00000000000000000000000000001110
+00000000000000010000010010110100_00000_00000000000000000000000000000000
+00000000000000010000010010111000_01110_00000000000000100000000000000000
+00000000000000010000010010111100_00100_00000000000000000000000000000001
+00000000000000010000010011000000_00101_00000000000000000000000000000010
+00000000000000010000010010101100_00001_10000000000000000000000000000000
+00000000000000010000010010110000_00010_00000000000000000000000000001110
+00000000000000010000010010110100_00000_00000000000000000000000000000000
+00000000000000010000010010111000_01110_00000000000000100000000000000000
+00000000000000010000010010111100_00100_00000000000000000000000000000010
+00000000000000010000010011000000_00101_00000000000000000000000000000010
+00000000000000010000010011001000_00111_00000000000000100000000000000000
+00000000000000010000010011001100_00011_00000000000000000000000000011101
+00000000000000010000010011010100_00100_00000000000000000000000000000000
+00000000000000010000010011011000_00001_10000000000000000000000000000000
+00000000000000010000010011011100_00010_00000000000000000000000000011111
+00000000000000010000010011100000_00000_00000000000000000000000000000000
+00000000000000010000010011100100_00000_00000000000000000000000000000000
+00000000000000010000010011101000_01110_00000000000000000000000000000001
+00000000000000010000010011101100_00100_00000000000000000000000000000001
+00000000000000010000010011110000_00101_00000000000000000000000000000010
+00000000000000010000010011011000_00001_10000000000000000000000000000000
+00000000000000010000010011011100_00010_00000000000000000000000000011111
+00000000000000010000010011100000_00000_00000000000000000000000000000000
+00000000000000010000010011100100_00000_00000000000000000000000000000000
+00000000000000010000010011101000_01110_00000000000000000000000000000001
+00000000000000010000010011101100_00100_00000000000000000000000000000010
+00000000000000010000010011110000_00101_00000000000000000000000000000010
+00000000000000010000010011111000_00111_00000000000000000000000000000001
+00000000000000010000010011111100_00011_00000000000000000000000000011110
+00000000000000010000010100000100_00100_00000000000000000000000000000000
+00000000000000010000010100001000_00001_10000000000000000000000000000000
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_00010_00000000000000000000000000000111
+00000000000000010000010100010100_01110_00000001000000000000000000000000
+00000000000000010000010100011000_00100_00000000000000000000000000000001
+00000000000000010000010100011100_00101_00000000000000000000000000000010
+00000000000000010000010100001000_00001_10000000000000000000000000000000
+00000000000000010000010100001100_00000_00000000000000000000000000000000
+00000000000000010000010100010000_00010_00000000000000000000000000000111
+00000000000000010000010100010100_01110_00000001000000000000000000000000
+00000000000000010000010100011000_00100_00000000000000000000000000000010
+00000000000000010000010100011100_00101_00000000000000000000000000000010
+00000000000000010000010100100100_00111_00000001000000000000000000000000
+00000000000000010000010100101000_00011_00000000000000000000000000011111
+00000000000000010000010100110000_00100_00000000000000000000000000000000
+00000000000000010000010100110100_00001_10000000000000000000000000000000
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00010_00000000000000000000000000001110
+00000000000000010000010101000000_00000_00000000000000000000000000000000
+00000000000000010000010101000100_01110_00000000000000100000000000000000
+00000000000000010000010101001000_00100_00000000000000000000000000000001
+00000000000000010000010101001100_00101_00000000000000000000000000000010
+00000000000000010000010100110100_00001_10000000000000000000000000000000
+00000000000000010000010100111000_00000_00000000000000000000000000000000
+00000000000000010000010100111100_00010_00000000000000000000000000001110
+00000000000000010000010101000000_00000_00000000000000000000000000000000
+00000000000000010000010101000100_01110_00000000000000100000000000000000
+00000000000000010000010101001000_00100_00000000000000000000000000000010
+00000000000000010000010101001100_00101_00000000000000000000000000000010
+00000000000000010000010101010100_00111_00000000000000100000000000000000
+00000000000000010000010101011000_00011_00000000000000000000000000100000
+00000000000000010000010101100000_00100_00000000000000000000000000000000
+00000000000000010000010101100100_00001_10000000000000000000000000000000
+00000000000000010000010101101000_00000_00000000000000000000000000000000
+00000000000000010000010101101100_00000_00000000000000000000000000000000
+00000000000000010000010101110000_00010_00000000000000000000000000011111
+00000000000000010000010101110100_01110_00000000000000000000000000000001
+00000000000000010000010101111000_00100_00000000000000000000000000000001
+00000000000000010000010101111100_00101_00000000000000000000000000000010
+00000000000000010000010101100100_00001_10000000000000000000000000000000
+00000000000000010000010101101000_00000_00000000000000000000000000000000
+00000000000000010000010101101100_00000_00000000000000000000000000000000
+00000000000000010000010101110000_00010_00000000000000000000000000011111
+00000000000000010000010101110100_01110_00000000000000000000000000000001
+00000000000000010000010101111000_00100_00000000000000000000000000000010
+00000000000000010000010101111100_00101_00000000000000000000000000000010
+00000000000000010000010110000100_00111_00000000000000000000000000000001
+00000000000000010000010110001000_00011_00000000000000000000000000100001
+00000000000000010000010110010000_00100_00000000000000000000000000000000
+00000000000000010000010110010100_00010_00000000000000000000000000000111
+00000000000000010000010110011000_00001_10000000000000000000000000000000
+00000000000000010000010110011100_01110_00000001000000000000000000000000
+00000000000000010000010110100000_00100_00000000000000000000000000000001
+00000000000000010000010110100100_00101_00000000000000000000000000000010
+00000000000000010000010110010100_00010_00000000000000000000000000000111
+00000000000000010000010110011000_00001_10000000000000000000000000000000
+00000000000000010000010110011100_01110_00000001000000000000000000000000
+00000000000000010000010110100000_00100_00000000000000000000000000000010
+00000000000000010000010110100100_00101_00000000000000000000000000000010
+00000000000000010000010110101100_00111_00000001000000000000000000000000
+00000000000000010000010110110000_00011_00000000000000000000000000100010
+00000000000000010000010110111000_00100_00000000000000000000000000000000
+00000000000000010000010110111100_00010_00000000000000000000000000001110
+00000000000000010000010111000000_00001_10000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_01110_00000000000000100000000000000000
+00000000000000010000010111001100_00100_00000000000000000000000000000001
+00000000000000010000010111010000_00101_00000000000000000000000000000010
+00000000000000010000010110111100_00010_00000000000000000000000000001110
+00000000000000010000010111000000_00001_10000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_01110_00000000000000100000000000000000
+00000000000000010000010111001100_00100_00000000000000000000000000000010
+00000000000000010000010111010000_00101_00000000000000000000000000000010
+00000000000000010000010111011000_00111_00000000000000100000000000000000
+00000000000000010000010111011100_00011_00000000000000000000000000100011
+00000000000000010000010111100100_00100_00000000000000000000000000000000
+00000000000000010000010111101000_00010_00000000000000000000000000011111
+00000000000000010000010111101100_00001_10000000000000000000000000000000
+00000000000000010000010111110000_00000_00000000000000000000000000000000
+00000000000000010000010111110100_00000_00000000000000000000000000000000
+00000000000000010000010111111000_01110_00000000000000000000000000000001
+00000000000000010000010111111100_00100_00000000000000000000000000000001
+00000000000000010000011000000000_00101_00000000000000000000000000000010
+00000000000000010000010111101000_00010_00000000000000000000000000011111
+00000000000000010000010111101100_00001_10000000000000000000000000000000
+00000000000000010000010111110000_00000_00000000000000000000000000000000
+00000000000000010000010111110100_00000_00000000000000000000000000000000
+00000000000000010000010111111000_01110_00000000000000000000000000000001
+00000000000000010000010111111100_00100_00000000000000000000000000000010
+00000000000000010000011000000000_00101_00000000000000000000000000000010
+00000000000000010000011000001000_00111_00000000000000000000000000000001
+00000000000000010000011000001100_00011_00000000000000000000000000100100
+00000000000000010000011000010100_00100_00000000000000000000000000000000
+00000000000000010000011000011000_00010_00000000000000000000000000000111
+00000000000000010000011000011100_00000_00000000000000000000000000000000
+00000000000000010000011000100000_00001_10000000000000000000000000000000
+00000000000000010000011000100100_01110_00000001000000000000000000000000
+00000000000000010000011000101000_00100_00000000000000000000000000000001
+00000000000000010000011000101100_00101_00000000000000000000000000000010
+00000000000000010000011000011000_00010_00000000000000000000000000000111
+00000000000000010000011000011100_00000_00000000000000000000000000000000
+00000000000000010000011000100000_00001_10000000000000000000000000000000
+00000000000000010000011000100100_01110_00000001000000000000000000000000
+00000000000000010000011000101000_00100_00000000000000000000000000000010
+00000000000000010000011000101100_00101_00000000000000000000000000000010
+00000000000000010000011000110100_00111_00000001000000000000000000000000
+00000000000000010000011000111000_00011_00000000000000000000000000100101
+00000000000000010000011001000000_00100_00000000000000000000000000000000
+00000000000000010000011001000100_00010_00000000000000000000000000001110
+00000000000000010000011001001000_00000_00000000000000000000000000000000
+00000000000000010000011001001100_00001_10000000000000000000000000000000
+00000000000000010000011001010000_00000_00000000000000000000000000000000
+00000000000000010000011001010100_01110_00000000000000100000000000000000
+00000000000000010000011001011000_00100_00000000000000000000000000000001
+00000000000000010000011001011100_00101_00000000000000000000000000000010
+00000000000000010000011001000100_00010_00000000000000000000000000001110
+00000000000000010000011001001000_00000_00000000000000000000000000000000
+00000000000000010000011001001100_00001_10000000000000000000000000000000
+00000000000000010000011001010000_00000_00000000000000000000000000000000
+00000000000000010000011001010100_01110_00000000000000100000000000000000
+00000000000000010000011001011000_00100_00000000000000000000000000000010
+00000000000000010000011001011100_00101_00000000000000000000000000000010
+00000000000000010000011001100100_00111_00000000000000100000000000000000
+00000000000000010000011001101000_00011_00000000000000000000000000100110
+00000000000000010000011001110000_00100_00000000000000000000000000000000
+00000000000000010000011001110100_00010_00000000000000000000000000011111
+00000000000000010000011001111000_00000_00000000000000000000000000000000
+00000000000000010000011001111100_00000_00000000000000000000000000000000
+00000000000000010000011010000000_00001_10000000000000000000000000000000
+00000000000000010000011010000100_01110_00000000000000000000000000000001
+00000000000000010000011010001000_00100_00000000000000000000000000000001
+00000000000000010000011010001100_00101_00000000000000000000000000000010
+00000000000000010000011001110100_00010_00000000000000000000000000011111
+00000000000000010000011001111000_00000_00000000000000000000000000000000
+00000000000000010000011001111100_00000_00000000000000000000000000000000
+00000000000000010000011010000000_00001_10000000000000000000000000000000
+00000000000000010000011010000100_01110_00000000000000000000000000000001
+00000000000000010000011010001000_00100_00000000000000000000000000000010
+00000000000000010000011010001100_00101_00000000000000000000000000000010
+00000000000000010000011010010100_00111_00000000000000000000000000000001
+00000000000000010000011010011000_00011_00000000000000000000000000100111
+00000000000000010000011010100000_00001_00000000000000000000000000001111
+00000000000000010000011010100100_00010_00000000000000000000000000000000
+00000000000000010000011010101000_00111_00000000000000000000000000000000
+00000000000000010000011010101100_00011_00000000000000000000000000101000
+00000000000000010000011010110100_00001_00000000000000000000000000100000
+00000000000000010000011010111000_00010_00000000000000000000000000100000
+00000000000000010000011010111100_00111_00000000000000000000000000100000
+00000000000000010000011011000000_00011_00000000000000000000000000101001
+00000000000000010000011011001000_00001_00000000000000000000000000000000
+00000000000000010000011011001100_00111_00000000000000000000000000000000
+00000000000000010000011011010000_00011_00000000000000000000000000101010
+00000000000000010000011011011000_00001_00000000000000000000010000000000
+00000000000000010000011011011100_00010_00000000000000000001000000000000
+00000000000000010000011011100000_00010_00000000000000000000100000000000
+00000000000000010000011011100100_00000_00000000000000000000010000000000
+00000000000000010000011011101000_00111_00000000000000000000000000000000
+00000000000000010000011011101100_00011_00000000000000000000000000101011
+00000000000000010000011100011000_00011_00000000000000000000000000000001
+00000000000000010000011100011100_10001_00000000000000000000000001011101
+00000000000000010000011100100000_01010_00000000000000000000000000000000
+00000000000000010000011100100000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/srl/dmem.coe b/verilog/dv/test_c0/coe/srl/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srl/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/srl/imem.coe b/verilog/dv/test_c0/coe/srl/imem.coe
new file mode 100644
index 0000000..658a94b
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srl/imem.coe
@@ -0,0 +1,465 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+800000B7,
+00000113,
+0020D733,
+800003B7,
+00200193,
+56771863,
+800000B7,
+00100113,
+0020D733,
+400003B7,
+00300193,
+54771C63,
+800000B7,
+00700113,
+0020D733,
+010003B7,
+00400193,
+54771063,
+800000B7,
+00E00113,
+0020D733,
+000203B7,
+00500193,
+52771463,
+800000B7,
+00108093,
+01F00113,
+0020D733,
+00100393,
+00600193,
+50771663,
+FFF00093,
+00000113,
+0020D733,
+FFF00393,
+00700193,
+4E771A63,
+FFF00093,
+00100113,
+0020D733,
+800003B7,
+FFF38393,
+00800193,
+4C771C63,
+FFF00093,
+00700113,
+0020D733,
+020003B7,
+FFF38393,
+00900193,
+4A771E63,
+FFF00093,
+00E00113,
+0020D733,
+000403B7,
+FFF38393,
+00A00193,
+4A771063,
+FFF00093,
+01F00113,
+0020D733,
+00100393,
+00B00193,
+48771463,
+212120B7,
+12108093,
+00000113,
+0020D733,
+212123B7,
+12138393,
+00C00193,
+46771463,
+212120B7,
+12108093,
+00100113,
+0020D733,
+109093B7,
+09038393,
+00D00193,
+44771463,
+212120B7,
+12108093,
+00700113,
+0020D733,
+004243B7,
+24238393,
+00E00193,
+42771463,
+212120B7,
+12108093,
+00E00113,
+0020D733,
+000083B7,
+48438393,
+00F00193,
+40771463,
+212120B7,
+12108093,
+01F00113,
+0020D733,
+00000393,
+01000193,
+3E771663,
+212120B7,
+12108093,
+FC000113,
+0020D733,
+212123B7,
+12138393,
+01100193,
+3C771663,
+212120B7,
+12108093,
+FC100113,
+0020D733,
+109093B7,
+09038393,
+01200193,
+3A771663,
+212120B7,
+12108093,
+FC700113,
+0020D733,
+004243B7,
+24238393,
+01300193,
+38771663,
+212120B7,
+12108093,
+FCE00113,
+0020D733,
+000083B7,
+48438393,
+01400193,
+36771663,
+212120B7,
+12108093,
+FFF00113,
+0020D733,
+00000393,
+01500193,
+34771863,
+800000B7,
+00700113,
+0020D0B3,
+010003B7,
+01600193,
+32709C63,
+800000B7,
+00E00113,
+0020D133,
+000203B7,
+01700193,
+32711063,
+00700093,
+0010D0B3,
+00000393,
+01800193,
+30709663,
+00000213,
+800000B7,
+00700113,
+0020D733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+010003B7,
+01900193,
+2E731063,
+00000213,
+800000B7,
+00E00113,
+0020D733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+000203B7,
+01A00193,
+2A731863,
+00000213,
+800000B7,
+01F00113,
+0020D733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+00100393,
+01B00193,
+26731E63,
+00000213,
+800000B7,
+00700113,
+0020D733,
+00120213,
+00200293,
+FE5216E3,
+010003B7,
+01C00193,
+24771A63,
+00000213,
+800000B7,
+00E00113,
+00000013,
+0020D733,
+00120213,
+00200293,
+FE5214E3,
+000203B7,
+01D00193,
+22771463,
+00000213,
+800000B7,
+01F00113,
+00000013,
+00000013,
+0020D733,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+01E00193,
+1E771C63,
+00000213,
+800000B7,
+00000013,
+00700113,
+0020D733,
+00120213,
+00200293,
+FE5214E3,
+010003B7,
+01F00193,
+1C771663,
+00000213,
+800000B7,
+00000013,
+00E00113,
+00000013,
+0020D733,
+00120213,
+00200293,
+FE5212E3,
+000203B7,
+02000193,
+18771E63,
+00000213,
+800000B7,
+00000013,
+00000013,
+01F00113,
+0020D733,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+02100193,
+16771663,
+00000213,
+00700113,
+800000B7,
+0020D733,
+00120213,
+00200293,
+FE5216E3,
+010003B7,
+02200193,
+14771263,
+00000213,
+00E00113,
+800000B7,
+00000013,
+0020D733,
+00120213,
+00200293,
+FE5214E3,
+000203B7,
+02300193,
+10771C63,
+00000213,
+01F00113,
+800000B7,
+00000013,
+00000013,
+0020D733,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+02400193,
+0E771463,
+00000213,
+00700113,
+00000013,
+800000B7,
+0020D733,
+00120213,
+00200293,
+FE5214E3,
+010003B7,
+02500193,
+0A771E63,
+00000213,
+00E00113,
+00000013,
+800000B7,
+00000013,
+0020D733,
+00120213,
+00200293,
+FE5212E3,
+000203B7,
+02600193,
+08771663,
+00000213,
+01F00113,
+00000013,
+00000013,
+800000B7,
+0020D733,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+02700193,
+04771E63,
+00F00093,
+00105133,
+00000393,
+02800193,
+04711463,
+02000093,
+0000D133,
+02000393,
+02900193,
+02711A63,
+000050B3,
+00000393,
+02A00193,
+02709263,
+40000093,
+00001137,
+80010113,
+0020D033,
+00000393,
+02B00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/srli/cekirdek_dy.txt b/verilog/dv/test_c0/coe/srli/cekirdek_dy.txt
new file mode 100644
index 0000000..0b43998
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srli/cekirdek_dy.txt
@@ -0,0 +1,438 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001101011100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101000011101110001100001100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001101011100010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000000001110110111_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001111001100011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001101011100010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001010001100011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001101011100010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001101001100011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001101011100010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001111001100011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001101011100010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000001110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001010001100011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001101011100010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001100001100011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001101011100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001110001100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001101011100010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001000000001110110111_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001000001100011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001101011100010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001011001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001101011100010011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100111000001110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001100001100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001101011100010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000100100001001001110110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001001000000111000001110010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001101001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001101011100010011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000100100001110110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100001000111000001110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001110001100011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001101011100010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000001110110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000010000111000001110010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001111001100011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100001001000010010000010110111_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010000100001000000010010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001101011100010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001001001100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001101000010010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011100001001100001100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001101011100010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001101011100010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011100110001010001100011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001101011100010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001101011100010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011100110001111001100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001101011100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001101011100010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011100110001010001100011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001101011100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001101011100010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001100011100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000001110110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001001001100011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001101011100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000001101011100010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000100000001110110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001111001100011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001101011100010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100001101011100010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001011001100011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000101000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100001001111001100011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000010010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000001101000000010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000010000110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000010000111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000010000111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000010001000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000010001000100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/srli/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/srli/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..0bc90e1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srli/cekirdek_ps_bin.txt
@@ -0,0 +1,281 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010000111100
diff --git a/verilog/dv/test_c0/coe/srli/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/srli/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..9e47d92
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srli/cekirdek_ps_hex.txt
@@ -0,0 +1,281 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010430
+00010434
+00010438
+0001043c
+0001043c
diff --git a/verilog/dv/test_c0/coe/srli/cekirdek_yo.txt b/verilog/dv/test_c0/coe/srli/cekirdek_yo.txt
new file mode 100644
index 0000000..7d3c8fb
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srli/cekirdek_yo.txt
@@ -0,0 +1,225 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_10000000000000000000000000000000
+00000000000000010000000101111000_01110_10000000000000000000000000000000
+00000000000000010000000101111100_00111_10000000000000000000000000000000
+00000000000000010000000110000000_00011_00000000000000000000000000000010
+00000000000000010000000110001000_00001_10000000000000000000000000000000
+00000000000000010000000110001100_01110_01000000000000000000000000000000
+00000000000000010000000110010000_00111_01000000000000000000000000000000
+00000000000000010000000110010100_00011_00000000000000000000000000000011
+00000000000000010000000110011100_00001_10000000000000000000000000000000
+00000000000000010000000110100000_01110_00000001000000000000000000000000
+00000000000000010000000110100100_00111_00000001000000000000000000000000
+00000000000000010000000110101000_00011_00000000000000000000000000000100
+00000000000000010000000110110000_00001_10000000000000000000000000000000
+00000000000000010000000110110100_01110_00000000000000100000000000000000
+00000000000000010000000110111000_00111_00000000000000100000000000000000
+00000000000000010000000110111100_00011_00000000000000000000000000000101
+00000000000000010000000111000100_00001_10000000000000000000000000000000
+00000000000000010000000111001000_00001_10000000000000000000000000000001
+00000000000000010000000111001100_01110_00000000000000000000000000000001
+00000000000000010000000111010000_00111_00000000000000000000000000000001
+00000000000000010000000111010100_00011_00000000000000000000000000000110
+00000000000000010000000111011100_00001_11111111111111111111111111111111
+00000000000000010000000111100000_01110_11111111111111111111111111111111
+00000000000000010000000111100100_00111_11111111111111111111111111111111
+00000000000000010000000111101000_00011_00000000000000000000000000000111
+00000000000000010000000111110000_00001_11111111111111111111111111111111
+00000000000000010000000111110100_01110_01111111111111111111111111111111
+00000000000000010000000111111000_00111_10000000000000000000000000000000
+00000000000000010000000111111100_00111_01111111111111111111111111111111
+00000000000000010000001000000000_00011_00000000000000000000000000001000
+00000000000000010000001000001000_00001_11111111111111111111111111111111
+00000000000000010000001000001100_01110_00000001111111111111111111111111
+00000000000000010000001000010000_00111_00000010000000000000000000000000
+00000000000000010000001000010100_00111_00000001111111111111111111111111
+00000000000000010000001000011000_00011_00000000000000000000000000001001
+00000000000000010000001000100000_00001_11111111111111111111111111111111
+00000000000000010000001000100100_01110_00000000000000111111111111111111
+00000000000000010000001000101000_00111_00000000000001000000000000000000
+00000000000000010000001000101100_00111_00000000000000111111111111111111
+00000000000000010000001000110000_00011_00000000000000000000000000001010
+00000000000000010000001000111000_00001_11111111111111111111111111111111
+00000000000000010000001000111100_01110_00000000000000000000000000000001
+00000000000000010000001001000000_00111_00000000000000000000000000000001
+00000000000000010000001001000100_00011_00000000000000000000000000001011
+00000000000000010000001001001100_00001_00100001001000010010000000000000
+00000000000000010000001001010000_00001_00100001001000010010000100100001
+00000000000000010000001001010100_01110_00100001001000010010000100100001
+00000000000000010000001001011000_00111_00100001001000010010000000000000
+00000000000000010000001001011100_00111_00100001001000010010000100100001
+00000000000000010000001001100000_00011_00000000000000000000000000001100
+00000000000000010000001001101000_00001_00100001001000010010000000000000
+00000000000000010000001001101100_00001_00100001001000010010000100100001
+00000000000000010000001001110000_01110_00010000100100001001000010010000
+00000000000000010000001001110100_00111_00010000100100001001000000000000
+00000000000000010000001001111000_00111_00010000100100001001000010010000
+00000000000000010000001001111100_00011_00000000000000000000000000001101
+00000000000000010000001010000100_00001_00100001001000010010000000000000
+00000000000000010000001010001000_00001_00100001001000010010000100100001
+00000000000000010000001010001100_01110_00000000010000100100001001000010
+00000000000000010000001010010000_00111_00000000010000100100000000000000
+00000000000000010000001010010100_00111_00000000010000100100001001000010
+00000000000000010000001010011000_00011_00000000000000000000000000001110
+00000000000000010000001010100000_00001_00100001001000010010000000000000
+00000000000000010000001010100100_00001_00100001001000010010000100100001
+00000000000000010000001010101000_01110_00000000000000001000010010000100
+00000000000000010000001010101100_00111_00000000000000001000000000000000
+00000000000000010000001010110000_00111_00000000000000001000010010000100
+00000000000000010000001010110100_00011_00000000000000000000000000001111
+00000000000000010000001010111100_00001_00100001001000010010000000000000
+00000000000000010000001011000000_00001_00100001001000010010000100100001
+00000000000000010000001011000100_01110_00000000000000000000000000000000
+00000000000000010000001011001000_00111_00000000000000000000000000000000
+00000000000000010000001011001100_00011_00000000000000000000000000010000
+00000000000000010000001011010100_00001_10000000000000000000000000000000
+00000000000000010000001011011000_00001_00000001000000000000000000000000
+00000000000000010000001011011100_00111_00000001000000000000000000000000
+00000000000000010000001011100000_00011_00000000000000000000000000010001
+00000000000000010000001011101000_00100_00000000000000000000000000000000
+00000000000000010000001011101100_00001_10000000000000000000000000000000
+00000000000000010000001011110000_01110_00000001000000000000000000000000
+00000000000000010000001011110100_00110_00000001000000000000000000000000
+00000000000000010000001011111000_00100_00000000000000000000000000000001
+00000000000000010000001011111100_00101_00000000000000000000000000000010
+00000000000000010000001011101100_00001_10000000000000000000000000000000
+00000000000000010000001011110000_01110_00000001000000000000000000000000
+00000000000000010000001011110100_00110_00000001000000000000000000000000
+00000000000000010000001011111000_00100_00000000000000000000000000000010
+00000000000000010000001011111100_00101_00000000000000000000000000000010
+00000000000000010000001100000100_00111_00000001000000000000000000000000
+00000000000000010000001100001000_00011_00000000000000000000000000010010
+00000000000000010000001100010000_00100_00000000000000000000000000000000
+00000000000000010000001100010100_00001_10000000000000000000000000000000
+00000000000000010000001100011000_01110_00000000000000100000000000000000
+00000000000000010000001100011100_00000_00000000000000000000000000000000
+00000000000000010000001100100000_00110_00000000000000100000000000000000
+00000000000000010000001100100100_00100_00000000000000000000000000000001
+00000000000000010000001100101000_00101_00000000000000000000000000000010
+00000000000000010000001100010100_00001_10000000000000000000000000000000
+00000000000000010000001100011000_01110_00000000000000100000000000000000
+00000000000000010000001100011100_00000_00000000000000000000000000000000
+00000000000000010000001100100000_00110_00000000000000100000000000000000
+00000000000000010000001100100100_00100_00000000000000000000000000000010
+00000000000000010000001100101000_00101_00000000000000000000000000000010
+00000000000000010000001100110000_00111_00000000000000100000000000000000
+00000000000000010000001100110100_00011_00000000000000000000000000010011
+00000000000000010000001100111100_00100_00000000000000000000000000000000
+00000000000000010000001101000000_00001_10000000000000000000000000000000
+00000000000000010000001101000100_00001_10000000000000000000000000000001
+00000000000000010000001101001000_01110_00000000000000000000000000000001
+00000000000000010000001101001100_00000_00000000000000000000000000000000
+00000000000000010000001101010000_00000_00000000000000000000000000000000
+00000000000000010000001101010100_00110_00000000000000000000000000000001
+00000000000000010000001101011000_00100_00000000000000000000000000000001
+00000000000000010000001101011100_00101_00000000000000000000000000000010
+00000000000000010000001101000000_00001_10000000000000000000000000000000
+00000000000000010000001101000100_00001_10000000000000000000000000000001
+00000000000000010000001101001000_01110_00000000000000000000000000000001
+00000000000000010000001101001100_00000_00000000000000000000000000000000
+00000000000000010000001101010000_00000_00000000000000000000000000000000
+00000000000000010000001101010100_00110_00000000000000000000000000000001
+00000000000000010000001101011000_00100_00000000000000000000000000000010
+00000000000000010000001101011100_00101_00000000000000000000000000000010
+00000000000000010000001101100100_00111_00000000000000000000000000000001
+00000000000000010000001101101000_00011_00000000000000000000000000010100
+00000000000000010000001101110000_00100_00000000000000000000000000000000
+00000000000000010000001101110100_00001_10000000000000000000000000000000
+00000000000000010000001101111000_01110_00000001000000000000000000000000
+00000000000000010000001101111100_00100_00000000000000000000000000000001
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001101110100_00001_10000000000000000000000000000000
+00000000000000010000001101111000_01110_00000001000000000000000000000000
+00000000000000010000001101111100_00100_00000000000000000000000000000010
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00111_00000001000000000000000000000000
+00000000000000010000001110001100_00011_00000000000000000000000000010101
+00000000000000010000001110010100_00100_00000000000000000000000000000000
+00000000000000010000001110011000_00001_10000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00000000000000100000000000000000
+00000000000000010000001110100100_00100_00000000000000000000000000000001
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110011000_00001_10000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00000000000000100000000000000000
+00000000000000010000001110100100_00100_00000000000000000000000000000010
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110110000_00111_00000000000000100000000000000000
+00000000000000010000001110110100_00011_00000000000000000000000000010110
+00000000000000010000001110111100_00100_00000000000000000000000000000000
+00000000000000010000001111000000_00001_10000000000000000000000000000000
+00000000000000010000001111000100_00001_10000000000000000000000000000001
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111001100_00000_00000000000000000000000000000000
+00000000000000010000001111010000_01110_00000000000000000000000000000001
+00000000000000010000001111010100_00100_00000000000000000000000000000001
+00000000000000010000001111011000_00101_00000000000000000000000000000010
+00000000000000010000001111000000_00001_10000000000000000000000000000000
+00000000000000010000001111000100_00001_10000000000000000000000000000001
+00000000000000010000001111001000_00000_00000000000000000000000000000000
+00000000000000010000001111001100_00000_00000000000000000000000000000000
+00000000000000010000001111010000_01110_00000000000000000000000000000001
+00000000000000010000001111010100_00100_00000000000000000000000000000010
+00000000000000010000001111011000_00101_00000000000000000000000000000010
+00000000000000010000001111100000_00111_00000000000000000000000000000001
+00000000000000010000001111100100_00011_00000000000000000000000000010111
+00000000000000010000001111101100_00001_00000000000000000000000000000000
+00000000000000010000001111110000_00111_00000000000000000000000000000000
+00000000000000010000001111110100_00011_00000000000000000000000000011000
+00000000000000010000001111111100_00001_00000000000000000000000000100001
+00000000000000010000010000000000_00000_00000000000000000000000000000000
+00000000000000010000010000000100_00111_00000000000000000000000000000000
+00000000000000010000010000001000_00011_00000000000000000000000000011001
+00000000000000010000010000110100_00011_00000000000000000000000000000001
+00000000000000010000010000111000_10001_00000000000000000000000001011101
+00000000000000010000010000111100_01010_00000000000000000000000000000000
+00000000000000010000010000111100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/srli/dmem.coe b/verilog/dv/test_c0/coe/srli/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srli/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/srli/imem.coe b/verilog/dv/test_c0/coe/srli/imem.coe
new file mode 100644
index 0000000..91fa71d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/srli/imem.coe
@@ -0,0 +1,289 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+800000B7,
+0000D713,
+800003B7,
+00200193,
+28771863,
+800000B7,
+0010D713,
+400003B7,
+00300193,
+26771E63,
+800000B7,
+0070D713,
+010003B7,
+00400193,
+26771463,
+800000B7,
+00E0D713,
+000203B7,
+00500193,
+24771A63,
+800000B7,
+00108093,
+01F0D713,
+00100393,
+00600193,
+22771E63,
+FFF00093,
+0000D713,
+FFF00393,
+00700193,
+22771463,
+FFF00093,
+0010D713,
+800003B7,
+FFF38393,
+00800193,
+20771863,
+FFF00093,
+0070D713,
+020003B7,
+FFF38393,
+00900193,
+1E771C63,
+FFF00093,
+00E0D713,
+000403B7,
+FFF38393,
+00A00193,
+1E771063,
+FFF00093,
+01F0D713,
+00100393,
+00B00193,
+1C771663,
+212120B7,
+12108093,
+0000D713,
+212123B7,
+12138393,
+00C00193,
+1A771863,
+212120B7,
+12108093,
+0010D713,
+109093B7,
+09038393,
+00D00193,
+18771A63,
+212120B7,
+12108093,
+0070D713,
+004243B7,
+24238393,
+00E00193,
+16771C63,
+212120B7,
+12108093,
+00E0D713,
+000083B7,
+48438393,
+00F00193,
+14771E63,
+212120B7,
+12108093,
+01F0D713,
+00000393,
+01000193,
+14771263,
+800000B7,
+0070D093,
+010003B7,
+01100193,
+12709863,
+00000213,
+800000B7,
+0070D713,
+00070313,
+00120213,
+00200293,
+FE5216E3,
+010003B7,
+01200193,
+10731463,
+00000213,
+800000B7,
+00E0D713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+000203B7,
+01300193,
+0C731E63,
+00000213,
+800000B7,
+00108093,
+01F0D713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+00100393,
+01400193,
+0A731463,
+00000213,
+800000B7,
+0070D713,
+00120213,
+00200293,
+FE5218E3,
+010003B7,
+01500193,
+08771263,
+00000213,
+800000B7,
+00000013,
+00E0D713,
+00120213,
+00200293,
+FE5216E3,
+000203B7,
+01600193,
+04771E63,
+00000213,
+800000B7,
+00108093,
+00000013,
+00000013,
+01F0D713,
+00120213,
+00200293,
+FE5212E3,
+00100393,
+01700193,
+02771663,
+00405093,
+00000393,
+01800193,
+00709E63,
+02100093,
+00A0D013,
+00000393,
+01900193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sub/cekirdek_dy.txt b/verilog/dv/test_c0/coe/sub/cekirdek_dy.txt
new file mode 100644
index 0000000..b867236
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sub/cekirdek_dy.txt
@@ -0,0 +1,699 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001011001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001000011101110001101001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111110000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001111001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000001110110111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001001001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001011001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001000001110110111_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001101001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000001110110111_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100111000001110010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011101110001101001100011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001110110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011101110001101001100011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011101110001101001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001000000100110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100010000000100010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01111111111111111000001110110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100111000001110010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010011101110001101001100011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000000010110111_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111111111000000100110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000001000001110110111_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100111000001110010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011101110001101001100011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000001110010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001111001100011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000100010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111000000000001110010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011101110001001001100011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000010010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100000000000100010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100011101110001011001100011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000000010110011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100001001101001100011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000000100110011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100010001111001100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000100001000000010110011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000011100001001010001100011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101100011100110001111001100011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011100110001011001100011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011100110001110001100011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001100001100011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010011101110001001001100011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011110011101110001101001100011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000110100000000000010010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001010001100011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111000000000000010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001110001100011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001010001100011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110000000000000110010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001000001100011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001110100000000000110010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000011101110001101001100011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000110010011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001001001100011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000010010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001110010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000000110010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001110001100011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000010010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000001110010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000110010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001010001100011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000101100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000100010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000010010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000011100110011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000001110010011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000100000000000110010011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011101110001110001100011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000100000000000010010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000100000000000100110011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000001110010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001000000000000110010011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001001001100011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000000010010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000001000000100110011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000000000001110010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000000000110010011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001100001100011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000000000000000000010110011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010000000000000110010011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000010010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111000000000000100010011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000001000001000000000110011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010010100000000000110010011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sub/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/sub/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..03e3ccc
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sub/cekirdek_ps_bin.txt
@@ -0,0 +1,488 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011001010000
+00000000000000010000011001010100
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001011100
diff --git a/verilog/dv/test_c0/coe/sub/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/sub/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..4ff3a79
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sub/cekirdek_ps_hex.txt
@@ -0,0 +1,488 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010650
+00010654
+00010658
+0001065c
+0001065c
diff --git a/verilog/dv/test_c0/coe/sub/cekirdek_yo.txt b/verilog/dv/test_c0/coe/sub/cekirdek_yo.txt
new file mode 100644
index 0000000..75ea4bf
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sub/cekirdek_yo.txt
@@ -0,0 +1,402 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000000000000000000000000000
+00000000000000010000000101111000_00010_00000000000000000000000000000000
+00000000000000010000000101111100_01110_00000000000000000000000000000000
+00000000000000010000000110000000_00111_00000000000000000000000000000000
+00000000000000010000000110000100_00011_00000000000000000000000000000010
+00000000000000010000000110001100_00001_00000000000000000000000000000001
+00000000000000010000000110010000_00010_00000000000000000000000000000001
+00000000000000010000000110010100_01110_00000000000000000000000000000000
+00000000000000010000000110011000_00111_00000000000000000000000000000000
+00000000000000010000000110011100_00011_00000000000000000000000000000011
+00000000000000010000000110100100_00001_00000000000000000000000000000011
+00000000000000010000000110101000_00010_00000000000000000000000000000111
+00000000000000010000000110101100_01110_11111111111111111111111111111100
+00000000000000010000000110110000_00111_11111111111111111111111111111100
+00000000000000010000000110110100_00011_00000000000000000000000000000100
+00000000000000010000000110111100_00001_00000000000000000000000000000000
+00000000000000010000000111000000_00010_11111111111111111000000000000000
+00000000000000010000000111000100_01110_00000000000000001000000000000000
+00000000000000010000000111001000_00111_00000000000000001000000000000000
+00000000000000010000000111001100_00011_00000000000000000000000000000101
+00000000000000010000000111010100_00001_10000000000000000000000000000000
+00000000000000010000000111011000_00010_00000000000000000000000000000000
+00000000000000010000000111011100_01110_10000000000000000000000000000000
+00000000000000010000000111100000_00111_10000000000000000000000000000000
+00000000000000010000000111100100_00011_00000000000000000000000000000110
+00000000000000010000000111101100_00001_10000000000000000000000000000000
+00000000000000010000000111110000_00010_11111111111111111000000000000000
+00000000000000010000000111110100_01110_10000000000000001000000000000000
+00000000000000010000000111111000_00111_10000000000000001000000000000000
+00000000000000010000000111111100_00011_00000000000000000000000000000111
+00000000000000010000001000000100_00001_00000000000000000000000000000000
+00000000000000010000001000001000_00010_00000000000000001000000000000000
+00000000000000010000001000001100_00010_00000000000000000111111111111111
+00000000000000010000001000010000_01110_11111111111111111000000000000001
+00000000000000010000001000010100_00111_11111111111111111000000000000000
+00000000000000010000001000011000_00111_11111111111111111000000000000001
+00000000000000010000001000011100_00011_00000000000000000000000000001000
+00000000000000010000001000100100_00001_10000000000000000000000000000000
+00000000000000010000001000101000_00001_01111111111111111111111111111111
+00000000000000010000001000101100_00010_00000000000000000000000000000000
+00000000000000010000001000110000_01110_01111111111111111111111111111111
+00000000000000010000001000110100_00111_10000000000000000000000000000000
+00000000000000010000001000111000_00111_01111111111111111111111111111111
+00000000000000010000001000111100_00011_00000000000000000000000000001001
+00000000000000010000001001000100_00001_10000000000000000000000000000000
+00000000000000010000001001001000_00001_01111111111111111111111111111111
+00000000000000010000001001001100_00010_00000000000000001000000000000000
+00000000000000010000001001010000_00010_00000000000000000111111111111111
+00000000000000010000001001010100_01110_01111111111111111000000000000000
+00000000000000010000001001011000_00111_01111111111111111000000000000000
+00000000000000010000001001011100_00011_00000000000000000000000000001010
+00000000000000010000001001100100_00001_10000000000000000000000000000000
+00000000000000010000001001101000_00010_00000000000000001000000000000000
+00000000000000010000001001101100_00010_00000000000000000111111111111111
+00000000000000010000001001110000_01110_01111111111111111000000000000001
+00000000000000010000001001110100_00111_01111111111111111000000000000000
+00000000000000010000001001111000_00111_01111111111111111000000000000001
+00000000000000010000001001111100_00011_00000000000000000000000000001011
+00000000000000010000001010000100_00001_10000000000000000000000000000000
+00000000000000010000001010001000_00001_01111111111111111111111111111111
+00000000000000010000001010001100_00010_11111111111111111000000000000000
+00000000000000010000001010010000_01110_10000000000000000111111111111111
+00000000000000010000001010010100_00111_10000000000000001000000000000000
+00000000000000010000001010011000_00111_10000000000000000111111111111111
+00000000000000010000001010011100_00011_00000000000000000000000000001100
+00000000000000010000001010100100_00001_00000000000000000000000000000000
+00000000000000010000001010101000_00010_11111111111111111111111111111111
+00000000000000010000001010101100_01110_00000000000000000000000000000001
+00000000000000010000001010110000_00111_00000000000000000000000000000001
+00000000000000010000001010110100_00011_00000000000000000000000000001101
+00000000000000010000001010111100_00001_11111111111111111111111111111111
+00000000000000010000001011000000_00010_00000000000000000000000000000001
+00000000000000010000001011000100_01110_11111111111111111111111111111110
+00000000000000010000001011001000_00111_11111111111111111111111111111110
+00000000000000010000001011001100_00011_00000000000000000000000000001110
+00000000000000010000001011010100_00001_11111111111111111111111111111111
+00000000000000010000001011011000_00010_11111111111111111111111111111111
+00000000000000010000001011011100_01110_00000000000000000000000000000000
+00000000000000010000001011100000_00111_00000000000000000000000000000000
+00000000000000010000001011100100_00011_00000000000000000000000000001111
+00000000000000010000001011101100_00001_00000000000000000000000000001101
+00000000000000010000001011110000_00010_00000000000000000000000000001011
+00000000000000010000001011110100_00001_00000000000000000000000000000010
+00000000000000010000001011111000_00111_00000000000000000000000000000010
+00000000000000010000001011111100_00011_00000000000000000000000000010000
+00000000000000010000001100000100_00001_00000000000000000000000000001110
+00000000000000010000001100001000_00010_00000000000000000000000000001011
+00000000000000010000001100001100_00010_00000000000000000000000000000011
+00000000000000010000001100010000_00111_00000000000000000000000000000011
+00000000000000010000001100010100_00011_00000000000000000000000000010001
+00000000000000010000001100011100_00001_00000000000000000000000000001101
+00000000000000010000001100100000_00001_00000000000000000000000000000000
+00000000000000010000001100100100_00111_00000000000000000000000000000000
+00000000000000010000001100101000_00011_00000000000000000000000000010010
+00000000000000010000001100110000_00100_00000000000000000000000000000000
+00000000000000010000001100110100_00001_00000000000000000000000000001101
+00000000000000010000001100111000_00010_00000000000000000000000000001011
+00000000000000010000001100111100_01110_00000000000000000000000000000010
+00000000000000010000001101000000_00110_00000000000000000000000000000010
+00000000000000010000001101000100_00100_00000000000000000000000000000001
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001100110100_00001_00000000000000000000000000001101
+00000000000000010000001100111000_00010_00000000000000000000000000001011
+00000000000000010000001100111100_01110_00000000000000000000000000000010
+00000000000000010000001101000000_00110_00000000000000000000000000000010
+00000000000000010000001101000100_00100_00000000000000000000000000000010
+00000000000000010000001101001000_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00111_00000000000000000000000000000010
+00000000000000010000001101010100_00011_00000000000000000000000000010011
+00000000000000010000001101011100_00100_00000000000000000000000000000000
+00000000000000010000001101100000_00001_00000000000000000000000000001110
+00000000000000010000001101100100_00010_00000000000000000000000000001011
+00000000000000010000001101101000_01110_00000000000000000000000000000011
+00000000000000010000001101101100_00000_00000000000000000000000000000000
+00000000000000010000001101110000_00110_00000000000000000000000000000011
+00000000000000010000001101110100_00100_00000000000000000000000000000001
+00000000000000010000001101111000_00101_00000000000000000000000000000010
+00000000000000010000001101100000_00001_00000000000000000000000000001110
+00000000000000010000001101100100_00010_00000000000000000000000000001011
+00000000000000010000001101101000_01110_00000000000000000000000000000011
+00000000000000010000001101101100_00000_00000000000000000000000000000000
+00000000000000010000001101110000_00110_00000000000000000000000000000011
+00000000000000010000001101110100_00100_00000000000000000000000000000010
+00000000000000010000001101111000_00101_00000000000000000000000000000010
+00000000000000010000001110000000_00111_00000000000000000000000000000011
+00000000000000010000001110000100_00011_00000000000000000000000000010100
+00000000000000010000001110001100_00100_00000000000000000000000000000000
+00000000000000010000001110010000_00001_00000000000000000000000000001111
+00000000000000010000001110010100_00010_00000000000000000000000000001011
+00000000000000010000001110011000_01110_00000000000000000000000000000100
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_00110_00000000000000000000000000000100
+00000000000000010000001110101000_00100_00000000000000000000000000000001
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110010000_00001_00000000000000000000000000001111
+00000000000000010000001110010100_00010_00000000000000000000000000001011
+00000000000000010000001110011000_01110_00000000000000000000000000000100
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_00110_00000000000000000000000000000100
+00000000000000010000001110101000_00100_00000000000000000000000000000010
+00000000000000010000001110101100_00101_00000000000000000000000000000010
+00000000000000010000001110110100_00111_00000000000000000000000000000100
+00000000000000010000001110111000_00011_00000000000000000000000000010101
+00000000000000010000001111000000_00100_00000000000000000000000000000000
+00000000000000010000001111000100_00001_00000000000000000000000000001101
+00000000000000010000001111001000_00010_00000000000000000000000000001011
+00000000000000010000001111001100_01110_00000000000000000000000000000010
+00000000000000010000001111010000_00100_00000000000000000000000000000001
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001111000100_00001_00000000000000000000000000001101
+00000000000000010000001111001000_00010_00000000000000000000000000001011
+00000000000000010000001111001100_01110_00000000000000000000000000000010
+00000000000000010000001111010000_00100_00000000000000000000000000000010
+00000000000000010000001111010100_00101_00000000000000000000000000000010
+00000000000000010000001111011100_00111_00000000000000000000000000000010
+00000000000000010000001111100000_00011_00000000000000000000000000010110
+00000000000000010000001111101000_00100_00000000000000000000000000000000
+00000000000000010000001111101100_00001_00000000000000000000000000001110
+00000000000000010000001111110000_00010_00000000000000000000000000001011
+00000000000000010000001111110100_00000_00000000000000000000000000000000
+00000000000000010000001111111000_01110_00000000000000000000000000000011
+00000000000000010000001111111100_00100_00000000000000000000000000000001
+00000000000000010000010000000000_00101_00000000000000000000000000000010
+00000000000000010000001111101100_00001_00000000000000000000000000001110
+00000000000000010000001111110000_00010_00000000000000000000000000001011
+00000000000000010000001111110100_00000_00000000000000000000000000000000
+00000000000000010000001111111000_01110_00000000000000000000000000000011
+00000000000000010000001111111100_00100_00000000000000000000000000000010
+00000000000000010000010000000000_00101_00000000000000000000000000000010
+00000000000000010000010000001000_00111_00000000000000000000000000000011
+00000000000000010000010000001100_00011_00000000000000000000000000010111
+00000000000000010000010000010100_00100_00000000000000000000000000000000
+00000000000000010000010000011000_00001_00000000000000000000000000001111
+00000000000000010000010000011100_00010_00000000000000000000000000001011
+00000000000000010000010000100000_00000_00000000000000000000000000000000
+00000000000000010000010000100100_00000_00000000000000000000000000000000
+00000000000000010000010000101000_01110_00000000000000000000000000000100
+00000000000000010000010000101100_00100_00000000000000000000000000000001
+00000000000000010000010000110000_00101_00000000000000000000000000000010
+00000000000000010000010000011000_00001_00000000000000000000000000001111
+00000000000000010000010000011100_00010_00000000000000000000000000001011
+00000000000000010000010000100000_00000_00000000000000000000000000000000
+00000000000000010000010000100100_00000_00000000000000000000000000000000
+00000000000000010000010000101000_01110_00000000000000000000000000000100
+00000000000000010000010000101100_00100_00000000000000000000000000000010
+00000000000000010000010000110000_00101_00000000000000000000000000000010
+00000000000000010000010000111000_00111_00000000000000000000000000000100
+00000000000000010000010000111100_00011_00000000000000000000000000011000
+00000000000000010000010001000100_00100_00000000000000000000000000000000
+00000000000000010000010001001000_00001_00000000000000000000000000001101
+00000000000000010000010001001100_00000_00000000000000000000000000000000
+00000000000000010000010001010000_00010_00000000000000000000000000001011
+00000000000000010000010001010100_01110_00000000000000000000000000000010
+00000000000000010000010001011000_00100_00000000000000000000000000000001
+00000000000000010000010001011100_00101_00000000000000000000000000000010
+00000000000000010000010001001000_00001_00000000000000000000000000001101
+00000000000000010000010001001100_00000_00000000000000000000000000000000
+00000000000000010000010001010000_00010_00000000000000000000000000001011
+00000000000000010000010001010100_01110_00000000000000000000000000000010
+00000000000000010000010001011000_00100_00000000000000000000000000000010
+00000000000000010000010001011100_00101_00000000000000000000000000000010
+00000000000000010000010001100100_00111_00000000000000000000000000000010
+00000000000000010000010001101000_00011_00000000000000000000000000011001
+00000000000000010000010001110000_00100_00000000000000000000000000000000
+00000000000000010000010001110100_00001_00000000000000000000000000001110
+00000000000000010000010001111000_00000_00000000000000000000000000000000
+00000000000000010000010001111100_00010_00000000000000000000000000001011
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_01110_00000000000000000000000000000011
+00000000000000010000010010001000_00100_00000000000000000000000000000001
+00000000000000010000010010001100_00101_00000000000000000000000000000010
+00000000000000010000010001110100_00001_00000000000000000000000000001110
+00000000000000010000010001111000_00000_00000000000000000000000000000000
+00000000000000010000010001111100_00010_00000000000000000000000000001011
+00000000000000010000010010000000_00000_00000000000000000000000000000000
+00000000000000010000010010000100_01110_00000000000000000000000000000011
+00000000000000010000010010001000_00100_00000000000000000000000000000010
+00000000000000010000010010001100_00101_00000000000000000000000000000010
+00000000000000010000010010010100_00111_00000000000000000000000000000011
+00000000000000010000010010011000_00011_00000000000000000000000000011010
+00000000000000010000010010100000_00100_00000000000000000000000000000000
+00000000000000010000010010100100_00001_00000000000000000000000000001111
+00000000000000010000010010101000_00000_00000000000000000000000000000000
+00000000000000010000010010101100_00000_00000000000000000000000000000000
+00000000000000010000010010110000_00010_00000000000000000000000000001011
+00000000000000010000010010110100_01110_00000000000000000000000000000100
+00000000000000010000010010111000_00100_00000000000000000000000000000001
+00000000000000010000010010111100_00101_00000000000000000000000000000010
+00000000000000010000010010100100_00001_00000000000000000000000000001111
+00000000000000010000010010101000_00000_00000000000000000000000000000000
+00000000000000010000010010101100_00000_00000000000000000000000000000000
+00000000000000010000010010110000_00010_00000000000000000000000000001011
+00000000000000010000010010110100_01110_00000000000000000000000000000100
+00000000000000010000010010111000_00100_00000000000000000000000000000010
+00000000000000010000010010111100_00101_00000000000000000000000000000010
+00000000000000010000010011000100_00111_00000000000000000000000000000100
+00000000000000010000010011001000_00011_00000000000000000000000000011011
+00000000000000010000010011010000_00100_00000000000000000000000000000000
+00000000000000010000010011010100_00010_00000000000000000000000000001011
+00000000000000010000010011011000_00001_00000000000000000000000000001101
+00000000000000010000010011011100_01110_00000000000000000000000000000010
+00000000000000010000010011100000_00100_00000000000000000000000000000001
+00000000000000010000010011100100_00101_00000000000000000000000000000010
+00000000000000010000010011010100_00010_00000000000000000000000000001011
+00000000000000010000010011011000_00001_00000000000000000000000000001101
+00000000000000010000010011011100_01110_00000000000000000000000000000010
+00000000000000010000010011100000_00100_00000000000000000000000000000010
+00000000000000010000010011100100_00101_00000000000000000000000000000010
+00000000000000010000010011101100_00111_00000000000000000000000000000010
+00000000000000010000010011110000_00011_00000000000000000000000000011100
+00000000000000010000010011111000_00100_00000000000000000000000000000000
+00000000000000010000010011111100_00010_00000000000000000000000000001011
+00000000000000010000010100000000_00001_00000000000000000000000000001110
+00000000000000010000010100000100_00000_00000000000000000000000000000000
+00000000000000010000010100001000_01110_00000000000000000000000000000011
+00000000000000010000010100001100_00100_00000000000000000000000000000001
+00000000000000010000010100010000_00101_00000000000000000000000000000010
+00000000000000010000010011111100_00010_00000000000000000000000000001011
+00000000000000010000010100000000_00001_00000000000000000000000000001110
+00000000000000010000010100000100_00000_00000000000000000000000000000000
+00000000000000010000010100001000_01110_00000000000000000000000000000011
+00000000000000010000010100001100_00100_00000000000000000000000000000010
+00000000000000010000010100010000_00101_00000000000000000000000000000010
+00000000000000010000010100011000_00111_00000000000000000000000000000011
+00000000000000010000010100011100_00011_00000000000000000000000000011101
+00000000000000010000010100100100_00100_00000000000000000000000000000000
+00000000000000010000010100101000_00010_00000000000000000000000000001011
+00000000000000010000010100101100_00001_00000000000000000000000000001111
+00000000000000010000010100110000_00000_00000000000000000000000000000000
+00000000000000010000010100110100_00000_00000000000000000000000000000000
+00000000000000010000010100111000_01110_00000000000000000000000000000100
+00000000000000010000010100111100_00100_00000000000000000000000000000001
+00000000000000010000010101000000_00101_00000000000000000000000000000010
+00000000000000010000010100101000_00010_00000000000000000000000000001011
+00000000000000010000010100101100_00001_00000000000000000000000000001111
+00000000000000010000010100110000_00000_00000000000000000000000000000000
+00000000000000010000010100110100_00000_00000000000000000000000000000000
+00000000000000010000010100111000_01110_00000000000000000000000000000100
+00000000000000010000010100111100_00100_00000000000000000000000000000010
+00000000000000010000010101000000_00101_00000000000000000000000000000010
+00000000000000010000010101001000_00111_00000000000000000000000000000100
+00000000000000010000010101001100_00011_00000000000000000000000000011110
+00000000000000010000010101010100_00100_00000000000000000000000000000000
+00000000000000010000010101011000_00010_00000000000000000000000000001011
+00000000000000010000010101011100_00000_00000000000000000000000000000000
+00000000000000010000010101100000_00001_00000000000000000000000000001101
+00000000000000010000010101100100_01110_00000000000000000000000000000010
+00000000000000010000010101101000_00100_00000000000000000000000000000001
+00000000000000010000010101101100_00101_00000000000000000000000000000010
+00000000000000010000010101011000_00010_00000000000000000000000000001011
+00000000000000010000010101011100_00000_00000000000000000000000000000000
+00000000000000010000010101100000_00001_00000000000000000000000000001101
+00000000000000010000010101100100_01110_00000000000000000000000000000010
+00000000000000010000010101101000_00100_00000000000000000000000000000010
+00000000000000010000010101101100_00101_00000000000000000000000000000010
+00000000000000010000010101110100_00111_00000000000000000000000000000010
+00000000000000010000010101111000_00011_00000000000000000000000000011111
+00000000000000010000010110000000_00100_00000000000000000000000000000000
+00000000000000010000010110000100_00010_00000000000000000000000000001011
+00000000000000010000010110001000_00000_00000000000000000000000000000000
+00000000000000010000010110001100_00001_00000000000000000000000000001110
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000000000000000000011
+00000000000000010000010110011000_00100_00000000000000000000000000000001
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110000100_00010_00000000000000000000000000001011
+00000000000000010000010110001000_00000_00000000000000000000000000000000
+00000000000000010000010110001100_00001_00000000000000000000000000001110
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110010100_01110_00000000000000000000000000000011
+00000000000000010000010110011000_00100_00000000000000000000000000000010
+00000000000000010000010110011100_00101_00000000000000000000000000000010
+00000000000000010000010110100100_00111_00000000000000000000000000000011
+00000000000000010000010110101000_00011_00000000000000000000000000100000
+00000000000000010000010110110000_00100_00000000000000000000000000000000
+00000000000000010000010110110100_00010_00000000000000000000000000001011
+00000000000000010000010110111000_00000_00000000000000000000000000000000
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00001_00000000000000000000000000001111
+00000000000000010000010111000100_01110_00000000000000000000000000000100
+00000000000000010000010111001000_00100_00000000000000000000000000000001
+00000000000000010000010111001100_00101_00000000000000000000000000000010
+00000000000000010000010110110100_00010_00000000000000000000000000001011
+00000000000000010000010110111000_00000_00000000000000000000000000000000
+00000000000000010000010110111100_00000_00000000000000000000000000000000
+00000000000000010000010111000000_00001_00000000000000000000000000001111
+00000000000000010000010111000100_01110_00000000000000000000000000000100
+00000000000000010000010111001000_00100_00000000000000000000000000000010
+00000000000000010000010111001100_00101_00000000000000000000000000000010
+00000000000000010000010111010100_00111_00000000000000000000000000000100
+00000000000000010000010111011000_00011_00000000000000000000000000100001
+00000000000000010000010111100000_00001_11111111111111111111111111110001
+00000000000000010000010111100100_00010_00000000000000000000000000001111
+00000000000000010000010111101000_00111_00000000000000000000000000001111
+00000000000000010000010111101100_00011_00000000000000000000000000100010
+00000000000000010000010111110100_00001_00000000000000000000000000100000
+00000000000000010000010111111000_00010_00000000000000000000000000100000
+00000000000000010000010111111100_00111_00000000000000000000000000100000
+00000000000000010000011000000000_00011_00000000000000000000000000100011
+00000000000000010000011000001000_00001_00000000000000000000000000000000
+00000000000000010000011000001100_00111_00000000000000000000000000000000
+00000000000000010000011000010000_00011_00000000000000000000000000100100
+00000000000000010000011000011000_00001_00000000000000000000000000010000
+00000000000000010000011000011100_00010_00000000000000000000000000011110
+00000000000000010000011000100000_00000_11111111111111111111111111110010
+00000000000000010000011000100100_00111_00000000000000000000000000000000
+00000000000000010000011000101000_00011_00000000000000000000000000100101
+00000000000000010000011001010100_00011_00000000000000000000000000000001
+00000000000000010000011001011000_10001_00000000000000000000000001011101
+00000000000000010000011001011100_01010_00000000000000000000000000000000
+00000000000000010000011001011100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sub/dmem.coe b/verilog/dv/test_c0/coe/sub/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sub/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sub/imem.coe b/verilog/dv/test_c0/coe/sub/imem.coe
new file mode 100644
index 0000000..510a581
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sub/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00000093,
+00000113,
+40208733,
+00000393,
+00200193,
+4A771663,
+00100093,
+00100113,
+40208733,
+00000393,
+00300193,
+48771A63,
+00300093,
+00700113,
+40208733,
+FFC00393,
+00400193,
+46771E63,
+00000093,
+FFFF8137,
+40208733,
+000083B7,
+00500193,
+46771263,
+800000B7,
+00000113,
+40208733,
+800003B7,
+00600193,
+44771663,
+800000B7,
+FFFF8137,
+40208733,
+800083B7,
+00700193,
+42771A63,
+00000093,
+00008137,
+FFF10113,
+40208733,
+FFFF83B7,
+00138393,
+00800193,
+40771A63,
+800000B7,
+FFF08093,
+00000113,
+40208733,
+800003B7,
+FFF38393,
+00900193,
+3E771A63,
+800000B7,
+FFF08093,
+00008137,
+FFF10113,
+40208733,
+7FFF83B7,
+00A00193,
+3C771A63,
+800000B7,
+00008137,
+FFF10113,
+40208733,
+7FFF83B7,
+00138393,
+00B00193,
+3A771A63,
+800000B7,
+FFF08093,
+FFFF8137,
+40208733,
+800083B7,
+FFF38393,
+00C00193,
+38771A63,
+00000093,
+FFF00113,
+40208733,
+00100393,
+00D00193,
+36771E63,
+FFF00093,
+00100113,
+40208733,
+FFE00393,
+00E00193,
+36771263,
+FFF00093,
+FFF00113,
+40208733,
+00000393,
+00F00193,
+34771663,
+00D00093,
+00B00113,
+402080B3,
+00200393,
+01000193,
+32709A63,
+00E00093,
+00B00113,
+40208133,
+00300393,
+01100193,
+30711E63,
+00D00093,
+401080B3,
+00000393,
+01200193,
+30709463,
+00000213,
+00D00093,
+00B00113,
+40208733,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+00200393,
+01300193,
+2C731E63,
+00000213,
+00E00093,
+00B00113,
+40208733,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+00300393,
+01400193,
+2A731663,
+00000213,
+00F00093,
+00B00113,
+40208733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+00400393,
+01500193,
+26731C63,
+00000213,
+00D00093,
+00B00113,
+40208733,
+00120213,
+00200293,
+FE5216E3,
+00200393,
+01600193,
+24771863,
+00000213,
+00E00093,
+00B00113,
+00000013,
+40208733,
+00120213,
+00200293,
+FE5214E3,
+00300393,
+01700193,
+22771263,
+00000213,
+00F00093,
+00B00113,
+00000013,
+00000013,
+40208733,
+00120213,
+00200293,
+FE5212E3,
+00400393,
+01800193,
+1E771A63,
+00000213,
+00D00093,
+00000013,
+00B00113,
+40208733,
+00120213,
+00200293,
+FE5214E3,
+00200393,
+01900193,
+1C771463,
+00000213,
+00E00093,
+00000013,
+00B00113,
+00000013,
+40208733,
+00120213,
+00200293,
+FE5212E3,
+00300393,
+01A00193,
+18771C63,
+00000213,
+00F00093,
+00000013,
+00000013,
+00B00113,
+40208733,
+00120213,
+00200293,
+FE5212E3,
+00400393,
+01B00193,
+16771463,
+00000213,
+00B00113,
+00D00093,
+40208733,
+00120213,
+00200293,
+FE5216E3,
+00200393,
+01C00193,
+14771063,
+00000213,
+00B00113,
+00E00093,
+00000013,
+40208733,
+00120213,
+00200293,
+FE5214E3,
+00300393,
+01D00193,
+10771A63,
+00000213,
+00B00113,
+00F00093,
+00000013,
+00000013,
+40208733,
+00120213,
+00200293,
+FE5212E3,
+00400393,
+01E00193,
+0E771263,
+00000213,
+00B00113,
+00000013,
+00D00093,
+40208733,
+00120213,
+00200293,
+FE5214E3,
+00200393,
+01F00193,
+0A771C63,
+00000213,
+00B00113,
+00000013,
+00E00093,
+00000013,
+40208733,
+00120213,
+00200293,
+FE5212E3,
+00300393,
+02000193,
+08771463,
+00000213,
+00B00113,
+00000013,
+00000013,
+00F00093,
+40208733,
+00120213,
+00200293,
+FE5212E3,
+00400393,
+02100193,
+04771C63,
+FF100093,
+40100133,
+00F00393,
+02200193,
+04711263,
+02000093,
+40008133,
+02000393,
+02300193,
+02711863,
+400000B3,
+00000393,
+02400193,
+02709063,
+01000093,
+01E00113,
+40208033,
+00000393,
+02500193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sw/cekirdek_dy.txt b/verilog/dv/test_c0/coe/sw/cekirdek_dy.txt
new file mode 100644
index 0000000..32d3458
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sw/cekirdek_dy.txt
@@ -0,0 +1,1052 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101000110000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101010100000000100110111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010101000010000000100010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001010000000100011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001010011100000011_00000000000000010000000110001000_00000000000000000000000000000000_0_1_1_0_00000000101010100000000010101010_0111_1_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_0_0_00000000101010100000000010101010_0111_1_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_0_0_00000000101010100000000010101010_0111_1_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_0_0_00000000101010100000000010101010_0111_1_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_0_0_00000000101010100000000010101010_0111_1_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000000000000000000000000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000101010100000001110110111_00000000000000010000000110001100_00000000101010100000000010101010_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00001010101000111000001110010011_00000000000000010000000110010000_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110010100_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_01000110011101110001000001100011_00000000000000010000000110011000_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000000010010111_00000000000000010000000110011100_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11100110010000001000000010010011_00000000000000010000000110100000_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010000000001011000100110111_00000000000000010000000110100100_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10100000000000010000000100010011_00000000000000010000000110101000_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000001010001000100011_00000000000000010000000110101100_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000010000001010011100000011_00000000000000010000000110110000_00000000101010100000000010101010_0_1_1_0_10101010000000001010101000000000_0111_1_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_0_0_0_10101010000000001010101000000000_0111_1_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_0_0_0_10101010000000001010101000000000_0111_1_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_0_0_0_10101010000000001010101000000000_0111_1_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_0_0_0_10101010000000001010101000000000_0111_1_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_00000000101010100000000010101010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10101010000000001011001110110111_00000000000000010000000110110100_10101010000000001010101000000000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10100000000000111000001110010011_00000000000000010000000110111000_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000001100000000000110010011_00000000000000010000000110111100_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_01000010011101110001110001100011_00000000000000010000000111000000_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000000010010111_00000000000000010000000111000100_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11100011110000001000000010010011_00000000000000010000000111001000_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00001010101000000001000100110111_00000000000000010000000111001100_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10101010000000010000000100010011_00000000000000010000000111010000_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000001000001010010000100011_00000000000000010000000111010100_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000100000001010011100000011_00000000000000010000000111011000_10101010000000001010101000000000_0_1_1_0_00001010101000000000101010100000_0111_1_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_0_0_0_00001010101000000000101010100000_0111_1_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_0_0_0_00001010101000000000101010100000_0111_1_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_0_0_0_00001010101000000000101010100000_0111_1_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_0_0_0_00001010101000000000101010100000_0111_1_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_10101010000000001010101000000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001010101000000001001110110111_00000000000000010000000111011100_00001010101000000000101010100000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_10101010000000111000001110010011_00000000000000010000000111100000_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000010000000000000110010011_00000000000000010000000111100100_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_01000000011101110001100001100011_00000000000000010000000111101000_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000010010111_00000000000000010000000111101100_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11100001010000001000000010010011_00000000000000010000000111110000_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_10100000000010101010000100110111_00000000000000010000000111110100_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000101000010000000100010011_00000000000000010000000111111000_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000001000001010011000100011_00000000000000010000000111111100_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000110000001010011100000011_00000000000000010000001000000000_00001010101000000000101010100000_0_1_1_0_10100000000010101010000000001010_0111_1_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_0_0_0_10100000000010101010000000001010_0111_1_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_0_0_0_10100000000010101010000000001010_0111_1_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_0_0_0_10100000000010101010000000001010_0111_1_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_0_0_0_10100000000010101010000000001010_0111_1_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_00001010101000000000101010100000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10100000000010101010001110110111_00000000000000010000001000000100_10100000000010101010000000001010_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000101000111000001110010011_00000000000000010000001000001000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000010100000000000110010011_00000000000000010000001000001100_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111110011101110001010001100011_00000000000000010000001000010000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000010010111_00000000000000010000001000010100_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11100000100000001000000010010011_00000000000000010000001000011000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000101010100000000100110111_00000000000000010000001000011100_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00001010101000010000000100010011_00000000000000010000001000100000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111110001000001010101000100011_00000000000000010000001000100100_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111111010000001010011100000011_00000000000000010000001000101000_10100000000010101010000000001010_0_1_1_0_00000000101010100000000010101010_0111_1_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_0_0_0_00000000101010100000000010101010_0111_1_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_0_0_0_00000000101010100000000010101010_0111_1_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_0_0_0_00000000101010100000000010101010_0111_1_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_0_0_0_00000000101010100000000010101010_0111_1_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_10100000000010101010000000001010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000101010100000001110110111_00000000000000010000001000101100_00000000101010100000000010101010_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00001010101000111000001110010011_00000000000000010000001000110000_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000011000000000000110010011_00000000000000010000001000110100_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00111100011101110001000001100011_00000000000000010000001000111000_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00111111111111110000000010010111_00000000000000010000001000111100_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11011110000000001000000010010011_00000000000000010000001001000000_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10101010000000001011000100110111_00000000000000010000001001000100_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10100000000000010000000100010011_00000000000000010000001001001000_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11111110001000001010110000100011_00000000000000010000001001001100_00000000101010100000000010101010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11111111100000001010011100000011_00000000000000010000001001010000_00000000101010100000000010101010_0_1_1_0_10101010000000001010101000000000_0111_1_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_0_0_0_10101010000000001010101000000000_0111_1_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_0_0_0_10101010000000001010101000000000_0111_1_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_0_0_0_10101010000000001010101000000000_0111_1_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_0_0_0_10101010000000001010101000000000_0111_1_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_00000000101010100000000010101010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010000000001011001110110111_00000000000000010000001001010100_10101010000000001010101000000000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10100000000000111000001110010011_00000000000000010000001001011000_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000011100000000000110010011_00000000000000010000001001011100_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00111000011101110001110001100011_00000000000000010000001001100000_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00111111111111110000000010010111_00000000000000010000001001100100_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_11011011100000001000000010010011_00000000000000010000001001101000_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00001010101000000001000100110111_00000000000000010000001001101100_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010000000010000000100010011_00000000000000010000001001110000_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_11111110001000001010111000100011_00000000000000010000001001110100_10101010000000001010101000000000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_11111111110000001010011100000011_00000000000000010000001001111000_10101010000000001010101000000000_0_1_1_0_00001010101000000000101010100000_0111_1_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_0_0_0_00001010101000000000101010100000_0111_1_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_0_0_0_00001010101000000000101010100000_0111_1_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_0_0_0_00001010101000000000101010100000_0111_1_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_0_0_0_00001010101000000000101010100000_0111_1_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_10101010000000001010101000000000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00001010101000000001001110110111_00000000000000010000001001111100_00001010101000000000101010100000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_10101010000000111000001110010011_00000000000000010000001010000000_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00000000100000000000000110010011_00000000000000010000001010000100_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00110110011101110001100001100011_00000000000000010000001010001000_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00111111111111110000000010010111_00000000000000010000001010001100_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_11011001000000001000000010010011_00000000000000010000001010010000_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_10100000000010101010000100110111_00000000000000010000001010010100_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00000000101000010000000100010011_00000000000000010000001010011000_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00000000001000001010000000100011_00000000000000010000001010011100_00001010101000000000101010100000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011000
+1_00000000000000001010011100000011_00000000000000010000001010100000_00001010101000000000101010100000_0_1_1_0_10100000000010101010000000001010_0111_1_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_0_0_0_10100000000010101010000000001010_0111_1_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_0_0_0_10100000000010101010000000001010_0111_1_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_0_0_0_10100000000010101010000000001010_0111_1_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_0_0_0_10100000000010101010000000001010_0111_1_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_00001010101000000000101010100000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_10100000000010101010001110110111_00000000000000010000001010100100_10100000000010101010000000001010_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_00000000101000111000001110010011_00000000000000010000001010101000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_00000000100100000000000110010011_00000000000000010000001010101100_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_00110100011101110001010001100011_00000000000000010000001010110000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_00111111111111110000000010010111_00000000000000010000001010110100_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_11010110110000001000000010010011_00000000000000010000001010111000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_00010010001101000101000100110111_00000000000000010000001010111100_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_01100111100000010000000100010011_00000000000000010000001011000000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_11111110000000001000001000010011_00000000000000010000001011000100_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_00000010001000100010000000100011_00000000000000010000001011001000_10100000000010101010000000001010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000011100
+1_00000000000000001010001010000011_00000000000000010000001011001100_10100000000010101010000000001010_0_1_1_0_00010010001101000101011001111000_0111_1_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_0_0_0_00010010001101000101011001111000_0111_1_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_0_0_0_00010010001101000101011001111000_0111_1_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_0_0_0_00010010001101000101011001111000_0111_1_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_0_0_0_00010010001101000101011001111000_0111_1_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_10100000000010101010000000001010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00010010001101000101001110110111_00000000000000010000001011010000_00010010001101000101011001111000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_01100111100000111000001110010011_00000000000000010000001011010100_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00000000101000000000000110010011_00000000000000010000001011011000_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00110000011100101001111001100011_00000000000000010000001011011100_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00111111111111110000000010010111_00000000000000010000001011100000_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_11010100000000001000000010010011_00000000000000010000001011100100_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_01011000001000010011000100110111_00000000000000010000001011101000_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00001001100000010000000100010011_00000000000000010000001011101100_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_11111111110100001000000010010011_00000000000000010000001011110000_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00000000001000001010001110100011_00000000000000010000001011110100_00010010001101000101011001111000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100000
+1_00111111111111110000001000010111_00000000000000010000001011111000_00010010001101000101011001111000_0_1_1_0_01011000001000010011000010011000_0111_1_01000000000000000000000000100100
+1_11010010110000100000001000010011_00000000000000010000001011111100_00010010001101000101011001111000_0_1_1_0_01011000001000010011000010011000_0111_1_01000000000000000000000000100100
+1_00000000000000100010001010000011_00000000000000010000001100000000_00010010001101000101011001111000_0_1_1_0_01011000001000010011000010011000_0111_1_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_00010010001101000101011001111000_0_0_0_0_01011000001000010011000010011000_0111_1_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_00010010001101000101011001111000_0_0_0_0_01011000001000010011000010011000_0111_1_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_00010010001101000101011001111000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_00010010001101000101011001111000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_00010010001101000101011001111000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_00010010001101000101011001111000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_00010010001101000101011001111000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_00010010001101000101011001111000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_01011000001000010011001110110111_00000000000000010000001100000100_01011000001000010011000010011000_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_00001001100000111000001110010011_00000000000000010000001100001000_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_00000000101100000000000110010011_00000000000000010000001100001100_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_00101110011100101001010001100011_00000000000000010000001100010000_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_00000000110000000000000110010011_00000000000000010000001100010100_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_00000000000000000000001000010011_00000000000000010000001100011000_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_10101010101110111101000010110111_00000000000000010000001100011100_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_11001101110100001000000010010011_00000000000000010000001100100000_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_00111111111111110000000100010111_00000000000000010000001100100100_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_11001101110000010000000100010011_00000000000000010000001100101000_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_00000000000100010010000000100011_00000000000000010000001100101100_01011000001000010011000010011000_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000100100
+1_00000000000000010010011100000011_00000000000000010000001100110000_01011000001000010011000010011000_0_1_1_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_0_0_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_0_0_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_0_0_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_0_0_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_01011000001000010011000010011000_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11001101110100111000001110010011_00000000000000010000001100111000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00101010011101110001111001100011_00000000000000010000001100111100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111100010100100001101011100011_00000000000000010000001101001000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001101001100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_10101010101110111101000010110111_00000000000000010000001100011100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_10101010101110111101000010110111_00000000000000010000001100011100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_10101010101110111101000010110111_00000000000000010000001100011100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_10101010101110111101000010110111_00000000000000010000001100011100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_10101010101110111101000010110111_00000000000000010000001100011100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101000010110111_00000000000000010000001100011100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11001101110100001000000010010011_00000000000000010000001100100000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000001100100100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11001101110000010000000100010011_00000000000000010000001100101000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010000000100011_00000000000000010000001100101100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000010010011100000011_00000000000000010000001100110000_10101010101110111100110011011101_0_1_1_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_0_0_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_0_0_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_0_0_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_0_0_0_10101010101110111100110011011101_0111_1_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10101010101110111101001110110111_00000000000000010000001100110100_10101010101110111100110011011101_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11001101110100111000001110010011_00000000000000010000001100111000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00101010011101110001111001100011_00000000000000010000001100111100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101000000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101000100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111100010100100001101011100011_00000000000000010000001101001000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001101001100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101010000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11011010101010111100000010110111_00000000000000010000001101010100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11001100110100001000000010010011_00000000000000010000001101011000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000001101011100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11001010010000010000000100010011_00000000000000010000001101100000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100100_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001000100011_00000000000000010000001101101000_10101010101110111100110011011101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000010000010010011100000011_00000000000000010000001101101100_10101010101110111100110011011101_0_1_1_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_0_0_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_0_0_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_0_0_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_0_0_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_10101010101110111100110011011101_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11001100110100111000001110010011_00000000000000010000001101110100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00101000011101110001000001100011_00000000000000010000001101111000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001101111100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001110000000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111100010100100001100011100011_00000000000000010000001110000100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000111000000000000110010011_00000000000000010000001110001000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_11011010101010111100000010110111_00000000000000010000001101010100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_11011010101010111100000010110111_00000000000000010000001101010100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_11011010101010111100000010110111_00000000000000010000001101010100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_11011010101010111100000010110111_00000000000000010000001101010100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_11011010101010111100000010110111_00000000000000010000001101010100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11011010101010111100000010110111_00000000000000010000001101010100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11001100110100001000000010010011_00000000000000010000001101011000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000001101011100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11001010010000010000000100010011_00000000000000010000001101100000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001101100100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000100010010001000100011_00000000000000010000001101101000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000010000010010011100000011_00000000000000010000001101101100_11011010101010111011110011001101_0_1_1_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_0_0_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_0_0_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_0_0_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_0_0_0_11011010101010111011110011001101_0111_1_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11011010101010111100001110110111_00000000000000010000001101110000_11011010101010111011110011001101_1_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11001100110100111000001110010011_00000000000000010000001101110100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00101000011101110001000001100011_00000000000000010000001101111000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000001101111100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000001110000000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111100010100100001100011100011_00000000000000010000001110000100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000111000000000000110010011_00000000000000010000001110001000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000001110001100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11011101101010101100000010110111_00000000000000010000001110010000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_10111100110000001000000010010011_00000000000000010000001110010100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000001110011000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11000110100000010000000100010011_00000000000000010000001110011100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001110100000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000001110100100_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000100010010010000100011_00000000000000010000001110101000_11011010101010111011110011001101_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000100000010010011100000011_00000000000000010000001110101100_11011010101010111011110011001101_0_1_1_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_0_0_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_0_0_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_0_0_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_0_0_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011010101010111011110011001101_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_10111100110000111000001110010011_00000000000000010000001110110100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00100100011101110001000001100011_00000000000000010000001110111000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000001110111100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000001111000000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111100010100100001011011100011_00000000000000010000001111000100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000111100000000000110010011_00000000000000010000001111001000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_11011101101010101100000010110111_00000000000000010000001110010000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_11011101101010101100000010110111_00000000000000010000001110010000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_11011101101010101100000010110111_00000000000000010000001110010000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_11011101101010101100000010110111_00000000000000010000001110010000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_11011101101010101100000010110111_00000000000000010000001110010000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100000010110111_00000000000000010000001110010000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_10111100110000001000000010010011_00000000000000010000001110010100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000100010111_00000000000000010000001110011000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11000110100000010000000100010011_00000000000000010000001110011100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000001110100000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000001110100100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100010010010000100011_00000000000000010000001110101000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000100000010010011100000011_00000000000000010000001110101100_11011101101010101011101111001100_0_1_1_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_0_0_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_0_0_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_0_0_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_0_0_0_11011101101010101011101111001100_0111_1_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11011101101010101100001110110111_00000000000000010000001110110000_11011101101010101011101111001100_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_10111100110000111000001110010011_00000000000000010000001110110100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00100100011101110001000001100011_00000000000000010000001110111000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000001110111100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000001111000000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111100010100100001011011100011_00000000000000010000001111000100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000111100000000000110010011_00000000000000010000001111001000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000000000001000010011_00000000000000010000001111001100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11001101110110101011000010110111_00000000000000010000001111010000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_10111011110000001000000010010011_00000000000000010000001111010100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000001111011000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000100010111_00000000000000010000001111011100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11000010010000010000000100010011_00000000000000010000001111100000_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100010010011000100011_00000000000000010000001111100100_11011101101010101011101111001100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000110000010010011100000011_00000000000000010000001111101000_11011101101010101011101111001100_0_1_1_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_0_0_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_0_0_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_0_0_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_0_0_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11011101101010101011101111001100_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10111011110000111000001110010011_00000000000000010000001111110000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100000011101110001001001100011_00000000000000010000001111110100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100100000001000010011_00000000000000010000001111111000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000001000000000001010010011_00000000000000010000001111111100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111100010100100001100011100011_00000000000000010000010000000000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000001000000000000000110010011_00000000000000010000010000000100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_11001101110110101011000010110111_00000000000000010000001111010000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_11001101110110101011000010110111_00000000000000010000001111010000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_11001101110110101011000010110111_00000000000000010000001111010000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_11001101110110101011000010110111_00000000000000010000001111010000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_11001101110110101011000010110111_00000000000000010000001111010000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011000010110111_00000000000000010000001111010000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10111011110000001000000010010011_00000000000000010000001111010100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000001111011000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000100010111_00000000000000010000001111011100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11000010010000010000000100010011_00000000000000010000001111100000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100010010011000100011_00000000000000010000001111100100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000110000010010011100000011_00000000000000010000001111101000_11001101110110101010101110111100_0_1_1_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_0_0_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_0_0_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_0_0_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_0_0_0_11001101110110101010101110111100_0111_1_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001101110110101011001110110111_00000000000000010000001111101100_11001101110110101010101110111100_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10111011110000111000001110010011_00000000000000010000001111110000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100000011101110001001001100011_00000000000000010000001111110100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100100000001000010011_00000000000000010000001111111000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000001000000000001010010011_00000000000000010000001111111100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111100010100100001100011100011_00000000000000010000010000000000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000001000000000000000110010011_00000000000000010000010000000100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000001000010011_00000000000000010000010000001000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11001100110111011011000010110111_00000000000000010000010000001100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10101011101100001000000010010011_00000000000000010000010000010000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000010000010100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000100010111_00000000000000010000010000011000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10111110100000010000000100010011_00000000000000010000010000011100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000010000100000_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100010010100000100011_00000000000000010000010000100100_11001101110110101010101110111100_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000001000000010010011100000011_00000000000000010000010000101000_11001101110110101010101110111100_0_1_1_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_0_0_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_0_0_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_0_0_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_0_0_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001101110110101010101110111100_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10101011101100111000001110010011_00000000000000010000010000110000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00011100011101110001001001100011_00000000000000010000010000110100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100100000001000010011_00000000000000010000010000111000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000001000000000001010010011_00000000000000010000010000111100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11111100010100100001011011100011_00000000000000010000010001000000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001000100000000000110010011_00000000000000010000010001000100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_11001100110111011011000010110111_00000000000000010000010000001100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_11001100110111011011000010110111_00000000000000010000010000001100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_11001100110111011011000010110111_00000000000000010000010000001100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_11001100110111011011000010110111_00000000000000010000010000001100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_11001100110111011011000010110111_00000000000000010000010000001100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011000010110111_00000000000000010000010000001100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10101011101100001000000010010011_00000000000000010000010000010000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000000000010011_00000000000000010000010000010100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00111111111111110000000100010111_00000000000000010000010000011000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10111110100000010000000100010011_00000000000000010000010000011100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000000000010011_00000000000000010000010000100000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100010010100000100011_00000000000000010000010000100100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001000000010010011100000011_00000000000000010000010000101000_11001100110111011010101010111011_0_1_1_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_0_0_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_0_0_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_0_0_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_0_0_0_11001100110111011010101010111011_0111_1_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11001100110111011011001110110111_00000000000000010000010000101100_11001100110111011010101010111011_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10101011101100111000001110010011_00000000000000010000010000110000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00011100011101110001001001100011_00000000000000010000010000110100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100100000001000010011_00000000000000010000010000111000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000001000000000001010010011_00000000000000010000010000111100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11111100010100100001011011100011_00000000000000010000010001000000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001000100000000000110010011_00000000000000010000010001000100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000001000010011_00000000000000010000010001001000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10111100110011011110000010110111_00000000000000010000010001001100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10101010101100001000000010010011_00000000000000010000010001010000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000000000010011_00000000000000010000010001010100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000000000010011_00000000000000010000010001011000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00111111111111110000000100010111_00000000000000010000010001011100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10111010010000010000000100010011_00000000000000010000010001100000_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100010010101000100011_00000000000000010000010001100100_11001100110111011010101010111011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001010000010010011100000011_00000000000000010000010001101000_11001100110111011010101010111011_0_1_1_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_0_0_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_0_0_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_0_0_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_0_0_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_11001100110111011010101010111011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010101100111000001110010011_00000000000000010000010001110000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00011000011101110001001001100011_00000000000000010000010001110100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100100000001000010011_00000000000000010000010001111000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000001000000000001010010011_00000000000000010000010001111100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_11111100010100100001011011100011_00000000000000010000010010000000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000001001000000000000110010011_00000000000000010000010010000100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_10111100110011011110000010110111_00000000000000010000010001001100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_10111100110011011110000010110111_00000000000000010000010001001100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_10111100110011011110000010110111_00000000000000010000010001001100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_10111100110011011110000010110111_00000000000000010000010001001100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_10111100110011011110000010110111_00000000000000010000010001001100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110000010110111_00000000000000010000010001001100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010101100001000000010010011_00000000000000010000010001010000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000000000000000000010011_00000000000000010000010001010100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000000000000000000010011_00000000000000010000010001011000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00111111111111110000000100010111_00000000000000010000010001011100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111010010000010000000100010011_00000000000000010000010001100000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100010010101000100011_00000000000000010000010001100100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000001010000010010011100000011_00000000000000010000010001101000_10111100110011011101101010101011_0_1_1_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_0_0_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_0_0_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_0_0_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_0_0_0_10111100110011011101101010101011_0111_1_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10111100110011011110001110110111_00000000000000010000010001101100_10111100110011011101101010101011_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10101010101100111000001110010011_00000000000000010000010001110000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00011000011101110001001001100011_00000000000000010000010001110100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100100000001000010011_00000000000000010000010001111000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000001000000000001010010011_00000000000000010000010001111100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_11111100010100100001011011100011_00000000000000010000010010000000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000001001000000000000110010011_00000000000000010000010010000100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000000000000001000010011_00000000000000010000010010001000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00111111111111110000000100010111_00000000000000010000010010001100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10110111010000010000000100010011_00000000000000010000010010010000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100010010000010110111_00000000000000010000010010010100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00100011001100001000000010010011_00000000000000010000010010011000_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100010010000000100011_00000000000000010000010010011100_10111100110011011101101010101011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000000010010011100000011_00000000000000010000010010100000_10111100110011011101101010101011_0_1_1_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_0_0_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_0_0_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_0_0_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_0_0_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_10111100110011011101101010101011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00100011001100111000001110010011_00000000000000010000010010101000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00010100011101110001011001100011_00000000000000010000010010101100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010110000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010110100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111100010100100001101011100011_00000000000000010000010010111000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010010111100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010010001100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010010001100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010010001100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010010001100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+0_00111111111111110000000100010111_00000000000000010000010010001100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000010010001100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10110111010000010000000100010011_00000000000000010000010010010000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010000010110111_00000000000000010000010010010100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00100011001100001000000010010011_00000000000000010000010010011000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010000000100011_00000000000000010000010010011100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000010010011100000011_00000000000000010000010010100000_00000000000100010010001000110011_0_1_1_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_0_0_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_0_0_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_0_0_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_0_0_0_00000000000100010010001000110011_0111_1_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001110110111_00000000000000010000010010100100_00000000000100010010001000110011_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00100011001100111000001110010011_00000000000000010000010010101000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00010100011101110001011001100011_00000000000000010000010010101100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010110000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010110100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_11111100010100100001101011100011_00000000000000010000010010111000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010010111100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011000000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00111111111111110000000100010111_00000000000000010000010011000100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_10110011110000010000000100010011_00000000000000010000010011001000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00110000000000010001000010110111_00000000000000010000010011001100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00100010001100001000000010010011_00000000000000010000010011010000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011010100_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000000100010010001000100011_00000000000000010000010011011000_00000000000100010010001000110011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000000
+1_00000000010000010010011100000011_00000000000000010000010011011100_00000000000100010010001000110011_0_1_1_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_0_0_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_0_0_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_0_0_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_0_0_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00000000000100010010001000110011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00100010001100111000001110010011_00000000000000010000010011100100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00010000011101110001100001100011_00000000000000010000010011101000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000010011101100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000010011110000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_11111100010100100001100011100011_00000000000000010000010011110100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000001010000000000000110010011_00000000000000010000010011111000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011000100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011000100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011000100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011000100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+0_00111111111111110000000100010111_00000000000000010000010011000100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000010011000100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_10110011110000010000000100010011_00000000000000010000010011001000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00110000000000010001000010110111_00000000000000010000010011001100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00100010001100001000000010010011_00000000000000010000010011010000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010011010100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000000100010010001000100011_00000000000000010000010011011000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000000100
+1_00000000010000010010011100000011_00000000000000010000010011011100_00110000000000010001001000100011_0_1_1_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_0_0_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_0_0_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_0_0_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_0_0_0_00110000000000010001001000100011_0111_1_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_0_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_0_1_0_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00110000000000010001001110110111_00000000000000010000010011100000_00110000000000010001001000100011_1_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00100010001100111000001110010011_00000000000000010000010011100100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00010000011101110001100001100011_00000000000000010000010011101000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000100100000001000010011_00000000000000010000010011101100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000001000000000001010010011_00000000000000010000010011110000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_11111100010100100001100011100011_00000000000000010000010011110100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000001010000000000000110010011_00000000000000010000010011111000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000001000010011_00000000000000010000010011111100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00111111111111110000000100010111_00000000000000010000010100000000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_10110000000000010000000100010011_00000000000000010000010100000100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00110011000000000001000010110111_00000000000000010000010100001000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00010010001000001000000010010011_00000000000000010000010100001100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010100010000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000000000000000000010011_00000000000000010000010100010100_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000000100010010010000100011_00000000000000010000010100011000_00110000000000010001001000100011_0_1_1_1_00000000000000000000000000000001_0111_0_01000000000000000000000000000100
+1_00000000100000010010011100000011_00000000000000010000010100011100_00110000000000010001001000100011_0_1_1_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_0_0_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_0_0_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_0_0_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_0_0_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110000000000010001001000100011_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00010010001000111000001110010011_00000000000000010000010100100100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001100011101110001100001100011_00000000000000010000010100101000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000010100101100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000010100110000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111100010100100001011011100011_00000000000000010000010100110100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000001010100000000000110010011_00000000000000010000010100111000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010100000000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010100000000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010100000000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010100000000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+0_00111111111111110000000100010111_00000000000000010000010100000000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000100010111_00000000000000010000010100000000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_10110000000000010000000100010011_00000000000000010000010100000100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001000010110111_00000000000000010000010100001000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00010010001000001000000010010011_00000000000000010000010100001100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010100010000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010100010100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100010010010000100011_00000000000000010000010100011000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000100000010010011100000011_00000000000000010000010100011100_00110011000000000001000100100010_0_1_1_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_0_0_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_0_0_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_0_0_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_0_0_0_00110011000000000001000100100010_0111_1_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00110011000000000001001110110111_00000000000000010000010100100000_00110011000000000001000100100010_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00010010001000111000001110010011_00000000000000010000010100100100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00001100011101110001100001100011_00000000000000010000010100101000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100100000001000010011_00000000000000010000010100101100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000001000000000001010010011_00000000000000010000010100110000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_11111100010100100001011011100011_00000000000000010000010100110100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000001010100000000000110010011_00000000000000010000010100111000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000000000001000010011_00000000000000010000010100111100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00111111111111110000000100010111_00000000000000010000010101000000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_10101100000000010000000100010011_00000000000000010000010101000100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000000000000000000010011_00000000000000010000010101001000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00100011001100000000000010110111_00000000000000010000010101001100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00010001001000001000000010010011_00000000000000010000010101010000_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000000100010010011000100011_00000000000000010000010101010100_00110011000000000001000100100010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001000
+1_00000000110000010010011100000011_00000000000000010000010101011000_00110011000000000001000100100010_0_1_1_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_0_0_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_0_0_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_0_0_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_0_0_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00110011000000000001000100100010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00010001001000111000001110010011_00000000000000010000010101100000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00001000011101110001101001100011_00000000000000010000010101100100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100100000001000010011_00000000000000010000010101101000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000001000000000001010010011_00000000000000010000010101101100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111100010100100001100011100011_00000000000000010000010101110000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000001011000000000000110010011_00000000000000010000010101110100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000100010111_00000000000000010000010101000000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000100010111_00000000000000010000010101000000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000100010111_00000000000000010000010101000000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000100010111_00000000000000010000010101000000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+0_00111111111111110000000100010111_00000000000000010000010101000000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000100010111_00000000000000010000010101000000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10101100000000010000000100010011_00000000000000010000010101000100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000010101001000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000000010110111_00000000000000010000010101001100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00010001001000001000000010010011_00000000000000010000010101010000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100010010011000100011_00000000000000010000010101010100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000110000010010011100000011_00000000000000010000010101011000_00100011001100000000000100010010_0_1_1_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_0_0_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_0_0_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_0_0_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_0_0_0_00100011001100000000000100010010_0111_1_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100011001100000000001110110111_00000000000000010000010101011100_00100011001100000000000100010010_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00010001001000111000001110010011_00000000000000010000010101100000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00001000011101110001101001100011_00000000000000010000010101100100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100100000001000010011_00000000000000010000010101101000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000001000000000001010010011_00000000000000010000010101101100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_11111100010100100001100011100011_00000000000000010000010101110000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000001011000000000000110010011_00000000000000010000010101110100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000001000010011_00000000000000010000010101111000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00111111111111110000000100010111_00000000000000010000010101111100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_10101000010000010000000100010011_00000000000000010000010110000000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000010110000100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00100010001100110000000010110111_00000000000000010000010110001000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000001000100001000000010010011_00000000000000010000010110001100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000000000000000000010011_00000000000000010000010110010000_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000000000100010010100000100011_00000000000000010000010110010100_00100011001100000000000100010010_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000001100
+1_00000001000000010010011100000011_00000000000000010000010110011000_00100011001100000000000100010010_0_1_1_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_0_0_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_0_0_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_0_0_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_0_0_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100011001100000000000100010010_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001000100111000001110010011_00000000000000010000010110100000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000100011101110001101001100011_00000000000000010000010110100100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100100000001000010011_00000000000000010000010110101000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000001000000000001010010011_00000000000000010000010110101100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11111100010100100001011011100011_00000000000000010000010110110000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001011100000000000110010011_00000000000000010000010110110100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_00111111111111110000000100010111_00000000000000010000010101111100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_00111111111111110000000100010111_00000000000000010000010101111100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_00111111111111110000000100010111_00000000000000010000010101111100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_00111111111111110000000100010111_00000000000000010000010101111100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+0_00111111111111110000000100010111_00000000000000010000010101111100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00111111111111110000000100010111_00000000000000010000010101111100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10101000010000010000000100010011_00000000000000010000010110000000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000000000010011_00000000000000010000010110000100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000000010110111_00000000000000010000010110001000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001000100001000000010010011_00000000000000010000010110001100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000000000010011_00000000000000010000010110010000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100010010100000100011_00000000000000010000010110010100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001000000010010011100000011_00000000000000010000010110011000_00100010001100110000000000010001_0_1_1_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_0_0_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_0_0_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_0_0_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_0_0_0_00100010001100110000000000010001_0111_1_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00100010001100110000001110110111_00000000000000010000010110011100_00100010001100110000000000010001_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001000100111000001110010011_00000000000000010000010110100000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000100011101110001101001100011_00000000000000010000010110100100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100100000001000010011_00000000000000010000010110101000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000001000000000001010010011_00000000000000010000010110101100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_11111100010100100001011011100011_00000000000000010000010110110000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001011100000000000110010011_00000000000000010000010110110100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000001000010011_00000000000000010000010110111000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00111111111111110000000100010111_00000000000000010000010110111100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_10100100010000010000000100010011_00000000000000010000010111000000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000000000010011_00000000000000010000010111000100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000000000000000000010011_00000000000000010000010111001000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00010010001000110011000010110111_00000000000000010000010111001100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100001000000010010011_00000000000000010000010111010000_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000000000100010010101000100011_00000000000000010000010111010100_00100010001100110000000000010001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010000
+1_00000001010000010010011100000011_00000000000000010000010111011000_00100010001100110000000000010001_0_1_1_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_0_0_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_0_0_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_0_0_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_0_0_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00100010001100110000000000010001_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100111000001110010011_00000000000000010000010111100000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000011101110001101001100011_00000000000000010000010111100100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100100000001000010011_00000000000000010000010111101000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000001000000000001010010011_00000000000000010000010111101100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_11111100010100100001011011100011_00000000000000010000010111110000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000010001100000001000001100011_00000000000000010000010111110100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00111111111111110000000100010111_00000000000000010000010110111100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00111111111111110000000100010111_00000000000000010000010110111100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00111111111111110000000100010111_00000000000000010000010110111100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00111111111111110000000100010111_00000000000000010000010110111100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00111111111111110000000100010111_00000000000000010000010110111100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00111111111111110000000100010111_00000000000000010000010110111100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_10100100010000010000000100010011_00000000000000010000010111000000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000000000000000000010011_00000000000000010000010111000100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000000000000000000010011_00000000000000010000010111001000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011000010110111_00000000000000010000010111001100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100001000000010010011_00000000000000010000010111010000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100010010101000100011_00000000000000010000010111010100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000001010000010010011100000011_00000000000000010000010111011000_00010010001000110011000000000001_0_1_1_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_0_0_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_0_0_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_0_0_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_0_0_0_00010010001000110011000000000001_0111_1_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_0_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_0_1_0_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00010010001000110011001110110111_00000000000000010000010111011100_00010010001000110011000000000001_1_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100111000001110010011_00000000000000010000010111100000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000011101110001101001100011_00000000000000010000010111100100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100100000001000010011_00000000000000010000010111101000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000001000000000001010010011_00000000000000010000010111101100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_11111100010100100001011011100011_00000000000000010000010111110000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000010001100000001000001100011_00000000000000010000010111110100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00001111111100000000000000001111_00000000000000010000010111111000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00001111111100000000000000001111_00000000000000010000011000010100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00001111111100000000000000001111_00000000000000010000011000010100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00001111111100000000000000001111_00000000000000010000011000010100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00001111111100000000000000001111_00000000000000010000011000010100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+0_00001111111100000000000000001111_00000000000000010000011000010100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00001111111100000000000000001111_00000000000000010000011000010100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000100000000000110010011_00000000000000010000011000011000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000101110100000000100010010011_00000000000000010000011000011100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000000000000010100010011_00000000000000010000011000100000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_00000000000000000000000001110011_00000000000000010000011000100100_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
+1_11000000000000000001000001110011_00000000000000010000011000101000_00010010001000110011000000000001_0_1_1_1_00000000000000000000000000000000_0111_0_01000000000000000000000000010100
diff --git a/verilog/dv/test_c0/coe/sw/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/sw/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..f2e48d6
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sw/cekirdek_ps_bin.txt
@@ -0,0 +1,521 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100000
diff --git a/verilog/dv/test_c0/coe/sw/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/sw/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..c3b4654
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sw/cekirdek_ps_hex.txt
@@ -0,0 +1,521 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+00010614
+00010618
+0001061c
+00010620
+00010620
diff --git a/verilog/dv/test_c0/coe/sw/cekirdek_yo.txt b/verilog/dv/test_c0/coe/sw/cekirdek_yo.txt
new file mode 100644
index 0000000..d0cedfe
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sw/cekirdek_yo.txt
@@ -0,0 +1,409 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_01000000000000000000000101110100
+00000000000000010000000101111000_00001_01000000000000000000000000000000
+00000000000000010000000101111100_00010_00000000101010100000000000000000
+00000000000000010000000110000000_00010_00000000101010100000000010101010
+00000000000000010000000110001000_01110_00000000101010100000000010101010
+00000000000000010000000110001100_00111_00000000101010100000000000000000
+00000000000000010000000110010000_00111_00000000101010100000000010101010
+00000000000000010000000110010100_00011_00000000000000000000000000000010
+00000000000000010000000110011100_00001_01000000000000000000000110011100
+00000000000000010000000110100000_00001_01000000000000000000000000000000
+00000000000000010000000110100100_00010_10101010000000001011000000000000
+00000000000000010000000110101000_00010_10101010000000001010101000000000
+00000000000000010000000110110000_01110_10101010000000001010101000000000
+00000000000000010000000110110100_00111_10101010000000001011000000000000
+00000000000000010000000110111000_00111_10101010000000001010101000000000
+00000000000000010000000110111100_00011_00000000000000000000000000000011
+00000000000000010000000111000100_00001_01000000000000000000000111000100
+00000000000000010000000111001000_00001_01000000000000000000000000000000
+00000000000000010000000111001100_00010_00001010101000000001000000000000
+00000000000000010000000111010000_00010_00001010101000000000101010100000
+00000000000000010000000111011000_01110_00001010101000000000101010100000
+00000000000000010000000111011100_00111_00001010101000000001000000000000
+00000000000000010000000111100000_00111_00001010101000000000101010100000
+00000000000000010000000111100100_00011_00000000000000000000000000000100
+00000000000000010000000111101100_00001_01000000000000000000000111101100
+00000000000000010000000111110000_00001_01000000000000000000000000000000
+00000000000000010000000111110100_00010_10100000000010101010000000000000
+00000000000000010000000111111000_00010_10100000000010101010000000001010
+00000000000000010000001000000000_01110_10100000000010101010000000001010
+00000000000000010000001000000100_00111_10100000000010101010000000000000
+00000000000000010000001000001000_00111_10100000000010101010000000001010
+00000000000000010000001000001100_00011_00000000000000000000000000000101
+00000000000000010000001000010100_00001_01000000000000000000001000010100
+00000000000000010000001000011000_00001_01000000000000000000000000011100
+00000000000000010000001000011100_00010_00000000101010100000000000000000
+00000000000000010000001000100000_00010_00000000101010100000000010101010
+00000000000000010000001000101000_01110_00000000101010100000000010101010
+00000000000000010000001000101100_00111_00000000101010100000000000000000
+00000000000000010000001000110000_00111_00000000101010100000000010101010
+00000000000000010000001000110100_00011_00000000000000000000000000000110
+00000000000000010000001000111100_00001_01000000000000000000001000111100
+00000000000000010000001001000000_00001_01000000000000000000000000011100
+00000000000000010000001001000100_00010_10101010000000001011000000000000
+00000000000000010000001001001000_00010_10101010000000001010101000000000
+00000000000000010000001001010000_01110_10101010000000001010101000000000
+00000000000000010000001001010100_00111_10101010000000001011000000000000
+00000000000000010000001001011000_00111_10101010000000001010101000000000
+00000000000000010000001001011100_00011_00000000000000000000000000000111
+00000000000000010000001001100100_00001_01000000000000000000001001100100
+00000000000000010000001001101000_00001_01000000000000000000000000011100
+00000000000000010000001001101100_00010_00001010101000000001000000000000
+00000000000000010000001001110000_00010_00001010101000000000101010100000
+00000000000000010000001001111000_01110_00001010101000000000101010100000
+00000000000000010000001001111100_00111_00001010101000000001000000000000
+00000000000000010000001010000000_00111_00001010101000000000101010100000
+00000000000000010000001010000100_00011_00000000000000000000000000001000
+00000000000000010000001010001100_00001_01000000000000000000001010001100
+00000000000000010000001010010000_00001_01000000000000000000000000011100
+00000000000000010000001010010100_00010_10100000000010101010000000000000
+00000000000000010000001010011000_00010_10100000000010101010000000001010
+00000000000000010000001010100000_01110_10100000000010101010000000001010
+00000000000000010000001010100100_00111_10100000000010101010000000000000
+00000000000000010000001010101000_00111_10100000000010101010000000001010
+00000000000000010000001010101100_00011_00000000000000000000000000001001
+00000000000000010000001010110100_00001_01000000000000000000001010110100
+00000000000000010000001010111000_00001_01000000000000000000000000100000
+00000000000000010000001010111100_00010_00010010001101000101000000000000
+00000000000000010000001011000000_00010_00010010001101000101011001111000
+00000000000000010000001011000100_00100_01000000000000000000000000000000
+00000000000000010000001011001100_00101_00010010001101000101011001111000
+00000000000000010000001011010000_00111_00010010001101000101000000000000
+00000000000000010000001011010100_00111_00010010001101000101011001111000
+00000000000000010000001011011000_00011_00000000000000000000000000001010
+00000000000000010000001011100000_00001_01000000000000000000001011100000
+00000000000000010000001011100100_00001_01000000000000000000000000100000
+00000000000000010000001011101000_00010_01011000001000010011000000000000
+00000000000000010000001011101100_00010_01011000001000010011000010011000
+00000000000000010000001011110000_00001_01000000000000000000000000011101
+00000000000000010000001011111000_00100_01000000000000000000001011111000
+00000000000000010000001011111100_00100_01000000000000000000000000100100
+00000000000000010000001100000000_00101_01011000001000010011000010011000
+00000000000000010000001100000100_00111_01011000001000010011000000000000
+00000000000000010000001100001000_00111_01011000001000010011000010011000
+00000000000000010000001100001100_00011_00000000000000000000000000001011
+00000000000000010000001100010100_00011_00000000000000000000000000001100
+00000000000000010000001100011000_00100_00000000000000000000000000000000
+00000000000000010000001100011100_00001_10101010101110111101000000000000
+00000000000000010000001100100000_00001_10101010101110111100110011011101
+00000000000000010000001100100100_00010_01000000000000000000001100100100
+00000000000000010000001100101000_00010_01000000000000000000000000000000
+00000000000000010000001100110000_01110_10101010101110111100110011011101
+00000000000000010000001100110100_00111_10101010101110111101000000000000
+00000000000000010000001100111000_00111_10101010101110111100110011011101
+00000000000000010000001101000000_00100_00000000000000000000000000000001
+00000000000000010000001101000100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00001_10101010101110111101000000000000
+00000000000000010000001100100000_00001_10101010101110111100110011011101
+00000000000000010000001100100100_00010_01000000000000000000001100100100
+00000000000000010000001100101000_00010_01000000000000000000000000000000
+00000000000000010000001100110000_01110_10101010101110111100110011011101
+00000000000000010000001100110100_00111_10101010101110111101000000000000
+00000000000000010000001100111000_00111_10101010101110111100110011011101
+00000000000000010000001101000000_00100_00000000000000000000000000000010
+00000000000000010000001101000100_00101_00000000000000000000000000000010
+00000000000000010000001101001100_00011_00000000000000000000000000001101
+00000000000000010000001101010000_00100_00000000000000000000000000000000
+00000000000000010000001101010100_00001_11011010101010111100000000000000
+00000000000000010000001101011000_00001_11011010101010111011110011001101
+00000000000000010000001101011100_00010_01000000000000000000001101011100
+00000000000000010000001101100000_00010_01000000000000000000000000000000
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101100_01110_11011010101010111011110011001101
+00000000000000010000001101110000_00111_11011010101010111100000000000000
+00000000000000010000001101110100_00111_11011010101010111011110011001101
+00000000000000010000001101111100_00100_00000000000000000000000000000001
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001101010100_00001_11011010101010111100000000000000
+00000000000000010000001101011000_00001_11011010101010111011110011001101
+00000000000000010000001101011100_00010_01000000000000000000001101011100
+00000000000000010000001101100000_00010_01000000000000000000000000000000
+00000000000000010000001101100100_00000_00000000000000000000000000000000
+00000000000000010000001101101100_01110_11011010101010111011110011001101
+00000000000000010000001101110000_00111_11011010101010111100000000000000
+00000000000000010000001101110100_00111_11011010101010111011110011001101
+00000000000000010000001101111100_00100_00000000000000000000000000000010
+00000000000000010000001110000000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00011_00000000000000000000000000001110
+00000000000000010000001110001100_00100_00000000000000000000000000000000
+00000000000000010000001110010000_00001_11011101101010101100000000000000
+00000000000000010000001110010100_00001_11011101101010101011101111001100
+00000000000000010000001110011000_00010_01000000000000000000001110011000
+00000000000000010000001110011100_00010_01000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101100_01110_11011101101010101011101111001100
+00000000000000010000001110110000_00111_11011101101010101100000000000000
+00000000000000010000001110110100_00111_11011101101010101011101111001100
+00000000000000010000001110111100_00100_00000000000000000000000000000001
+00000000000000010000001111000000_00101_00000000000000000000000000000010
+00000000000000010000001110010000_00001_11011101101010101100000000000000
+00000000000000010000001110010100_00001_11011101101010101011101111001100
+00000000000000010000001110011000_00010_01000000000000000000001110011000
+00000000000000010000001110011100_00010_01000000000000000000000000000000
+00000000000000010000001110100000_00000_00000000000000000000000000000000
+00000000000000010000001110100100_00000_00000000000000000000000000000000
+00000000000000010000001110101100_01110_11011101101010101011101111001100
+00000000000000010000001110110000_00111_11011101101010101100000000000000
+00000000000000010000001110110100_00111_11011101101010101011101111001100
+00000000000000010000001110111100_00100_00000000000000000000000000000010
+00000000000000010000001111000000_00101_00000000000000000000000000000010
+00000000000000010000001111001000_00011_00000000000000000000000000001111
+00000000000000010000001111001100_00100_00000000000000000000000000000000
+00000000000000010000001111010000_00001_11001101110110101011000000000000
+00000000000000010000001111010100_00001_11001101110110101010101110111100
+00000000000000010000001111011000_00000_00000000000000000000000000000000
+00000000000000010000001111011100_00010_01000000000000000000001111011100
+00000000000000010000001111100000_00010_01000000000000000000000000000000
+00000000000000010000001111101000_01110_11001101110110101010101110111100
+00000000000000010000001111101100_00111_11001101110110101011000000000000
+00000000000000010000001111110000_00111_11001101110110101010101110111100
+00000000000000010000001111111000_00100_00000000000000000000000000000001
+00000000000000010000001111111100_00101_00000000000000000000000000000010
+00000000000000010000001111010000_00001_11001101110110101011000000000000
+00000000000000010000001111010100_00001_11001101110110101010101110111100
+00000000000000010000001111011000_00000_00000000000000000000000000000000
+00000000000000010000001111011100_00010_01000000000000000000001111011100
+00000000000000010000001111100000_00010_01000000000000000000000000000000
+00000000000000010000001111101000_01110_11001101110110101010101110111100
+00000000000000010000001111101100_00111_11001101110110101011000000000000
+00000000000000010000001111110000_00111_11001101110110101010101110111100
+00000000000000010000001111111000_00100_00000000000000000000000000000010
+00000000000000010000001111111100_00101_00000000000000000000000000000010
+00000000000000010000010000000100_00011_00000000000000000000000000010000
+00000000000000010000010000001000_00100_00000000000000000000000000000000
+00000000000000010000010000001100_00001_11001100110111011011000000000000
+00000000000000010000010000010000_00001_11001100110111011010101010111011
+00000000000000010000010000010100_00000_00000000000000000000000000000000
+00000000000000010000010000011000_00010_01000000000000000000010000011000
+00000000000000010000010000011100_00010_01000000000000000000000000000000
+00000000000000010000010000100000_00000_00000000000000000000000000000000
+00000000000000010000010000101000_01110_11001100110111011010101010111011
+00000000000000010000010000101100_00111_11001100110111011011000000000000
+00000000000000010000010000110000_00111_11001100110111011010101010111011
+00000000000000010000010000111000_00100_00000000000000000000000000000001
+00000000000000010000010000111100_00101_00000000000000000000000000000010
+00000000000000010000010000001100_00001_11001100110111011011000000000000
+00000000000000010000010000010000_00001_11001100110111011010101010111011
+00000000000000010000010000010100_00000_00000000000000000000000000000000
+00000000000000010000010000011000_00010_01000000000000000000010000011000
+00000000000000010000010000011100_00010_01000000000000000000000000000000
+00000000000000010000010000100000_00000_00000000000000000000000000000000
+00000000000000010000010000101000_01110_11001100110111011010101010111011
+00000000000000010000010000101100_00111_11001100110111011011000000000000
+00000000000000010000010000110000_00111_11001100110111011010101010111011
+00000000000000010000010000111000_00100_00000000000000000000000000000010
+00000000000000010000010000111100_00101_00000000000000000000000000000010
+00000000000000010000010001000100_00011_00000000000000000000000000010001
+00000000000000010000010001001000_00100_00000000000000000000000000000000
+00000000000000010000010001001100_00001_10111100110011011110000000000000
+00000000000000010000010001010000_00001_10111100110011011101101010101011
+00000000000000010000010001010100_00000_00000000000000000000000000000000
+00000000000000010000010001011000_00000_00000000000000000000000000000000
+00000000000000010000010001011100_00010_01000000000000000000010001011100
+00000000000000010000010001100000_00010_01000000000000000000000000000000
+00000000000000010000010001101000_01110_10111100110011011101101010101011
+00000000000000010000010001101100_00111_10111100110011011110000000000000
+00000000000000010000010001110000_00111_10111100110011011101101010101011
+00000000000000010000010001111000_00100_00000000000000000000000000000001
+00000000000000010000010001111100_00101_00000000000000000000000000000010
+00000000000000010000010001001100_00001_10111100110011011110000000000000
+00000000000000010000010001010000_00001_10111100110011011101101010101011
+00000000000000010000010001010100_00000_00000000000000000000000000000000
+00000000000000010000010001011000_00000_00000000000000000000000000000000
+00000000000000010000010001011100_00010_01000000000000000000010001011100
+00000000000000010000010001100000_00010_01000000000000000000000000000000
+00000000000000010000010001101000_01110_10111100110011011101101010101011
+00000000000000010000010001101100_00111_10111100110011011110000000000000
+00000000000000010000010001110000_00111_10111100110011011101101010101011
+00000000000000010000010001111000_00100_00000000000000000000000000000010
+00000000000000010000010001111100_00101_00000000000000000000000000000010
+00000000000000010000010010000100_00011_00000000000000000000000000010010
+00000000000000010000010010001000_00100_00000000000000000000000000000000
+00000000000000010000010010001100_00010_01000000000000000000010010001100
+00000000000000010000010010010000_00010_01000000000000000000000000000000
+00000000000000010000010010010100_00001_00000000000100010010000000000000
+00000000000000010000010010011000_00001_00000000000100010010001000110011
+00000000000000010000010010100000_01110_00000000000100010010001000110011
+00000000000000010000010010100100_00111_00000000000100010010000000000000
+00000000000000010000010010101000_00111_00000000000100010010001000110011
+00000000000000010000010010110000_00100_00000000000000000000000000000001
+00000000000000010000010010110100_00101_00000000000000000000000000000010
+00000000000000010000010010001100_00010_01000000000000000000010010001100
+00000000000000010000010010010000_00010_01000000000000000000000000000000
+00000000000000010000010010010100_00001_00000000000100010010000000000000
+00000000000000010000010010011000_00001_00000000000100010010001000110011
+00000000000000010000010010100000_01110_00000000000100010010001000110011
+00000000000000010000010010100100_00111_00000000000100010010000000000000
+00000000000000010000010010101000_00111_00000000000100010010001000110011
+00000000000000010000010010110000_00100_00000000000000000000000000000010
+00000000000000010000010010110100_00101_00000000000000000000000000000010
+00000000000000010000010010111100_00011_00000000000000000000000000010011
+00000000000000010000010011000000_00100_00000000000000000000000000000000
+00000000000000010000010011000100_00010_01000000000000000000010011000100
+00000000000000010000010011001000_00010_01000000000000000000000000000000
+00000000000000010000010011001100_00001_00110000000000010001000000000000
+00000000000000010000010011010000_00001_00110000000000010001001000100011
+00000000000000010000010011010100_00000_00000000000000000000000000000000
+00000000000000010000010011011100_01110_00110000000000010001001000100011
+00000000000000010000010011100000_00111_00110000000000010001000000000000
+00000000000000010000010011100100_00111_00110000000000010001001000100011
+00000000000000010000010011101100_00100_00000000000000000000000000000001
+00000000000000010000010011110000_00101_00000000000000000000000000000010
+00000000000000010000010011000100_00010_01000000000000000000010011000100
+00000000000000010000010011001000_00010_01000000000000000000000000000000
+00000000000000010000010011001100_00001_00110000000000010001000000000000
+00000000000000010000010011010000_00001_00110000000000010001001000100011
+00000000000000010000010011010100_00000_00000000000000000000000000000000
+00000000000000010000010011011100_01110_00110000000000010001001000100011
+00000000000000010000010011100000_00111_00110000000000010001000000000000
+00000000000000010000010011100100_00111_00110000000000010001001000100011
+00000000000000010000010011101100_00100_00000000000000000000000000000010
+00000000000000010000010011110000_00101_00000000000000000000000000000010
+00000000000000010000010011111000_00011_00000000000000000000000000010100
+00000000000000010000010011111100_00100_00000000000000000000000000000000
+00000000000000010000010100000000_00010_01000000000000000000010100000000
+00000000000000010000010100000100_00010_01000000000000000000000000000000
+00000000000000010000010100001000_00001_00110011000000000001000000000000
+00000000000000010000010100001100_00001_00110011000000000001000100100010
+00000000000000010000010100010000_00000_00000000000000000000000000000000
+00000000000000010000010100010100_00000_00000000000000000000000000000000
+00000000000000010000010100011100_01110_00110011000000000001000100100010
+00000000000000010000010100100000_00111_00110011000000000001000000000000
+00000000000000010000010100100100_00111_00110011000000000001000100100010
+00000000000000010000010100101100_00100_00000000000000000000000000000001
+00000000000000010000010100110000_00101_00000000000000000000000000000010
+00000000000000010000010100000000_00010_01000000000000000000010100000000
+00000000000000010000010100000100_00010_01000000000000000000000000000000
+00000000000000010000010100001000_00001_00110011000000000001000000000000
+00000000000000010000010100001100_00001_00110011000000000001000100100010
+00000000000000010000010100010000_00000_00000000000000000000000000000000
+00000000000000010000010100010100_00000_00000000000000000000000000000000
+00000000000000010000010100011100_01110_00110011000000000001000100100010
+00000000000000010000010100100000_00111_00110011000000000001000000000000
+00000000000000010000010100100100_00111_00110011000000000001000100100010
+00000000000000010000010100101100_00100_00000000000000000000000000000010
+00000000000000010000010100110000_00101_00000000000000000000000000000010
+00000000000000010000010100111000_00011_00000000000000000000000000010101
+00000000000000010000010100111100_00100_00000000000000000000000000000000
+00000000000000010000010101000000_00010_01000000000000000000010101000000
+00000000000000010000010101000100_00010_01000000000000000000000000000000
+00000000000000010000010101001000_00000_00000000000000000000000000000000
+00000000000000010000010101001100_00001_00100011001100000000000000000000
+00000000000000010000010101010000_00001_00100011001100000000000100010010
+00000000000000010000010101011000_01110_00100011001100000000000100010010
+00000000000000010000010101011100_00111_00100011001100000000000000000000
+00000000000000010000010101100000_00111_00100011001100000000000100010010
+00000000000000010000010101101000_00100_00000000000000000000000000000001
+00000000000000010000010101101100_00101_00000000000000000000000000000010
+00000000000000010000010101000000_00010_01000000000000000000010101000000
+00000000000000010000010101000100_00010_01000000000000000000000000000000
+00000000000000010000010101001000_00000_00000000000000000000000000000000
+00000000000000010000010101001100_00001_00100011001100000000000000000000
+00000000000000010000010101010000_00001_00100011001100000000000100010010
+00000000000000010000010101011000_01110_00100011001100000000000100010010
+00000000000000010000010101011100_00111_00100011001100000000000000000000
+00000000000000010000010101100000_00111_00100011001100000000000100010010
+00000000000000010000010101101000_00100_00000000000000000000000000000010
+00000000000000010000010101101100_00101_00000000000000000000000000000010
+00000000000000010000010101110100_00011_00000000000000000000000000010110
+00000000000000010000010101111000_00100_00000000000000000000000000000000
+00000000000000010000010101111100_00010_01000000000000000000010101111100
+00000000000000010000010110000000_00010_01000000000000000000000000000000
+00000000000000010000010110000100_00000_00000000000000000000000000000000
+00000000000000010000010110001000_00001_00100010001100110000000000000000
+00000000000000010000010110001100_00001_00100010001100110000000000010001
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110011000_01110_00100010001100110000000000010001
+00000000000000010000010110011100_00111_00100010001100110000000000000000
+00000000000000010000010110100000_00111_00100010001100110000000000010001
+00000000000000010000010110101000_00100_00000000000000000000000000000001
+00000000000000010000010110101100_00101_00000000000000000000000000000010
+00000000000000010000010101111100_00010_01000000000000000000010101111100
+00000000000000010000010110000000_00010_01000000000000000000000000000000
+00000000000000010000010110000100_00000_00000000000000000000000000000000
+00000000000000010000010110001000_00001_00100010001100110000000000000000
+00000000000000010000010110001100_00001_00100010001100110000000000010001
+00000000000000010000010110010000_00000_00000000000000000000000000000000
+00000000000000010000010110011000_01110_00100010001100110000000000010001
+00000000000000010000010110011100_00111_00100010001100110000000000000000
+00000000000000010000010110100000_00111_00100010001100110000000000010001
+00000000000000010000010110101000_00100_00000000000000000000000000000010
+00000000000000010000010110101100_00101_00000000000000000000000000000010
+00000000000000010000010110110100_00011_00000000000000000000000000010111
+00000000000000010000010110111000_00100_00000000000000000000000000000000
+00000000000000010000010110111100_00010_01000000000000000000010110111100
+00000000000000010000010111000000_00010_01000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_00000_00000000000000000000000000000000
+00000000000000010000010111001100_00001_00010010001000110011000000000000
+00000000000000010000010111010000_00001_00010010001000110011000000000001
+00000000000000010000010111011000_01110_00010010001000110011000000000001
+00000000000000010000010111011100_00111_00010010001000110011000000000000
+00000000000000010000010111100000_00111_00010010001000110011000000000001
+00000000000000010000010111101000_00100_00000000000000000000000000000001
+00000000000000010000010111101100_00101_00000000000000000000000000000010
+00000000000000010000010110111100_00010_01000000000000000000010110111100
+00000000000000010000010111000000_00010_01000000000000000000000000000000
+00000000000000010000010111000100_00000_00000000000000000000000000000000
+00000000000000010000010111001000_00000_00000000000000000000000000000000
+00000000000000010000010111001100_00001_00010010001000110011000000000000
+00000000000000010000010111010000_00001_00010010001000110011000000000001
+00000000000000010000010111011000_01110_00010010001000110011000000000001
+00000000000000010000010111011100_00111_00010010001000110011000000000000
+00000000000000010000010111100000_00111_00010010001000110011000000000001
+00000000000000010000010111101000_00100_00000000000000000000000000000010
+00000000000000010000010111101100_00101_00000000000000000000000000000010
+00000000000000010000011000011000_00011_00000000000000000000000000000001
+00000000000000010000011000011100_10001_00000000000000000000000001011101
+00000000000000010000011000100000_01010_00000000000000000000000000000000
+00000000000000010000011000100000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/sw/dmem.coe b/verilog/dv/test_c0/coe/sw/dmem.coe
new file mode 100644
index 0000000..51b7b5e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sw/dmem.coe
@@ -0,0 +1,36 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+DEADBEEF,
+DEADBEEF,
+DEADBEEF,
+DEADBEEF,
+DEADBEEF,
+DEADBEEF,
+DEADBEEF,
+DEADBEEF,
+DEADBEEF,
+DEADBEEF,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/sw/imem.coe b/verilog/dv/test_c0/coe/sw/imem.coe
new file mode 100644
index 0000000..cc926b1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/sw/imem.coe
@@ -0,0 +1,401 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+003F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+3FFF0097,
+E8C08093,
+00AA0137,
+0AA10113,
+0020A023,
+0000A703,
+00AA03B7,
+0AA38393,
+00200193,
+46771063,
+3FFF0097,
+E6408093,
+AA00B137,
+A0010113,
+0020A223,
+0040A703,
+AA00B3B7,
+A0038393,
+00300193,
+42771C63,
+3FFF0097,
+E3C08093,
+0AA01137,
+AA010113,
+0020A423,
+0080A703,
+0AA013B7,
+AA038393,
+00400193,
+40771863,
+3FFF0097,
+E1408093,
+A00AA137,
+00A10113,
+0020A623,
+00C0A703,
+A00AA3B7,
+00A38393,
+00500193,
+3E771463,
+3FFF0097,
+E0808093,
+00AA0137,
+0AA10113,
+FE20AA23,
+FF40A703,
+00AA03B7,
+0AA38393,
+00600193,
+3C771063,
+3FFF0097,
+DE008093,
+AA00B137,
+A0010113,
+FE20AC23,
+FF80A703,
+AA00B3B7,
+A0038393,
+00700193,
+38771C63,
+3FFF0097,
+DB808093,
+0AA01137,
+AA010113,
+FE20AE23,
+FFC0A703,
+0AA013B7,
+AA038393,
+00800193,
+36771863,
+3FFF0097,
+D9008093,
+A00AA137,
+00A10113,
+0020A023,
+0000A703,
+A00AA3B7,
+00A38393,
+00900193,
+34771463,
+3FFF0097,
+D6C08093,
+12345137,
+67810113,
+FE008213,
+02222023,
+0000A283,
+123453B7,
+67838393,
+00A00193,
+30729E63,
+3FFF0097,
+D4008093,
+58213137,
+09810113,
+FFD08093,
+0020A3A3,
+3FFF0217,
+D2C20213,
+00022283,
+582133B7,
+09838393,
+00B00193,
+2E729463,
+00C00193,
+00000213,
+AABBD0B7,
+CDD08093,
+3FFF0117,
+CDC10113,
+00112023,
+00012703,
+AABBD3B7,
+CDD38393,
+2A771E63,
+00120213,
+00200293,
+FC521AE3,
+00D00193,
+00000213,
+DAABC0B7,
+CCD08093,
+3FFF0117,
+CA410113,
+00000013,
+00112223,
+00412703,
+DAABC3B7,
+CCD38393,
+28771063,
+00120213,
+00200293,
+FC5218E3,
+00E00193,
+00000213,
+DDAAC0B7,
+BCC08093,
+3FFF0117,
+C6810113,
+00000013,
+00000013,
+00112423,
+00812703,
+DDAAC3B7,
+BCC38393,
+24771063,
+00120213,
+00200293,
+FC5216E3,
+00F00193,
+00000213,
+CDDAB0B7,
+BBC08093,
+00000013,
+3FFF0117,
+C2410113,
+00112623,
+00C12703,
+CDDAB3B7,
+BBC38393,
+20771263,
+00120213,
+00200293,
+FC5218E3,
+01000193,
+00000213,
+CCDDB0B7,
+ABB08093,
+00000013,
+3FFF0117,
+BE810113,
+00000013,
+00112823,
+01012703,
+CCDDB3B7,
+ABB38393,
+1C771263,
+00120213,
+00200293,
+FC5216E3,
+01100193,
+00000213,
+BCCDE0B7,
+AAB08093,
+00000013,
+00000013,
+3FFF0117,
+BA410113,
+00112A23,
+01412703,
+BCCDE3B7,
+AAB38393,
+18771263,
+00120213,
+00200293,
+FC5216E3,
+01200193,
+00000213,
+3FFF0117,
+B7410113,
+001120B7,
+23308093,
+00112023,
+00012703,
+001123B7,
+23338393,
+14771663,
+00120213,
+00200293,
+FC521AE3,
+01300193,
+00000213,
+3FFF0117,
+B3C10113,
+300110B7,
+22308093,
+00000013,
+00112223,
+00412703,
+300113B7,
+22338393,
+10771863,
+00120213,
+00200293,
+FC5218E3,
+01400193,
+00000213,
+3FFF0117,
+B0010113,
+330010B7,
+12208093,
+00000013,
+00000013,
+00112423,
+00812703,
+330013B7,
+12238393,
+0C771863,
+00120213,
+00200293,
+FC5216E3,
+01500193,
+00000213,
+3FFF0117,
+AC010113,
+00000013,
+233000B7,
+11208093,
+00112623,
+00C12703,
+233003B7,
+11238393,
+08771A63,
+00120213,
+00200293,
+FC5218E3,
+01600193,
+00000213,
+3FFF0117,
+A8410113,
+00000013,
+223300B7,
+01108093,
+00000013,
+00112823,
+01012703,
+223303B7,
+01138393,
+04771A63,
+00120213,
+00200293,
+FC5216E3,
+01700193,
+00000213,
+3FFF0117,
+A4410113,
+00000013,
+00000013,
+122330B7,
+00108093,
+00112A23,
+01412703,
+122333B7,
+00138393,
+00771A63,
+00120213,
+00200293,
+FC5216E3,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/xor/cekirdek_dy.txt b/verilog/dv/test_c0/coe/xor/cekirdek_dy.txt
new file mode 100644
index 0000000..c37ec3e
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xor/cekirdek_dy.txt
@@ -0,0 +1,729 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01001010011101110001000001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000110011101110001111001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000100011101110001110001100011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000010011101110001101001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100000010110011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01000000011100001001100001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100000100110011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111110011100010001011001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100001100000010110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111100011100001001101001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111000011100110001111001100011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110110011100110001000001100011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001110011100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001110011100011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110010011100110001000001100011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101110011101110001011001100011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00101010011101110001101001100011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100110011101110001110001100011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100000000000110010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100100011101110001000001100011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000000000000110010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100000011101110001001001100011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000100000000000110010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001010001100011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001000000000000110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001101001100011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001001100000000000110010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011101110001111001100011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000000000000110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011101110001000001100011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010100000000000110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011101110001010001100011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001111000100110111_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000010000000100010011_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011000000000000110010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001010011101110001011001100011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000011110001000100110111_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100010000000100010011_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100011100110011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111100010100100001111011100011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001011100000000000110010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001100001100011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000000010110111_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000100000100110011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000010000001110110111_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100000000000000110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100011100010001101001100011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000001100000100110011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000001110110111_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001100100000000000110010011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100010001110001100011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000100000010110011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101000000000000110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001010001100011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010001000100010001000010110111_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010001000100001000000010010011_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001000100010000100110111_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00100010001000010000000100010011_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000001100000000110011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001101100000000000110010011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000011001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000011001010100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000011001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/xor/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/xor/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..9888504
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xor/cekirdek_ps_bin.txt
@@ -0,0 +1,518 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101011000
+00000000000000010000001101011100
+00000000000000010000001101100000
+00000000000000010000001101100100
+00000000000000010000001101101000
+00000000000000010000001101101100
+00000000000000010000001101110000
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110001000
+00000000000000010000001110001100
+00000000000000010000001110010000
+00000000000000010000001110010100
+00000000000000010000001110011000
+00000000000000010000001110011100
+00000000000000010000001110100000
+00000000000000010000001110100100
+00000000000000010000001110101000
+00000000000000010000001110101100
+00000000000000010000001110110000
+00000000000000010000001110110100
+00000000000000010000001110111000
+00000000000000010000001110111100
+00000000000000010000001111000000
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111000100
+00000000000000010000001111001000
+00000000000000010000001111001100
+00000000000000010000001111010000
+00000000000000010000001111010100
+00000000000000010000001111011000
+00000000000000010000001111011100
+00000000000000010000001111100000
+00000000000000010000001111100100
+00000000000000010000001111101000
+00000000000000010000001111101100
+00000000000000010000001111110000
+00000000000000010000001111110100
+00000000000000010000001111111000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000001111111100
+00000000000000010000010000000000
+00000000000000010000010000000100
+00000000000000010000010000001000
+00000000000000010000010000001100
+00000000000000010000010000010000
+00000000000000010000010000010100
+00000000000000010000010000011000
+00000000000000010000010000011100
+00000000000000010000010000100000
+00000000000000010000010000100100
+00000000000000010000010000101000
+00000000000000010000010000101100
+00000000000000010000010000110000
+00000000000000010000010000110100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010000111000
+00000000000000010000010000111100
+00000000000000010000010001000000
+00000000000000010000010001000100
+00000000000000010000010001001000
+00000000000000010000010001001100
+00000000000000010000010001010000
+00000000000000010000010001010100
+00000000000000010000010001011000
+00000000000000010000010001011100
+00000000000000010000010001100000
+00000000000000010000010001100100
+00000000000000010000010001101000
+00000000000000010000010001101100
+00000000000000010000010001110000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010001110100
+00000000000000010000010001111000
+00000000000000010000010001111100
+00000000000000010000010010000000
+00000000000000010000010010000100
+00000000000000010000010010001000
+00000000000000010000010010001100
+00000000000000010000010010010000
+00000000000000010000010010010100
+00000000000000010000010010011000
+00000000000000010000010010011100
+00000000000000010000010010100000
+00000000000000010000010010100100
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010010101000
+00000000000000010000010010101100
+00000000000000010000010010110000
+00000000000000010000010010110100
+00000000000000010000010010111000
+00000000000000010000010010111100
+00000000000000010000010011000000
+00000000000000010000010011000100
+00000000000000010000010011001000
+00000000000000010000010011001100
+00000000000000010000010011010000
+00000000000000010000010011010100
+00000000000000010000010011011000
+00000000000000010000010011011100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010011100000
+00000000000000010000010011100100
+00000000000000010000010011101000
+00000000000000010000010011101100
+00000000000000010000010011110000
+00000000000000010000010011110100
+00000000000000010000010011111000
+00000000000000010000010011111100
+00000000000000010000010100000000
+00000000000000010000010100000100
+00000000000000010000010100001000
+00000000000000010000010100001100
+00000000000000010000010100010000
+00000000000000010000010100010100
+00000000000000010000010100011000
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010100011100
+00000000000000010000010100100000
+00000000000000010000010100100100
+00000000000000010000010100101000
+00000000000000010000010100101100
+00000000000000010000010100110000
+00000000000000010000010100110100
+00000000000000010000010100111000
+00000000000000010000010100111100
+00000000000000010000010101000000
+00000000000000010000010101000100
+00000000000000010000010101001000
+00000000000000010000010101001100
+00000000000000010000010101010000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101010100
+00000000000000010000010101011000
+00000000000000010000010101011100
+00000000000000010000010101100000
+00000000000000010000010101100100
+00000000000000010000010101101000
+00000000000000010000010101101100
+00000000000000010000010101110000
+00000000000000010000010101110100
+00000000000000010000010101111000
+00000000000000010000010101111100
+00000000000000010000010110000000
+00000000000000010000010110000100
+00000000000000010000010110001000
+00000000000000010000010110001100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110010000
+00000000000000010000010110010100
+00000000000000010000010110011000
+00000000000000010000010110011100
+00000000000000010000010110100000
+00000000000000010000010110100100
+00000000000000010000010110101000
+00000000000000010000010110101100
+00000000000000010000010110110000
+00000000000000010000010110110100
+00000000000000010000010110111000
+00000000000000010000010110111100
+00000000000000010000010111000000
+00000000000000010000010111000100
+00000000000000010000010111001000
+00000000000000010000010111001100
+00000000000000010000010111010000
+00000000000000010000010111010100
+00000000000000010000010111011000
+00000000000000010000010111011100
+00000000000000010000010111100000
+00000000000000010000010111100100
+00000000000000010000010111101000
+00000000000000010000010111101100
+00000000000000010000010111110000
+00000000000000010000010111110100
+00000000000000010000010111111000
+00000000000000010000010111111100
+00000000000000010000011000000000
+00000000000000010000011000000100
+00000000000000010000011000001000
+00000000000000010000011000001100
+00000000000000010000011000010000
+00000000000000010000011000010100
+00000000000000010000011000011000
+00000000000000010000011000011100
+00000000000000010000011000100000
+00000000000000010000011000100100
+00000000000000010000011000101000
+00000000000000010000011000101100
+00000000000000010000011000110000
+00000000000000010000011001010000
+00000000000000010000011001010100
+00000000000000010000011001011000
+00000000000000010000011001011100
+00000000000000010000011001011100
diff --git a/verilog/dv/test_c0/coe/xor/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/xor/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..441dae2
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xor/cekirdek_ps_hex.txt
@@ -0,0 +1,518 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010350
+00010354
+00010358
+0001035c
+00010360
+00010364
+00010368
+0001036c
+00010370
+00010374
+00010378
+0001037c
+00010380
+00010384
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+00010388
+0001038c
+00010390
+00010394
+00010398
+0001039c
+000103a0
+000103a4
+000103a8
+000103ac
+000103b0
+000103b4
+000103b8
+000103bc
+000103c0
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103c4
+000103c8
+000103cc
+000103d0
+000103d4
+000103d8
+000103dc
+000103e0
+000103e4
+000103e8
+000103ec
+000103f0
+000103f4
+000103f8
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+000103fc
+00010400
+00010404
+00010408
+0001040c
+00010410
+00010414
+00010418
+0001041c
+00010420
+00010424
+00010428
+0001042c
+00010430
+00010434
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010438
+0001043c
+00010440
+00010444
+00010448
+0001044c
+00010450
+00010454
+00010458
+0001045c
+00010460
+00010464
+00010468
+0001046c
+00010470
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010474
+00010478
+0001047c
+00010480
+00010484
+00010488
+0001048c
+00010490
+00010494
+00010498
+0001049c
+000104a0
+000104a4
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104a8
+000104ac
+000104b0
+000104b4
+000104b8
+000104bc
+000104c0
+000104c4
+000104c8
+000104cc
+000104d0
+000104d4
+000104d8
+000104dc
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+000104e0
+000104e4
+000104e8
+000104ec
+000104f0
+000104f4
+000104f8
+000104fc
+00010500
+00010504
+00010508
+0001050c
+00010510
+00010514
+00010518
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+0001051c
+00010520
+00010524
+00010528
+0001052c
+00010530
+00010534
+00010538
+0001053c
+00010540
+00010544
+00010548
+0001054c
+00010550
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+00010554
+00010558
+0001055c
+00010560
+00010564
+00010568
+0001056c
+00010570
+00010574
+00010578
+0001057c
+00010580
+00010584
+00010588
+0001058c
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+00010590
+00010594
+00010598
+0001059c
+000105a0
+000105a4
+000105a8
+000105ac
+000105b0
+000105b4
+000105b8
+000105bc
+000105c0
+000105c4
+000105c8
+000105cc
+000105d0
+000105d4
+000105d8
+000105dc
+000105e0
+000105e4
+000105e8
+000105ec
+000105f0
+000105f4
+000105f8
+000105fc
+00010600
+00010604
+00010608
+0001060c
+00010610
+00010614
+00010618
+0001061c
+00010620
+00010624
+00010628
+0001062c
+00010630
+00010650
+00010654
+00010658
+0001065c
+0001065c
diff --git a/verilog/dv/test_c0/coe/xor/cekirdek_yo.txt b/verilog/dv/test_c0/coe/xor/cekirdek_yo.txt
new file mode 100644
index 0000000..80c93b6
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xor/cekirdek_yo.txt
@@ -0,0 +1,442 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_11111111000000010000000000000000
+00000000000000010000000101111000_00001_11111111000000001111111100000000
+00000000000000010000000101111100_00010_00001111000011110001000000000000
+00000000000000010000000110000000_00010_00001111000011110000111100001111
+00000000000000010000000110000100_01110_11110000000011111111000000001111
+00000000000000010000000110001000_00111_11110000000011111111000000000000
+00000000000000010000000110001100_00111_11110000000011111111000000001111
+00000000000000010000000110010000_00011_00000000000000000000000000000010
+00000000000000010000000110011000_00001_00001111111100000001000000000000
+00000000000000010000000110011100_00001_00001111111100000000111111110000
+00000000000000010000000110100000_00010_11110000111100001111000000000000
+00000000000000010000000110100100_00010_11110000111100001111000011110000
+00000000000000010000000110101000_01110_11111111000000001111111100000000
+00000000000000010000000110101100_00111_11111111000000010000000000000000
+00000000000000010000000110110000_00111_11111111000000001111111100000000
+00000000000000010000000110110100_00011_00000000000000000000000000000011
+00000000000000010000000110111100_00001_00000000111111110000000000000000
+00000000000000010000000111000000_00001_00000000111111110000000011111111
+00000000000000010000000111000100_00010_00001111000011110001000000000000
+00000000000000010000000111001000_00010_00001111000011110000111100001111
+00000000000000010000000111001100_01110_00001111111100000000111111110000
+00000000000000010000000111010000_00111_00001111111100000001000000000000
+00000000000000010000000111010100_00111_00001111111100000000111111110000
+00000000000000010000000111011000_00011_00000000000000000000000000000100
+00000000000000010000000111100000_00001_11110000000011111111000000000000
+00000000000000010000000111100100_00001_11110000000011111111000000001111
+00000000000000010000000111101000_00010_11110000111100001111000000000000
+00000000000000010000000111101100_00010_11110000111100001111000011110000
+00000000000000010000000111110000_01110_00000000111111110000000011111111
+00000000000000010000000111110100_00111_00000000111111110000000000000000
+00000000000000010000000111111000_00111_00000000111111110000000011111111
+00000000000000010000000111111100_00011_00000000000000000000000000000101
+00000000000000010000001000000100_00001_11111111000000010000000000000000
+00000000000000010000001000001000_00001_11111111000000001111111100000000
+00000000000000010000001000001100_00010_00001111000011110001000000000000
+00000000000000010000001000010000_00010_00001111000011110000111100001111
+00000000000000010000001000010100_00001_11110000000011111111000000001111
+00000000000000010000001000011000_00111_11110000000011111111000000000000
+00000000000000010000001000011100_00111_11110000000011111111000000001111
+00000000000000010000001000100000_00011_00000000000000000000000000000110
+00000000000000010000001000101000_00001_11111111000000010000000000000000
+00000000000000010000001000101100_00001_11111111000000001111111100000000
+00000000000000010000001000110000_00010_00001111000011110001000000000000
+00000000000000010000001000110100_00010_00001111000011110000111100001111
+00000000000000010000001000111000_00010_11110000000011111111000000001111
+00000000000000010000001000111100_00111_11110000000011111111000000000000
+00000000000000010000001001000000_00111_11110000000011111111000000001111
+00000000000000010000001001000100_00011_00000000000000000000000000000111
+00000000000000010000001001001100_00001_11111111000000010000000000000000
+00000000000000010000001001010000_00001_11111111000000001111111100000000
+00000000000000010000001001010100_00001_00000000000000000000000000000000
+00000000000000010000001001011000_00111_00000000000000000000000000000000
+00000000000000010000001001011100_00011_00000000000000000000000000001000
+00000000000000010000001001100100_00100_00000000000000000000000000000000
+00000000000000010000001001101000_00001_11111111000000010000000000000000
+00000000000000010000001001101100_00001_11111111000000001111111100000000
+00000000000000010000001001110000_00010_00001111000011110001000000000000
+00000000000000010000001001110100_00010_00001111000011110000111100001111
+00000000000000010000001001111000_01110_11110000000011111111000000001111
+00000000000000010000001001111100_00110_11110000000011111111000000001111
+00000000000000010000001010000000_00100_00000000000000000000000000000001
+00000000000000010000001010000100_00101_00000000000000000000000000000010
+00000000000000010000001001101000_00001_11111111000000010000000000000000
+00000000000000010000001001101100_00001_11111111000000001111111100000000
+00000000000000010000001001110000_00010_00001111000011110001000000000000
+00000000000000010000001001110100_00010_00001111000011110000111100001111
+00000000000000010000001001111000_01110_11110000000011111111000000001111
+00000000000000010000001001111100_00110_11110000000011111111000000001111
+00000000000000010000001010000000_00100_00000000000000000000000000000010
+00000000000000010000001010000100_00101_00000000000000000000000000000010
+00000000000000010000001010001100_00111_11110000000011111111000000000000
+00000000000000010000001010010000_00111_11110000000011111111000000001111
+00000000000000010000001010010100_00011_00000000000000000000000000001001
+00000000000000010000001010011100_00100_00000000000000000000000000000000
+00000000000000010000001010100000_00001_00001111111100000001000000000000
+00000000000000010000001010100100_00001_00001111111100000000111111110000
+00000000000000010000001010101000_00010_11110000111100001111000000000000
+00000000000000010000001010101100_00010_11110000111100001111000011110000
+00000000000000010000001010110000_01110_11111111000000001111111100000000
+00000000000000010000001010110100_00000_00000000000000000000000000000000
+00000000000000010000001010111000_00110_11111111000000001111111100000000
+00000000000000010000001010111100_00100_00000000000000000000000000000001
+00000000000000010000001011000000_00101_00000000000000000000000000000010
+00000000000000010000001010100000_00001_00001111111100000001000000000000
+00000000000000010000001010100100_00001_00001111111100000000111111110000
+00000000000000010000001010101000_00010_11110000111100001111000000000000
+00000000000000010000001010101100_00010_11110000111100001111000011110000
+00000000000000010000001010110000_01110_11111111000000001111111100000000
+00000000000000010000001010110100_00000_00000000000000000000000000000000
+00000000000000010000001010111000_00110_11111111000000001111111100000000
+00000000000000010000001010111100_00100_00000000000000000000000000000010
+00000000000000010000001011000000_00101_00000000000000000000000000000010
+00000000000000010000001011001000_00111_11111111000000010000000000000000
+00000000000000010000001011001100_00111_11111111000000001111111100000000
+00000000000000010000001011010000_00011_00000000000000000000000000001010
+00000000000000010000001011011000_00100_00000000000000000000000000000000
+00000000000000010000001011011100_00001_00000000111111110000000000000000
+00000000000000010000001011100000_00001_00000000111111110000000011111111
+00000000000000010000001011100100_00010_00001111000011110001000000000000
+00000000000000010000001011101000_00010_00001111000011110000111100001111
+00000000000000010000001011101100_01110_00001111111100000000111111110000
+00000000000000010000001011110000_00000_00000000000000000000000000000000
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00110_00001111111100000000111111110000
+00000000000000010000001011111100_00100_00000000000000000000000000000001
+00000000000000010000001100000000_00101_00000000000000000000000000000010
+00000000000000010000001011011100_00001_00000000111111110000000000000000
+00000000000000010000001011100000_00001_00000000111111110000000011111111
+00000000000000010000001011100100_00010_00001111000011110001000000000000
+00000000000000010000001011101000_00010_00001111000011110000111100001111
+00000000000000010000001011101100_01110_00001111111100000000111111110000
+00000000000000010000001011110000_00000_00000000000000000000000000000000
+00000000000000010000001011110100_00000_00000000000000000000000000000000
+00000000000000010000001011111000_00110_00001111111100000000111111110000
+00000000000000010000001011111100_00100_00000000000000000000000000000010
+00000000000000010000001100000000_00101_00000000000000000000000000000010
+00000000000000010000001100001000_00111_00001111111100000001000000000000
+00000000000000010000001100001100_00111_00001111111100000000111111110000
+00000000000000010000001100010000_00011_00000000000000000000000000001011
+00000000000000010000001100011000_00100_00000000000000000000000000000000
+00000000000000010000001100011100_00001_11111111000000010000000000000000
+00000000000000010000001100100000_00001_11111111000000001111111100000000
+00000000000000010000001100100100_00010_00001111000011110001000000000000
+00000000000000010000001100101000_00010_00001111000011110000111100001111
+00000000000000010000001100101100_01110_11110000000011111111000000001111
+00000000000000010000001100110000_00100_00000000000000000000000000000001
+00000000000000010000001100110100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00001_11111111000000010000000000000000
+00000000000000010000001100100000_00001_11111111000000001111111100000000
+00000000000000010000001100100100_00010_00001111000011110001000000000000
+00000000000000010000001100101000_00010_00001111000011110000111100001111
+00000000000000010000001100101100_01110_11110000000011111111000000001111
+00000000000000010000001100110000_00100_00000000000000000000000000000010
+00000000000000010000001100110100_00101_00000000000000000000000000000010
+00000000000000010000001100111100_00111_11110000000011111111000000000000
+00000000000000010000001101000000_00111_11110000000011111111000000001111
+00000000000000010000001101000100_00011_00000000000000000000000000001100
+00000000000000010000001101001100_00100_00000000000000000000000000000000
+00000000000000010000001101010000_00001_00001111111100000001000000000000
+00000000000000010000001101010100_00001_00001111111100000000111111110000
+00000000000000010000001101011000_00010_11110000111100001111000000000000
+00000000000000010000001101011100_00010_11110000111100001111000011110000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_01110_11111111000000001111111100000000
+00000000000000010000001101101000_00100_00000000000000000000000000000001
+00000000000000010000001101101100_00101_00000000000000000000000000000010
+00000000000000010000001101010000_00001_00001111111100000001000000000000
+00000000000000010000001101010100_00001_00001111111100000000111111110000
+00000000000000010000001101011000_00010_11110000111100001111000000000000
+00000000000000010000001101011100_00010_11110000111100001111000011110000
+00000000000000010000001101100000_00000_00000000000000000000000000000000
+00000000000000010000001101100100_01110_11111111000000001111111100000000
+00000000000000010000001101101000_00100_00000000000000000000000000000010
+00000000000000010000001101101100_00101_00000000000000000000000000000010
+00000000000000010000001101110100_00111_11111111000000010000000000000000
+00000000000000010000001101111000_00111_11111111000000001111111100000000
+00000000000000010000001101111100_00011_00000000000000000000000000001101
+00000000000000010000001110000100_00100_00000000000000000000000000000000
+00000000000000010000001110001000_00001_00000000111111110000000000000000
+00000000000000010000001110001100_00001_00000000111111110000000011111111
+00000000000000010000001110010000_00010_00001111000011110001000000000000
+00000000000000010000001110010100_00010_00001111000011110000111100001111
+00000000000000010000001110011000_00000_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00001111111100000000111111110000
+00000000000000010000001110100100_00100_00000000000000000000000000000001
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110001000_00001_00000000111111110000000000000000
+00000000000000010000001110001100_00001_00000000111111110000000011111111
+00000000000000010000001110010000_00010_00001111000011110001000000000000
+00000000000000010000001110010100_00010_00001111000011110000111100001111
+00000000000000010000001110011000_00000_00000000000000000000000000000000
+00000000000000010000001110011100_00000_00000000000000000000000000000000
+00000000000000010000001110100000_01110_00001111111100000000111111110000
+00000000000000010000001110100100_00100_00000000000000000000000000000010
+00000000000000010000001110101000_00101_00000000000000000000000000000010
+00000000000000010000001110110000_00111_00001111111100000001000000000000
+00000000000000010000001110110100_00111_00001111111100000000111111110000
+00000000000000010000001110111000_00011_00000000000000000000000000001110
+00000000000000010000001111000000_00100_00000000000000000000000000000000
+00000000000000010000001111000100_00001_11111111000000010000000000000000
+00000000000000010000001111001000_00001_11111111000000001111111100000000
+00000000000000010000001111001100_00000_00000000000000000000000000000000
+00000000000000010000001111010000_00010_00001111000011110001000000000000
+00000000000000010000001111010100_00010_00001111000011110000111100001111
+00000000000000010000001111011000_01110_11110000000011111111000000001111
+00000000000000010000001111011100_00100_00000000000000000000000000000001
+00000000000000010000001111100000_00101_00000000000000000000000000000010
+00000000000000010000001111000100_00001_11111111000000010000000000000000
+00000000000000010000001111001000_00001_11111111000000001111111100000000
+00000000000000010000001111001100_00000_00000000000000000000000000000000
+00000000000000010000001111010000_00010_00001111000011110001000000000000
+00000000000000010000001111010100_00010_00001111000011110000111100001111
+00000000000000010000001111011000_01110_11110000000011111111000000001111
+00000000000000010000001111011100_00100_00000000000000000000000000000010
+00000000000000010000001111100000_00101_00000000000000000000000000000010
+00000000000000010000001111101000_00111_11110000000011111111000000000000
+00000000000000010000001111101100_00111_11110000000011111111000000001111
+00000000000000010000001111110000_00011_00000000000000000000000000001111
+00000000000000010000001111111000_00100_00000000000000000000000000000000
+00000000000000010000001111111100_00001_00001111111100000001000000000000
+00000000000000010000010000000000_00001_00001111111100000000111111110000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_00010_11110000111100001111000000000000
+00000000000000010000010000001100_00010_11110000111100001111000011110000
+00000000000000010000010000010000_00000_00000000000000000000000000000000
+00000000000000010000010000010100_01110_11111111000000001111111100000000
+00000000000000010000010000011000_00100_00000000000000000000000000000001
+00000000000000010000010000011100_00101_00000000000000000000000000000010
+00000000000000010000001111111100_00001_00001111111100000001000000000000
+00000000000000010000010000000000_00001_00001111111100000000111111110000
+00000000000000010000010000000100_00000_00000000000000000000000000000000
+00000000000000010000010000001000_00010_11110000111100001111000000000000
+00000000000000010000010000001100_00010_11110000111100001111000011110000
+00000000000000010000010000010000_00000_00000000000000000000000000000000
+00000000000000010000010000010100_01110_11111111000000001111111100000000
+00000000000000010000010000011000_00100_00000000000000000000000000000010
+00000000000000010000010000011100_00101_00000000000000000000000000000010
+00000000000000010000010000100100_00111_11111111000000010000000000000000
+00000000000000010000010000101000_00111_11111111000000001111111100000000
+00000000000000010000010000101100_00011_00000000000000000000000000010000
+00000000000000010000010000110100_00100_00000000000000000000000000000000
+00000000000000010000010000111000_00001_00000000111111110000000000000000
+00000000000000010000010000111100_00001_00000000111111110000000011111111
+00000000000000010000010001000000_00000_00000000000000000000000000000000
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00010_00001111000011110001000000000000
+00000000000000010000010001001100_00010_00001111000011110000111100001111
+00000000000000010000010001010000_01110_00001111111100000000111111110000
+00000000000000010000010001010100_00100_00000000000000000000000000000001
+00000000000000010000010001011000_00101_00000000000000000000000000000010
+00000000000000010000010000111000_00001_00000000111111110000000000000000
+00000000000000010000010000111100_00001_00000000111111110000000011111111
+00000000000000010000010001000000_00000_00000000000000000000000000000000
+00000000000000010000010001000100_00000_00000000000000000000000000000000
+00000000000000010000010001001000_00010_00001111000011110001000000000000
+00000000000000010000010001001100_00010_00001111000011110000111100001111
+00000000000000010000010001010000_01110_00001111111100000000111111110000
+00000000000000010000010001010100_00100_00000000000000000000000000000010
+00000000000000010000010001011000_00101_00000000000000000000000000000010
+00000000000000010000010001100000_00111_00001111111100000001000000000000
+00000000000000010000010001100100_00111_00001111111100000000111111110000
+00000000000000010000010001101000_00011_00000000000000000000000000010001
+00000000000000010000010001110000_00100_00000000000000000000000000000000
+00000000000000010000010001110100_00010_00001111000011110001000000000000
+00000000000000010000010001111000_00010_00001111000011110000111100001111
+00000000000000010000010001111100_00001_11111111000000010000000000000000
+00000000000000010000010010000000_00001_11111111000000001111111100000000
+00000000000000010000010010000100_01110_11110000000011111111000000001111
+00000000000000010000010010001000_00100_00000000000000000000000000000001
+00000000000000010000010010001100_00101_00000000000000000000000000000010
+00000000000000010000010001110100_00010_00001111000011110001000000000000
+00000000000000010000010001111000_00010_00001111000011110000111100001111
+00000000000000010000010001111100_00001_11111111000000010000000000000000
+00000000000000010000010010000000_00001_11111111000000001111111100000000
+00000000000000010000010010000100_01110_11110000000011111111000000001111
+00000000000000010000010010001000_00100_00000000000000000000000000000010
+00000000000000010000010010001100_00101_00000000000000000000000000000010
+00000000000000010000010010010100_00111_11110000000011111111000000000000
+00000000000000010000010010011000_00111_11110000000011111111000000001111
+00000000000000010000010010011100_00011_00000000000000000000000000010010
+00000000000000010000010010100100_00100_00000000000000000000000000000000
+00000000000000010000010010101000_00010_11110000111100001111000000000000
+00000000000000010000010010101100_00010_11110000111100001111000011110000
+00000000000000010000010010110000_00001_00001111111100000001000000000000
+00000000000000010000010010110100_00001_00001111111100000000111111110000
+00000000000000010000010010111000_00000_00000000000000000000000000000000
+00000000000000010000010010111100_01110_11111111000000001111111100000000
+00000000000000010000010011000000_00100_00000000000000000000000000000001
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010010101000_00010_11110000111100001111000000000000
+00000000000000010000010010101100_00010_11110000111100001111000011110000
+00000000000000010000010010110000_00001_00001111111100000001000000000000
+00000000000000010000010010110100_00001_00001111111100000000111111110000
+00000000000000010000010010111000_00000_00000000000000000000000000000000
+00000000000000010000010010111100_01110_11111111000000001111111100000000
+00000000000000010000010011000000_00100_00000000000000000000000000000010
+00000000000000010000010011000100_00101_00000000000000000000000000000010
+00000000000000010000010011001100_00111_11111111000000010000000000000000
+00000000000000010000010011010000_00111_11111111000000001111111100000000
+00000000000000010000010011010100_00011_00000000000000000000000000010011
+00000000000000010000010011011100_00100_00000000000000000000000000000000
+00000000000000010000010011100000_00010_00001111000011110001000000000000
+00000000000000010000010011100100_00010_00001111000011110000111100001111
+00000000000000010000010011101000_00001_00000000111111110000000000000000
+00000000000000010000010011101100_00001_00000000111111110000000011111111
+00000000000000010000010011110000_00000_00000000000000000000000000000000
+00000000000000010000010011110100_00000_00000000000000000000000000000000
+00000000000000010000010011111000_01110_00001111111100000000111111110000
+00000000000000010000010011111100_00100_00000000000000000000000000000001
+00000000000000010000010100000000_00101_00000000000000000000000000000010
+00000000000000010000010011100000_00010_00001111000011110001000000000000
+00000000000000010000010011100100_00010_00001111000011110000111100001111
+00000000000000010000010011101000_00001_00000000111111110000000000000000
+00000000000000010000010011101100_00001_00000000111111110000000011111111
+00000000000000010000010011110000_00000_00000000000000000000000000000000
+00000000000000010000010011110100_00000_00000000000000000000000000000000
+00000000000000010000010011111000_01110_00001111111100000000111111110000
+00000000000000010000010011111100_00100_00000000000000000000000000000010
+00000000000000010000010100000000_00101_00000000000000000000000000000010
+00000000000000010000010100001000_00111_00001111111100000001000000000000
+00000000000000010000010100001100_00111_00001111111100000000111111110000
+00000000000000010000010100010000_00011_00000000000000000000000000010100
+00000000000000010000010100011000_00100_00000000000000000000000000000000
+00000000000000010000010100011100_00010_00001111000011110001000000000000
+00000000000000010000010100100000_00010_00001111000011110000111100001111
+00000000000000010000010100100100_00000_00000000000000000000000000000000
+00000000000000010000010100101000_00001_11111111000000010000000000000000
+00000000000000010000010100101100_00001_11111111000000001111111100000000
+00000000000000010000010100110000_01110_11110000000011111111000000001111
+00000000000000010000010100110100_00100_00000000000000000000000000000001
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010100011100_00010_00001111000011110001000000000000
+00000000000000010000010100100000_00010_00001111000011110000111100001111
+00000000000000010000010100100100_00000_00000000000000000000000000000000
+00000000000000010000010100101000_00001_11111111000000010000000000000000
+00000000000000010000010100101100_00001_11111111000000001111111100000000
+00000000000000010000010100110000_01110_11110000000011111111000000001111
+00000000000000010000010100110100_00100_00000000000000000000000000000010
+00000000000000010000010100111000_00101_00000000000000000000000000000010
+00000000000000010000010101000000_00111_11110000000011111111000000000000
+00000000000000010000010101000100_00111_11110000000011111111000000001111
+00000000000000010000010101001000_00011_00000000000000000000000000010101
+00000000000000010000010101010000_00100_00000000000000000000000000000000
+00000000000000010000010101010100_00010_11110000111100001111000000000000
+00000000000000010000010101011000_00010_11110000111100001111000011110000
+00000000000000010000010101011100_00000_00000000000000000000000000000000
+00000000000000010000010101100000_00001_00001111111100000001000000000000
+00000000000000010000010101100100_00001_00001111111100000000111111110000
+00000000000000010000010101101000_00000_00000000000000000000000000000000
+00000000000000010000010101101100_01110_11111111000000001111111100000000
+00000000000000010000010101110000_00100_00000000000000000000000000000001
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101010100_00010_11110000111100001111000000000000
+00000000000000010000010101011000_00010_11110000111100001111000011110000
+00000000000000010000010101011100_00000_00000000000000000000000000000000
+00000000000000010000010101100000_00001_00001111111100000001000000000000
+00000000000000010000010101100100_00001_00001111111100000000111111110000
+00000000000000010000010101101000_00000_00000000000000000000000000000000
+00000000000000010000010101101100_01110_11111111000000001111111100000000
+00000000000000010000010101110000_00100_00000000000000000000000000000010
+00000000000000010000010101110100_00101_00000000000000000000000000000010
+00000000000000010000010101111100_00111_11111111000000010000000000000000
+00000000000000010000010110000000_00111_11111111000000001111111100000000
+00000000000000010000010110000100_00011_00000000000000000000000000010110
+00000000000000010000010110001100_00100_00000000000000000000000000000000
+00000000000000010000010110010000_00010_00001111000011110001000000000000
+00000000000000010000010110010100_00010_00001111000011110000111100001111
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_00000_00000000000000000000000000000000
+00000000000000010000010110100000_00001_00000000111111110000000000000000
+00000000000000010000010110100100_00001_00000000111111110000000011111111
+00000000000000010000010110101000_01110_00001111111100000000111111110000
+00000000000000010000010110101100_00100_00000000000000000000000000000001
+00000000000000010000010110110000_00101_00000000000000000000000000000010
+00000000000000010000010110010000_00010_00001111000011110001000000000000
+00000000000000010000010110010100_00010_00001111000011110000111100001111
+00000000000000010000010110011000_00000_00000000000000000000000000000000
+00000000000000010000010110011100_00000_00000000000000000000000000000000
+00000000000000010000010110100000_00001_00000000111111110000000000000000
+00000000000000010000010110100100_00001_00000000111111110000000011111111
+00000000000000010000010110101000_01110_00001111111100000000111111110000
+00000000000000010000010110101100_00100_00000000000000000000000000000010
+00000000000000010000010110110000_00101_00000000000000000000000000000010
+00000000000000010000010110111000_00111_00001111111100000001000000000000
+00000000000000010000010110111100_00111_00001111111100000000111111110000
+00000000000000010000010111000000_00011_00000000000000000000000000010111
+00000000000000010000010111001000_00001_11111111000000010000000000000000
+00000000000000010000010111001100_00001_11111111000000001111111100000000
+00000000000000010000010111010000_00010_11111111000000001111111100000000
+00000000000000010000010111010100_00111_11111111000000010000000000000000
+00000000000000010000010111011000_00111_11111111000000001111111100000000
+00000000000000010000010111011100_00011_00000000000000000000000000011000
+00000000000000010000010111100100_00001_00000000111111110000000000000000
+00000000000000010000010111101000_00001_00000000111111110000000011111111
+00000000000000010000010111101100_00010_00000000111111110000000011111111
+00000000000000010000010111110000_00111_00000000111111110000000000000000
+00000000000000010000010111110100_00111_00000000111111110000000011111111
+00000000000000010000010111111000_00011_00000000000000000000000000011001
+00000000000000010000011000000000_00001_00000000000000000000000000000000
+00000000000000010000011000000100_00111_00000000000000000000000000000000
+00000000000000010000011000001000_00011_00000000000000000000000000011010
+00000000000000010000011000010000_00001_00010001000100010001000000000000
+00000000000000010000011000010100_00001_00010001000100010001000100010001
+00000000000000010000011000011000_00010_00100010001000100010000000000000
+00000000000000010000011000011100_00010_00100010001000100010001000100010
+00000000000000010000011000100000_00000_00110011001100110011001100110011
+00000000000000010000011000100100_00111_00000000000000000000000000000000
+00000000000000010000011000101000_00011_00000000000000000000000000011011
+00000000000000010000011001010100_00011_00000000000000000000000000000001
+00000000000000010000011001011000_10001_00000000000000000000000001011101
+00000000000000010000011001011100_01010_00000000000000000000000000000000
+00000000000000010000011001011100_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/xor/dmem.coe b/verilog/dv/test_c0/coe/xor/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xor/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/xor/imem.coe b/verilog/dv/test_c0/coe/xor/imem.coe
new file mode 100644
index 0000000..5e9ac0d
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xor/imem.coe
@@ -0,0 +1,417 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020C733,
+F00FF3B7,
+00F38393,
+00200193,
+4A771063,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+0020C733,
+FF0103B7,
+F0038393,
+00300193,
+46771E63,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+0020C733,
+0FF013B7,
+FF038393,
+00400193,
+44771C63,
+F00FF0B7,
+00F08093,
+F0F0F137,
+0F010113,
+0020C733,
+00FF03B7,
+0FF38393,
+00500193,
+42771A63,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020C0B3,
+F00FF3B7,
+00F38393,
+00600193,
+40709863,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020C133,
+F00FF3B7,
+00F38393,
+00700193,
+3E711663,
+FF0100B7,
+F0008093,
+0010C0B3,
+00000393,
+00800193,
+3C709A63,
+00000213,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020C733,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+F00FF3B7,
+00F38393,
+00900193,
+38731E63,
+00000213,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+0020C733,
+00000013,
+00070313,
+00120213,
+00200293,
+FC521EE3,
+FF0103B7,
+F0038393,
+00A00193,
+36731063,
+00000213,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+0020C733,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FC521CE3,
+0FF013B7,
+FF038393,
+00B00193,
+32731063,
+00000213,
+FF0100B7,
+F0008093,
+0F0F1137,
+F0F10113,
+0020C733,
+00120213,
+00200293,
+FE5212E3,
+F00FF3B7,
+00F38393,
+00C00193,
+2E771663,
+00000213,
+0FF010B7,
+FF008093,
+F0F0F137,
+0F010113,
+00000013,
+0020C733,
+00120213,
+00200293,
+FE5210E3,
+FF0103B7,
+F0038393,
+00D00193,
+2A771A63,
+00000213,
+00FF00B7,
+0FF08093,
+0F0F1137,
+F0F10113,
+00000013,
+00000013,
+0020C733,
+00120213,
+00200293,
+FC521EE3,
+0FF013B7,
+FF038393,
+00E00193,
+26771C63,
+00000213,
+FF0100B7,
+F0008093,
+00000013,
+0F0F1137,
+F0F10113,
+0020C733,
+00120213,
+00200293,
+FE5210E3,
+F00FF3B7,
+00F38393,
+00F00193,
+24771063,
+00000213,
+0FF010B7,
+FF008093,
+00000013,
+F0F0F137,
+0F010113,
+00000013,
+0020C733,
+00120213,
+00200293,
+FC521EE3,
+FF0103B7,
+F0038393,
+01000193,
+20771263,
+00000213,
+00FF00B7,
+0FF08093,
+00000013,
+00000013,
+0F0F1137,
+F0F10113,
+0020C733,
+00120213,
+00200293,
+FC521EE3,
+0FF013B7,
+FF038393,
+01100193,
+1C771463,
+00000213,
+0F0F1137,
+F0F10113,
+FF0100B7,
+F0008093,
+0020C733,
+00120213,
+00200293,
+FE5212E3,
+F00FF3B7,
+00F38393,
+01200193,
+18771A63,
+00000213,
+F0F0F137,
+0F010113,
+0FF010B7,
+FF008093,
+00000013,
+0020C733,
+00120213,
+00200293,
+FE5210E3,
+FF0103B7,
+F0038393,
+01300193,
+14771E63,
+00000213,
+0F0F1137,
+F0F10113,
+00FF00B7,
+0FF08093,
+00000013,
+00000013,
+0020C733,
+00120213,
+00200293,
+FC521EE3,
+0FF013B7,
+FF038393,
+01400193,
+12771063,
+00000213,
+0F0F1137,
+F0F10113,
+00000013,
+FF0100B7,
+F0008093,
+0020C733,
+00120213,
+00200293,
+FE5210E3,
+F00FF3B7,
+00F38393,
+01500193,
+0E771463,
+00000213,
+F0F0F137,
+0F010113,
+00000013,
+0FF010B7,
+FF008093,
+00000013,
+0020C733,
+00120213,
+00200293,
+FC521EE3,
+FF0103B7,
+F0038393,
+01600193,
+0A771663,
+00000213,
+0F0F1137,
+F0F10113,
+00000013,
+00000013,
+00FF00B7,
+0FF08093,
+0020C733,
+00120213,
+00200293,
+FC521EE3,
+0FF013B7,
+FF038393,
+01700193,
+06771863,
+FF0100B7,
+F0008093,
+00104133,
+FF0103B7,
+F0038393,
+01800193,
+04711A63,
+00FF00B7,
+0FF08093,
+0000C133,
+00FF03B7,
+0FF38393,
+01900193,
+02711C63,
+000040B3,
+00000393,
+01A00193,
+02709463,
+111110B7,
+11108093,
+22222137,
+22210113,
+0020C033,
+00000393,
+01B00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/xori/cekirdek_dy.txt b/verilog/dv/test_c0/coe/xori/cekirdek_dy.txt
new file mode 100644
index 0000000..483dda4
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xori/cekirdek_dy.txt
@@ -0,0 +1,395 @@
+0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000111100001100011100010011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001111001110110111_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000000110010011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011100011101110001011001100011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001100000000000110010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011010011101110001100001100011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001000010110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10001111111100001000000010010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001100011100010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001001110110111_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010000000000000110010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00011000011101110001101001100011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000010100000000000110010011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010110011101110001110001100011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001111000010110111_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000000000001000000010010011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001100000010010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001111001110110111_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100111000001110010011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011000000000000110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010100011100001001111001100011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000000000110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00010010011100110001011001100011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001000010110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10001111111100001000000010010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001100011100010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001001110110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001000010110111_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_10001111111100001000000010010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001100011100010011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001001110110111_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100000000000000110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001110011100110001110001100011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000001110000001100010011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001000011100011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000100100000000000110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001100011100110001000001100011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001000010110111_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000001000000010010011_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001011011100011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000001001110110111_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000000111000001110010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101000000000000110010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001000011101110001101001100011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001000010110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001100011100010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001001110110111_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00000000111111110001000010110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001000010110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111111100001000000010010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001100011100010011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001010011100011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110001001110110111_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111111000000111000001110010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000101100000000000110010011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000110011101110001001001100011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_11110000000011111111000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111000010110111_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111100001000000010010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000000010011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000001100011100010011_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100100000001000010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000001000000000001010010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11111110010100100001001011100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11110000000011111111001110110111_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100111000001110010011_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110000000000000110010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011101110001100001100011_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000100000010010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111000000000000001110010011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000110100000000000110010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010011100001001000001100011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111111110000000010110111_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100001000000010010011_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_01110000111100001100000000010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000001110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000111000000000000110010011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000011100000001010001100011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000010001100000001000001100011_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+0_00001111111100000000000000001111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00001111111100000000000000001111_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000100000000000110010011_00000000000000010000001101111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000101110100000000100010010011_00000000000000010000001101111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000010100010011_00000000000000010000001110000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_00000000000000000000000001110011_00000000000000010000001110000100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
+1_11000000000000000001000001110011_00000000000000010000001110001000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/xori/cekirdek_ps_bin.txt b/verilog/dv/test_c0/coe/xori/cekirdek_ps_bin.txt
new file mode 100644
index 0000000..da4340f
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xori/cekirdek_ps_bin.txt
@@ -0,0 +1,238 @@
+00000000000000010000000000000000
+00000000000000010000000001001000
+00000000000000010000000001001100
+00000000000000010000000001010000
+00000000000000010000000001010100
+00000000000000010000000001011000
+00000000000000010000000001011100
+00000000000000010000000001100000
+00000000000000010000000001100100
+00000000000000010000000001101000
+00000000000000010000000001101100
+00000000000000010000000001110000
+00000000000000010000000001110100
+00000000000000010000000001111000
+00000000000000010000000001111100
+00000000000000010000000010000000
+00000000000000010000000010000100
+00000000000000010000000010001000
+00000000000000010000000010001100
+00000000000000010000000010010000
+00000000000000010000000010010100
+00000000000000010000000010011000
+00000000000000010000000010011100
+00000000000000010000000010100000
+00000000000000010000000010100100
+00000000000000010000000010101000
+00000000000000010000000010101100
+00000000000000010000000010110000
+00000000000000010000000010110100
+00000000000000010000000010111000
+00000000000000010000000010111100
+00000000000000010000000011000000
+00000000000000010000000011000100
+00000000000000010000000011001000
+00000000000000010000000011001100
+00000000000000010000000011010000
+00000000000000010000000011010100
+00000000000000010000000011011000
+00000000000000010000000011011100
+00000000000000010000000011100000
+00000000000000010000000011100100
+00000000000000010000000011101000
+00000000000000010000000011101100
+00000000000000010000000011110000
+00000000000000010000000011110100
+00000000000000010000000011111000
+00000000000000010000000011111100
+00000000000000010000000100000000
+00000000000000010000000100000100
+00000000000000010000000100001000
+00000000000000010000000100001100
+00000000000000010000000100010000
+00000000000000010000000100010100
+00000000000000010000000100011000
+00000000000000010000000100011100
+00000000000000010000000100100000
+00000000000000010000000100100100
+00000000000000010000000100101000
+00000000000000010000000100101100
+00000000000000010000000101000100
+00000000000000010000000101001000
+00000000000000010000000101011100
+00000000000000010000000101100000
+00000000000000010000000101100100
+00000000000000010000000101101000
+00000000000000010000000101101100
+00000000000000010000000101110000
+00000000000000010000000101110100
+00000000000000010000000101111000
+00000000000000010000000101111100
+00000000000000010000000110000000
+00000000000000010000000110000100
+00000000000000010000000110001000
+00000000000000010000000110001100
+00000000000000010000000110010000
+00000000000000010000000110010100
+00000000000000010000000110011000
+00000000000000010000000110011100
+00000000000000010000000110100000
+00000000000000010000000110100100
+00000000000000010000000110101000
+00000000000000010000000110101100
+00000000000000010000000110110000
+00000000000000010000000110110100
+00000000000000010000000110111000
+00000000000000010000000110111100
+00000000000000010000000111000000
+00000000000000010000000111000100
+00000000000000010000000111001000
+00000000000000010000000111001100
+00000000000000010000000111010000
+00000000000000010000000111010100
+00000000000000010000000111011000
+00000000000000010000000111011100
+00000000000000010000000111100000
+00000000000000010000000111100100
+00000000000000010000000111101000
+00000000000000010000000111101100
+00000000000000010000000111110000
+00000000000000010000000111110100
+00000000000000010000000111111000
+00000000000000010000000111111100
+00000000000000010000001000000000
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000000100
+00000000000000010000001000001000
+00000000000000010000001000001100
+00000000000000010000001000010000
+00000000000000010000001000010100
+00000000000000010000001000011000
+00000000000000010000001000011100
+00000000000000010000001000100000
+00000000000000010000001000100100
+00000000000000010000001000101000
+00000000000000010000001000101100
+00000000000000010000001000110000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001000110100
+00000000000000010000001000111000
+00000000000000010000001000111100
+00000000000000010000001001000000
+00000000000000010000001001000100
+00000000000000010000001001001000
+00000000000000010000001001001100
+00000000000000010000001001010000
+00000000000000010000001001010100
+00000000000000010000001001011000
+00000000000000010000001001011100
+00000000000000010000001001100000
+00000000000000010000001001100100
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001001101000
+00000000000000010000001001101100
+00000000000000010000001001110000
+00000000000000010000001001110100
+00000000000000010000001001111000
+00000000000000010000001001111100
+00000000000000010000001010000000
+00000000000000010000001010000100
+00000000000000010000001010001000
+00000000000000010000001010001100
+00000000000000010000001010010000
+00000000000000010000001010010100
+00000000000000010000001010011000
+00000000000000010000001010011100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010100000
+00000000000000010000001010100100
+00000000000000010000001010101000
+00000000000000010000001010101100
+00000000000000010000001010110000
+00000000000000010000001010110100
+00000000000000010000001010111000
+00000000000000010000001010111100
+00000000000000010000001011000000
+00000000000000010000001011000100
+00000000000000010000001011001000
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011001100
+00000000000000010000001011010000
+00000000000000010000001011010100
+00000000000000010000001011011000
+00000000000000010000001011011100
+00000000000000010000001011100000
+00000000000000010000001011100100
+00000000000000010000001011101000
+00000000000000010000001011101100
+00000000000000010000001011110000
+00000000000000010000001011110100
+00000000000000010000001011111000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001011111100
+00000000000000010000001100000000
+00000000000000010000001100000100
+00000000000000010000001100001000
+00000000000000010000001100001100
+00000000000000010000001100010000
+00000000000000010000001100010100
+00000000000000010000001100011000
+00000000000000010000001100011100
+00000000000000010000001100100000
+00000000000000010000001100100100
+00000000000000010000001100101000
+00000000000000010000001100101100
+00000000000000010000001100110000
+00000000000000010000001100110100
+00000000000000010000001100111000
+00000000000000010000001100111100
+00000000000000010000001101000000
+00000000000000010000001101000100
+00000000000000010000001101001000
+00000000000000010000001101001100
+00000000000000010000001101010000
+00000000000000010000001101010100
+00000000000000010000001101110100
+00000000000000010000001101111000
+00000000000000010000001101111100
+00000000000000010000001110000000
+00000000000000010000001110000000
diff --git a/verilog/dv/test_c0/coe/xori/cekirdek_ps_hex.txt b/verilog/dv/test_c0/coe/xori/cekirdek_ps_hex.txt
new file mode 100644
index 0000000..6184508
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xori/cekirdek_ps_hex.txt
@@ -0,0 +1,238 @@
+00010000
+00010048
+0001004c
+00010050
+00010054
+00010058
+0001005c
+00010060
+00010064
+00010068
+0001006c
+00010070
+00010074
+00010078
+0001007c
+00010080
+00010084
+00010088
+0001008c
+00010090
+00010094
+00010098
+0001009c
+000100a0
+000100a4
+000100a8
+000100ac
+000100b0
+000100b4
+000100b8
+000100bc
+000100c0
+000100c4
+000100c8
+000100cc
+000100d0
+000100d4
+000100d8
+000100dc
+000100e0
+000100e4
+000100e8
+000100ec
+000100f0
+000100f4
+000100f8
+000100fc
+00010100
+00010104
+00010108
+0001010c
+00010110
+00010114
+00010118
+0001011c
+00010120
+00010124
+00010128
+0001012c
+00010144
+00010148
+0001015c
+00010160
+00010164
+00010168
+0001016c
+00010170
+00010174
+00010178
+0001017c
+00010180
+00010184
+00010188
+0001018c
+00010190
+00010194
+00010198
+0001019c
+000101a0
+000101a4
+000101a8
+000101ac
+000101b0
+000101b4
+000101b8
+000101bc
+000101c0
+000101c4
+000101c8
+000101cc
+000101d0
+000101d4
+000101d8
+000101dc
+000101e0
+000101e4
+000101e8
+000101ec
+000101f0
+000101f4
+000101f8
+000101fc
+00010200
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010204
+00010208
+0001020c
+00010210
+00010214
+00010218
+0001021c
+00010220
+00010224
+00010228
+0001022c
+00010230
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010234
+00010238
+0001023c
+00010240
+00010244
+00010248
+0001024c
+00010250
+00010254
+00010258
+0001025c
+00010260
+00010264
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+00010268
+0001026c
+00010270
+00010274
+00010278
+0001027c
+00010280
+00010284
+00010288
+0001028c
+00010290
+00010294
+00010298
+0001029c
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102a0
+000102a4
+000102a8
+000102ac
+000102b0
+000102b4
+000102b8
+000102bc
+000102c0
+000102c4
+000102c8
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102cc
+000102d0
+000102d4
+000102d8
+000102dc
+000102e0
+000102e4
+000102e8
+000102ec
+000102f0
+000102f4
+000102f8
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+000102fc
+00010300
+00010304
+00010308
+0001030c
+00010310
+00010314
+00010318
+0001031c
+00010320
+00010324
+00010328
+0001032c
+00010330
+00010334
+00010338
+0001033c
+00010340
+00010344
+00010348
+0001034c
+00010350
+00010354
+00010374
+00010378
+0001037c
+00010380
+00010380
diff --git a/verilog/dv/test_c0/coe/xori/cekirdek_yo.txt b/verilog/dv/test_c0/coe/xori/cekirdek_yo.txt
new file mode 100644
index 0000000..fd461cd
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xori/cekirdek_yo.txt
@@ -0,0 +1,193 @@
+00000000000000010000000000000000_00000_00000000000000010000000000000100
+00000000000000010000000001001000_00001_00000000000000000000000000000000
+00000000000000010000000001001100_00010_00000000000000000000000000000000
+00000000000000010000000001010000_00011_00000000000000000000000000000000
+00000000000000010000000001010100_00100_00000000000000000000000000000000
+00000000000000010000000001011000_00101_00000000000000000000000000000000
+00000000000000010000000001011100_00110_00000000000000000000000000000000
+00000000000000010000000001100000_00111_00000000000000000000000000000000
+00000000000000010000000001100100_01000_00000000000000000000000000000000
+00000000000000010000000001101000_01001_00000000000000000000000000000000
+00000000000000010000000001101100_01010_00000000000000000000000000000000
+00000000000000010000000001110000_01011_00000000000000000000000000000000
+00000000000000010000000001110100_01100_00000000000000000000000000000000
+00000000000000010000000001111000_01101_00000000000000000000000000000000
+00000000000000010000000001111100_01110_00000000000000000000000000000000
+00000000000000010000000010000000_01111_00000000000000000000000000000000
+00000000000000010000000010000100_10000_00000000000000000000000000000000
+00000000000000010000000010001000_10001_00000000000000000000000000000000
+00000000000000010000000010001100_10010_00000000000000000000000000000000
+00000000000000010000000010010000_10011_00000000000000000000000000000000
+00000000000000010000000010010100_10100_00000000000000000000000000000000
+00000000000000010000000010011000_10101_00000000000000000000000000000000
+00000000000000010000000010011100_10110_00000000000000000000000000000000
+00000000000000010000000010100000_10111_00000000000000000000000000000000
+00000000000000010000000010100100_11000_00000000000000000000000000000000
+00000000000000010000000010101000_11001_00000000000000000000000000000000
+00000000000000010000000010101100_11010_00000000000000000000000000000000
+00000000000000010000000010110000_11011_00000000000000000000000000000000
+00000000000000010000000010110100_11100_00000000000000000000000000000000
+00000000000000010000000010111000_11101_00000000000000000000000000000000
+00000000000000010000000010111100_11110_00000000000000000000000000000000
+00000000000000010000000011000000_11111_00000000000000000000000000000000
+00000000000000010000000011001100_00101_00000000000000010000000011001100
+00000000000000010000000011010000_00101_00000000000000010000000011011100
+00000000000000010000000011011100_00101_00000000000000010000000011011100
+00000000000000010000000011100000_00101_00000000000000010000000011111100
+00000000000000010000000011101000_00101_10000000000000000000000000000000
+00000000000000010000000011101100_00101_01111111111111111111111111111111
+00000000000000010000000011110100_00101_00000000000000000000000000011111
+00000000000000010000000100000000_00101_00000000000000010000000100000000
+00000000000000010000000100000100_00101_00000000000000010000000100010100
+00000000000000010000000100010100_00011_00000000000000000000000000000000
+00000000000000010000000100011000_00101_00000000000000010000000100011000
+00000000000000010000000100011100_00101_00000000000000010000000000000100
+00000000000000010000000100100100_01010_00000000000000000000000000000001
+00000000000000010000000100101000_01010_10000000000000000000000000000000
+00000000000000010000000101000100_00101_00000000000000000000000000000000
+00000000000000010000000101100000_00101_00000000000000010000000101100000
+00000000000000010000000101100100_00101_00000000000000010000000101110100
+00000000000000010000000101110100_00001_00000000111111110001000000000000
+00000000000000010000000101111000_00001_00000000111111110000111100000000
+00000000000000010000000101111100_01110_11111111000000001111000000001111
+00000000000000010000000110000000_00111_11111111000000001111000000000000
+00000000000000010000000110000100_00111_11111111000000001111000000001111
+00000000000000010000000110001000_00011_00000000000000000000000000000010
+00000000000000010000000110010000_00001_00001111111100000001000000000000
+00000000000000010000000110010100_00001_00001111111100000000111111110000
+00000000000000010000000110011000_01110_00001111111100000000111100000000
+00000000000000010000000110011100_00111_00001111111100000001000000000000
+00000000000000010000000110100000_00111_00001111111100000000111100000000
+00000000000000010000000110100100_00011_00000000000000000000000000000011
+00000000000000010000000110101100_00001_00000000111111110001000000000000
+00000000000000010000000110110000_00001_00000000111111110000100011111111
+00000000000000010000000110110100_01110_00000000111111110000111111110000
+00000000000000010000000110111000_00111_00000000111111110001000000000000
+00000000000000010000000110111100_00111_00000000111111110000111111110000
+00000000000000010000000111000000_00011_00000000000000000000000000000100
+00000000000000010000000111001000_00001_11110000000011111111000000000000
+00000000000000010000000111001100_00001_11110000000011111111000000001111
+00000000000000010000000111010000_01110_11110000000011111111000011111111
+00000000000000010000000111010100_00111_11110000000011111111000000000000
+00000000000000010000000111011000_00111_11110000000011111111000011111111
+00000000000000010000000111011100_00011_00000000000000000000000000000101
+00000000000000010000000111100100_00001_11111111000000001111000000000000
+00000000000000010000000111101000_00001_11111111000000001111011100000000
+00000000000000010000000111101100_00001_11111111000000001111000000001111
+00000000000000010000000111110000_00111_11111111000000001111000000000000
+00000000000000010000000111110100_00111_11111111000000001111000000001111
+00000000000000010000000111111000_00011_00000000000000000000000000000110
+00000000000000010000001000000000_00100_00000000000000000000000000000000
+00000000000000010000001000000100_00001_00001111111100000001000000000000
+00000000000000010000001000001000_00001_00001111111100000000111111110000
+00000000000000010000001000001100_01110_00001111111100000000111100000000
+00000000000000010000001000010000_00110_00001111111100000000111100000000
+00000000000000010000001000010100_00100_00000000000000000000000000000001
+00000000000000010000001000011000_00101_00000000000000000000000000000010
+00000000000000010000001000000100_00001_00001111111100000001000000000000
+00000000000000010000001000001000_00001_00001111111100000000111111110000
+00000000000000010000001000001100_01110_00001111111100000000111100000000
+00000000000000010000001000010000_00110_00001111111100000000111100000000
+00000000000000010000001000010100_00100_00000000000000000000000000000010
+00000000000000010000001000011000_00101_00000000000000000000000000000010
+00000000000000010000001000100000_00111_00001111111100000001000000000000
+00000000000000010000001000100100_00111_00001111111100000000111100000000
+00000000000000010000001000101000_00011_00000000000000000000000000000111
+00000000000000010000001000110000_00100_00000000000000000000000000000000
+00000000000000010000001000110100_00001_00000000111111110001000000000000
+00000000000000010000001000111000_00001_00000000111111110000100011111111
+00000000000000010000001000111100_01110_00000000111111110000111111110000
+00000000000000010000001001000000_00000_00000000000000000000000000000000
+00000000000000010000001001000100_00110_00000000111111110000111111110000
+00000000000000010000001001001000_00100_00000000000000000000000000000001
+00000000000000010000001001001100_00101_00000000000000000000000000000010
+00000000000000010000001000110100_00001_00000000111111110001000000000000
+00000000000000010000001000111000_00001_00000000111111110000100011111111
+00000000000000010000001000111100_01110_00000000111111110000111111110000
+00000000000000010000001001000000_00000_00000000000000000000000000000000
+00000000000000010000001001000100_00110_00000000111111110000111111110000
+00000000000000010000001001001000_00100_00000000000000000000000000000010
+00000000000000010000001001001100_00101_00000000000000000000000000000010
+00000000000000010000001001010100_00111_00000000111111110001000000000000
+00000000000000010000001001011000_00111_00000000111111110000111111110000
+00000000000000010000001001011100_00011_00000000000000000000000000001000
+00000000000000010000001001100100_00100_00000000000000000000000000000000
+00000000000000010000001001101000_00001_11110000000011111111000000000000
+00000000000000010000001001101100_00001_11110000000011111111000000001111
+00000000000000010000001001110000_01110_11110000000011111111000011111111
+00000000000000010000001001110100_00000_00000000000000000000000000000000
+00000000000000010000001001111000_00000_00000000000000000000000000000000
+00000000000000010000001001111100_00110_11110000000011111111000011111111
+00000000000000010000001010000000_00100_00000000000000000000000000000001
+00000000000000010000001010000100_00101_00000000000000000000000000000010
+00000000000000010000001001101000_00001_11110000000011111111000000000000
+00000000000000010000001001101100_00001_11110000000011111111000000001111
+00000000000000010000001001110000_01110_11110000000011111111000011111111
+00000000000000010000001001110100_00000_00000000000000000000000000000000
+00000000000000010000001001111000_00000_00000000000000000000000000000000
+00000000000000010000001001111100_00110_11110000000011111111000011111111
+00000000000000010000001010000000_00100_00000000000000000000000000000010
+00000000000000010000001010000100_00101_00000000000000000000000000000010
+00000000000000010000001010001100_00111_11110000000011111111000000000000
+00000000000000010000001010010000_00111_11110000000011111111000011111111
+00000000000000010000001010010100_00011_00000000000000000000000000001001
+00000000000000010000001010011100_00100_00000000000000000000000000000000
+00000000000000010000001010100000_00001_00001111111100000001000000000000
+00000000000000010000001010100100_00001_00001111111100000000111111110000
+00000000000000010000001010101000_01110_00001111111100000000111100000000
+00000000000000010000001010101100_00100_00000000000000000000000000000001
+00000000000000010000001010110000_00101_00000000000000000000000000000010
+00000000000000010000001010100000_00001_00001111111100000001000000000000
+00000000000000010000001010100100_00001_00001111111100000000111111110000
+00000000000000010000001010101000_01110_00001111111100000000111100000000
+00000000000000010000001010101100_00100_00000000000000000000000000000010
+00000000000000010000001010110000_00101_00000000000000000000000000000010
+00000000000000010000001010111000_00111_00001111111100000001000000000000
+00000000000000010000001010111100_00111_00001111111100000000111100000000
+00000000000000010000001011000000_00011_00000000000000000000000000001010
+00000000000000010000001011001000_00100_00000000000000000000000000000000
+00000000000000010000001011001100_00001_00000000111111110001000000000000
+00000000000000010000001011010000_00001_00000000111111110000111111111111
+00000000000000010000001011010100_00000_00000000000000000000000000000000
+00000000000000010000001011011000_01110_00000000111111110000111111110000
+00000000000000010000001011011100_00100_00000000000000000000000000000001
+00000000000000010000001011100000_00101_00000000000000000000000000000010
+00000000000000010000001011001100_00001_00000000111111110001000000000000
+00000000000000010000001011010000_00001_00000000111111110000111111111111
+00000000000000010000001011010100_00000_00000000000000000000000000000000
+00000000000000010000001011011000_01110_00000000111111110000111111110000
+00000000000000010000001011011100_00100_00000000000000000000000000000010
+00000000000000010000001011100000_00101_00000000000000000000000000000010
+00000000000000010000001011101000_00111_00000000111111110001000000000000
+00000000000000010000001011101100_00111_00000000111111110000111111110000
+00000000000000010000001011110000_00011_00000000000000000000000000001011
+00000000000000010000001011111000_00100_00000000000000000000000000000000
+00000000000000010000001011111100_00001_11110000000011111111000000000000
+00000000000000010000001100000000_00001_11110000000011111111000000001111
+00000000000000010000001100000100_00000_00000000000000000000000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_01110_11110000000011111111000011111111
+00000000000000010000001100010000_00100_00000000000000000000000000000001
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001011111100_00001_11110000000011111111000000000000
+00000000000000010000001100000000_00001_11110000000011111111000000001111
+00000000000000010000001100000100_00000_00000000000000000000000000000000
+00000000000000010000001100001000_00000_00000000000000000000000000000000
+00000000000000010000001100001100_01110_11110000000011111111000011111111
+00000000000000010000001100010000_00100_00000000000000000000000000000010
+00000000000000010000001100010100_00101_00000000000000000000000000000010
+00000000000000010000001100011100_00111_11110000000011111111000000000000
+00000000000000010000001100100000_00111_11110000000011111111000011111111
+00000000000000010000001100100100_00011_00000000000000000000000000001100
+00000000000000010000001100101100_00001_00000000000000000000000011110000
+00000000000000010000001100110000_00111_00000000000000000000000011110000
+00000000000000010000001100110100_00011_00000000000000000000000000001101
+00000000000000010000001100111100_00001_00000000111111110000000000000000
+00000000000000010000001101000000_00001_00000000111111110000000011111111
+00000000000000010000001101000100_00000_00000000111111110000011111110000
+00000000000000010000001101001000_00111_00000000000000000000000000000000
+00000000000000010000001101001100_00011_00000000000000000000000000001110
+00000000000000010000001101111000_00011_00000000000000000000000000000001
+00000000000000010000001101111100_10001_00000000000000000000000001011101
+00000000000000010000001110000000_01010_00000000000000000000000000000000
+00000000000000010000001110000000_01010_00000000000000000000000000000000
diff --git a/verilog/dv/test_c0/coe/xori/dmem.coe b/verilog/dv/test_c0/coe/xori/dmem.coe
new file mode 100644
index 0000000..270c2c1
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xori/dmem.coe
@@ -0,0 +1,20 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe/xori/imem.coe b/verilog/dv/test_c0/coe/xori/imem.coe
new file mode 100644
index 0000000..f9a57d0
--- /dev/null
+++ b/verilog/dv/test_c0/coe/xori/imem.coe
@@ -0,0 +1,241 @@
+memory_initialization_radix = 16;
+memory_initialization_vector =
+0480006F,
+34202F73,
+00800F93,
+03FF0863,
+00900F93,
+03FF0463,
+00B00F93,
+03FF0063,
+00000F13,
+000F0463,
+000F0067,
+34202F73,
+000F5463,
+0040006F,
+5391E193,
+3FFF0F17,
+FC3F2223,
+FF9FF06F,
+00000093,
+00000113,
+00000193,
+00000213,
+00000293,
+00000313,
+00000393,
+00000413,
+00000493,
+00000513,
+00000593,
+00000613,
+00000693,
+00000713,
+00000793,
+00000813,
+00000893,
+00000913,
+00000993,
+00000A13,
+00000A93,
+00000B13,
+00000B93,
+00000C13,
+00000C93,
+00000D13,
+00000D93,
+00000E13,
+00000E93,
+00000F13,
+00000F93,
+F1402573,
+00051063,
+00000297,
+01028293,
+30529073,
+18005073,
+00000297,
+02028293,
+30529073,
+800002B7,
+FFF28293,
+3B029073,
+01F00293,
+3A029073,
+30405073,
+00000297,
+01428293,
+30529073,
+30205073,
+30305073,
+00000193,
+00000297,
+EEC28293,
+30529073,
+00100513,
+01F51513,
+00054C63,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+00000293,
+00028A63,
+10529073,
+0000B2B7,
+10928293,
+30229073,
+30005073,
+00000297,
+01428293,
+34129073,
+F1402573,
+30200073,
+00FF10B7,
+F0008093,
+F0F0C713,
+FF00F3B7,
+00F38393,
+00200193,
+1C771663,
+0FF010B7,
+FF008093,
+0F00C713,
+0FF013B7,
+F0038393,
+00300193,
+1A771863,
+00FF10B7,
+8FF08093,
+70F0C713,
+00FF13B7,
+FF038393,
+00400193,
+18771A63,
+F00FF0B7,
+00F08093,
+0F00C713,
+F00FF3B7,
+0FF38393,
+00500193,
+16771C63,
+FF00F0B7,
+70008093,
+70F0C093,
+FF00F3B7,
+00F38393,
+00600193,
+14709E63,
+00000213,
+0FF010B7,
+FF008093,
+0F00C713,
+00070313,
+00120213,
+00200293,
+FE5214E3,
+0FF013B7,
+F0038393,
+00700193,
+12731663,
+00000213,
+00FF10B7,
+8FF08093,
+70F0C713,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5212E3,
+00FF13B7,
+FF038393,
+00800193,
+0E731C63,
+00000213,
+F00FF0B7,
+00F08093,
+0F00C713,
+00000013,
+00000013,
+00070313,
+00120213,
+00200293,
+FE5210E3,
+F00FF3B7,
+0FF38393,
+00900193,
+0C731063,
+00000213,
+0FF010B7,
+FF008093,
+0F00C713,
+00120213,
+00200293,
+FE5216E3,
+0FF013B7,
+F0038393,
+00A00193,
+08771A63,
+00000213,
+00FF10B7,
+FFF08093,
+00000013,
+00F0C713,
+00120213,
+00200293,
+FE5214E3,
+00FF13B7,
+FF038393,
+00B00193,
+06771263,
+00000213,
+F00FF0B7,
+00F08093,
+00000013,
+00000013,
+0F00C713,
+00120213,
+00200293,
+FE5212E3,
+F00FF3B7,
+0FF38393,
+00C00193,
+02771863,
+0F004093,
+0F000393,
+00D00193,
+02709063,
+00FF00B7,
+0FF08093,
+70F0C013,
+00000393,
+00E00193,
+00701463,
+02301063,
+0FF0000F,
+00018063,
+00119193,
+0011E193,
+05D00893,
+00018513,
+00000073,
+0FF0000F,
+00100193,
+05D00893,
+00000513,
+00000073,
+C0001073,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000,
+00000000;
\ No newline at end of file
diff --git a/verilog/dv/test_c0/coe2verilog.py b/verilog/dv/test_c0/coe2verilog.py
new file mode 100644
index 0000000..962c138
--- /dev/null
+++ b/verilog/dv/test_c0/coe2verilog.py
@@ -0,0 +1,111 @@
+import argparse
+import os
+
+parser = argparse.ArgumentParser(description='Takes an instruction name and generates c0_uart_prog_tb.v.')
+
+parser.add_argument('inst', help='Name of the instruction')
+
+args = parser.parse_args()
+inst_name = args.inst
+
+pwd = os.getcwd() + "/verilog/dv/test_c0"
+
+imem_f = open(pwd + "/coe/" + inst_name + "/imem.coe")
+dmem_f = open(pwd + "/coe/" + inst_name + "/dmem.coe")
+ps_dump = open(pwd + "/coe/" + inst_name + "/cekirdek_ps_hex.txt")
+out_f  = open(pwd + "/c0_uart_prog_tb.v", "w")
+
+os.system("cp " + pwd + "/coe/" + inst_name + "/cekirdek_ps_hex.txt " + pwd + "/sim_ps.txt")
+
+imem_arr = imem_f.readlines()
+dmem_arr = dmem_f.readlines()
+ps = ps_dump.readlines()
+
+imem_f.close()
+dmem_f.close()
+ps_dump.close()
+
+last_ps = hex(int(ps[-1].strip(), 16) + 4)[2:]
+
+imem_bytes = (len(imem_arr) - 2) * 4
+dmem_bytes = (len(dmem_arr) - 2) * 4
+
+hex_data = imem_bytes.to_bytes(4, 'little')
+
+byte_ctr = 0
+
+out_f.write("`timescale 1ns / 1ps\n\nmodule c0_uart_prog(\n\t\tinput c0_tx,\n\t\toutput reg c0_rx\n\t);")
+
+out_f.write("\n\n\t// Program len       : " + str(imem_bytes + dmem_bytes + 8))
+out_f.write("\n\t// # of instructions : " + str(int(imem_bytes/4)))
+out_f.write("\n\t// # of data         : " + str(int(dmem_bytes/4)) + "\n")
+out_f.write("\n\t// Last PC           : 32'h" + last_ps + "\n")
+
+
+out_f.write("\n\twire [7:0] program [" + str(imem_bytes + dmem_bytes + 50) + ":0];\n\n")
+
+
+for i in range(4):
+    out_f.write("\tassign program[" + str(byte_ctr) + "] = 8'd" + str(hex_data[i]) + ";\n")
+    byte_ctr += 1
+
+for line in imem_arr:
+    if line.startswith('memory_'):
+        continue
+    else:
+        hex_str = line[:8]
+        hex_data = bytearray.fromhex(hex_str)
+        for i in range(3,-1,-1):
+            out_f.write("\tassign program[" + str(byte_ctr) + "] = 8'd" + str(hex_data[i]) + ";\n")
+            byte_ctr += 1
+
+hex_data = dmem_bytes.to_bytes(4, 'little')
+
+for i in range(4):
+    out_f.write("\tassign program[" + str(byte_ctr) + "] = 8'd" + str(hex_data[i]) + ";\n")
+    byte_ctr += 1
+
+for line in dmem_arr:
+    if line.startswith('memory_'):
+        continue
+    else:
+        hex_str = line[:8]
+        hex_data = bytearray.fromhex(hex_str)
+        for i in range(3,-1,-1):
+            out_f.write("\tassign program[" + str(byte_ctr) + "] = 8'd" + str(hex_data[i]) + ";\n")
+            byte_ctr += 1
+
+out_f.write("\n\n\tparameter BAUD_PERIOD = 340;\n\tparameter CLK_PERIOD = 20;\n\n\tparameter UART_DELAY = BAUD_PERIOD / CLK_PERIOD;")
+out_f.write("\n\n\n\tinteger i, j;\n\tinitial begin\n\t\tc0_rx = 1'b1;\n\t\t#270000;\n\t\tfor (i = 0 ; i < " + str(byte_ctr) + " ; i = i+1) begin")
+out_f.write("\n\t\t\tc0_rx = 1'b0;\n\t\t\t#BAUD_PERIOD;\n\t\t\tfor (j = 0 ; j < 8 ; j = j+1) begin\n\t\t\t\tc0_rx = program[i][j];\n\t\t\t\t#BAUD_PERIOD;\n\t\t\tend")
+out_f.write("\n\t\t\tc0_rx = 1'b1;\n\t\t\t#BAUD_PERIOD;\n\t\t\tc0_rx = 1'b1;\n\t\t\t#(BAUD_PERIOD*5);\n\t\tend\n\tend\n\nendmodule\n")
+
+out_f.close()
+
+old_tb = open(pwd + "/test_c0_tb.v", "r")
+new_tb = open(pwd + "/temp.v", "w")
+
+for line in old_tb.readlines():
+    if("// Update this with test_prog ps_dump" in line):
+        new_tb.write("\t\twait(io_ps == 32'h" + last_ps + ");\t// Update this with test_prog ps_dump\n")
+    else:
+        new_tb.write(line)
+old_tb.close()
+new_tb.close()
+
+os.system("cp " + pwd + "/temp.v " + pwd + "/test_c0_tb.v")
+os.system("rm " + pwd + "/temp.v")
+
+old_c = open(pwd + "/test_c0.c", "r")
+new_c = open(pwd + "/temp.c", "w")
+
+for line in old_c.readlines():
+    if("reg_mprj_datal == (0x" in line):
+        new_c.write("\t\tif (reg_mprj_datal == (0x" + last_ps + " << 3)) {\n")
+    else:
+        new_c.write(line)
+old_c.close()
+new_c.close()
+
+os.system("cp " + pwd + "/temp.c " + pwd + "/test_c0.c")
+os.system("rm " + pwd + "/temp.c")
diff --git a/verilog/dv/test_c0/test_c0.c b/verilog/dv/test_c0/test_c0.c
new file mode 100644
index 0000000..b3c8838
--- /dev/null
+++ b/verilog/dv/test_c0/test_c0.c
@@ -0,0 +1,77 @@
+/*
+ * SPDX-FileCopyrightText: 2020 Efabless Corporation
+ *
+ * Licensed under the Apache License, Version 2.0 (the "License");
+ * you may not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ *      http://www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an "AS IS" BASIS,
+ * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ * SPDX-License-Identifier: Apache-2.0
+ */
+
+// This include is relative to $CARAVEL_PATH (see Makefile)
+#include <defs.h>
+#include <stub.c>
+
+
+void main()
+{
+
+	// RX and TX
+	reg_mprj_io_0 =  GPIO_MODE_USER_STD_INPUT_NOPULL;
+	reg_mprj_io_1 =  GPIO_MODE_USER_STD_OUTPUT;
+
+	// io_gecerli
+	reg_mprj_io_2  = GPIO_MODE_USER_STD_OUTPUT;
+	
+	// io_ps
+	reg_mprj_io_3  = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_4  = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_5  = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_6  = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_7  = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_8  = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_9  = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_10 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_11 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_12 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_13 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_14 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_15 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_16 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_17 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_18 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_19 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_20 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_21 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_22 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_23 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_24 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_25 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_26 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_27 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_28 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_29 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_30 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_31 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_32 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_33 = GPIO_MODE_USER_STD_OUTPUT;
+	reg_mprj_io_34 = GPIO_MODE_USER_STD_OUTPUT;
+
+    // Now, apply the configuration
+    reg_mprj_xfer = 1;
+    while (reg_mprj_xfer == 1);
+
+
+	while (1) {
+		if (reg_mprj_datal == (0x10680 << 3)) {
+			break;
+		}
+	}
+}
diff --git a/verilog/dv/test_c0/test_c0_tb.v b/verilog/dv/test_c0/test_c0_tb.v
new file mode 100644
index 0000000..b6d4ac3
--- /dev/null
+++ b/verilog/dv/test_c0/test_c0_tb.v
@@ -0,0 +1,176 @@
+// SPDX-FileCopyrightText: 2020 Efabless Corporation
+//
+// Licensed under the Apache License, Version 2.0 (the "License");
+// you may not use this file except in compliance with the License.
+// You may obtain a copy of the License at
+//
+//      http://www.apache.org/licenses/LICENSE-2.0
+//
+// Unless required by applicable law or agreed to in writing, software
+// distributed under the License is distributed on an "AS IS" BASIS,
+// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+// See the License for the specific language governing permissions and
+// limitations under the License.
+// SPDX-License-Identifier: Apache-2.0
+
+`default_nettype none
+
+`timescale 1 ns / 1 ps
+
+module test_c0_tb;
+	reg clock;
+	reg RSTB;
+	reg CSB;
+	reg power1, power2;
+	reg power3, power4;
+
+	wire gpio;
+	wire [37:0] mprj_io;
+
+	wire 		c0_rx, c0_tx;
+    wire        io_gecerli;
+    wire [31:0] io_ps;
+
+	assign mprj_io[3] = (CSB == 1'b1) ? 1'b1 : 1'bz;
+
+	assign mprj_io[0] = c0_rx;
+	assign c0_tx = mprj_io[1];
+	assign io_gecerli = mprj_io[2];
+	assign io_ps = mprj_io[34:3];
+
+	`ifndef GL
+	wire 		internal_gecerli;
+	wire [31:0] internal_ps;
+	assign internal_gecerli = uut.mprj.mprj.c0.yy.yoy_uis_g[146];
+	assign internal_ps = uut.mprj.mprj.c0.yy.yoy_uis_g[31:0];
+	`endif
+
+	always #10 clock <= (clock === 1'b0);
+	
+	integer ps_dump_internal, ps_dump_io;
+	initial begin
+		$dumpfile("test_c0.vcd");
+		$dumpvars(0, test_c0_tb);
+		clock = 0;
+
+        ps_dump_io = $fopen("ps_dump_io.txt","w");
+		
+		`ifndef GL
+		ps_dump_internal = $fopen("ps_dump_internal.txt","w");
+		`endif
+
+		wait(io_ps == 32'h10680);	// Update this with test_prog ps_dump
+		#200;
+		$fclose(ps_dump_io);
+		`ifndef GL
+		$fclose(ps_dump_internal);
+		`endif
+		$display("TEST FINISHED.");
+		$finish();
+	end
+
+	`ifndef GL
+    // Dump PS with internal signals
+	always @(posedge clock) begin
+        #1;
+		if(internal_gecerli)
+			$fwrite(ps_dump_internal, "%d_%h\n", $time, internal_ps);
+	end
+	`endif
+	
+    // Dump PS with io signals
+	always @(posedge clock) begin
+        #1;
+		if(io_gecerli)
+			$fwrite(ps_dump_io, "%d_%h\n", $time, io_ps);
+	end
+
+	// Caravel
+	// ----------------------------------------------
+	initial begin
+		RSTB <= 1'b0;
+		CSB  <= 1'b1;		// Force CSB high
+		#1600;
+		RSTB <= 1'b1;	    	// Release reset
+		#240000;
+		CSB = 1'b0;		// CSB can be released
+	end
+
+	initial begin		// Power-up sequence
+		power1 <= 1'b0;
+		power2 <= 1'b0;
+		power3 <= 1'b0;
+		power4 <= 1'b0;
+		#80;
+		power1 <= 1'b1;
+		#80;
+		power2 <= 1'b1;
+		#80;
+		power3 <= 1'b1;
+		#80;
+		power4 <= 1'b1;
+	end
+	// Caravel Ends
+	// ----------------------------------------------
+
+	wire flash_csb;
+	wire flash_clk;
+	wire flash_io0;
+	wire flash_io1;
+
+	wire VDD3V3;
+	wire VDD1V8;
+	wire VSS;
+	
+	assign VDD3V3 = power1;
+	assign VDD1V8 = power2;
+	assign VSS = 1'b0;
+
+	caravel uut (
+		.vddio	  (VDD3V3),
+		.vddio_2  (VDD3V3),
+		.vssio	  (VSS),
+		.vssio_2  (VSS),
+		.vdda	  (VDD3V3),
+		.vssa	  (VSS),
+		.vccd	  (VDD1V8),
+		.vssd	  (VSS),
+		.vdda1    (VDD3V3),
+		.vdda1_2  (VDD3V3),
+		.vdda2    (VDD3V3),
+		.vssa1	  (VSS),
+		.vssa1_2  (VSS),
+		.vssa2	  (VSS),
+		.vccd1	  (VDD1V8),
+		.vccd2	  (VDD1V8),
+		.vssd1	  (VSS),
+		.vssd2	  (VSS),
+		.clock    (clock),
+		.gpio     (gpio),
+		.mprj_io  (mprj_io),
+		.flash_csb(flash_csb),
+		.flash_clk(flash_clk),
+		.flash_io0(flash_io0),
+		.flash_io1(flash_io1),
+		.resetb	  (RSTB)
+	);
+
+	spiflash #(
+		.FILENAME("test_c0.hex")
+	) spiflash (
+		.csb(flash_csb),
+		.clk(flash_clk),
+		.io0(flash_io0),
+		.io1(flash_io1),
+		.io2(),			// not used
+		.io3()			// not used
+	);
+	
+	c0_uart_prog c0_prog
+	(
+		.c0_rx(c0_rx),
+		.c0_tx(c0_tx)
+	);
+
+endmodule
+`default_nettype wire
\ No newline at end of file
diff --git a/verilog/dv/wb_port/Makefile b/verilog/dv/wb_port/Makefile
deleted file mode 100644
index 3fd0b56..0000000
--- a/verilog/dv/wb_port/Makefile
+++ /dev/null
@@ -1,32 +0,0 @@
-# SPDX-FileCopyrightText: 2020 Efabless Corporation
-#
-# Licensed under the Apache License, Version 2.0 (the "License");
-# you may not use this file except in compliance with the License.
-# You may obtain a copy of the License at
-#
-#      http://www.apache.org/licenses/LICENSE-2.0
-#
-# Unless required by applicable law or agreed to in writing, software
-# distributed under the License is distributed on an "AS IS" BASIS,
-# WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-# See the License for the specific language governing permissions and
-# limitations under the License.
-#
-# SPDX-License-Identifier: Apache-2.0
-
-
- 
-PWDD := $(shell pwd)
-BLOCKS := $(shell basename $(PWDD))
-
-# ---- Include Partitioned Makefiles ----
-
-CONFIG = caravel_user_project
-
-
-include $(MCW_ROOT)/verilog/dv/make/env.makefile
-include $(MCW_ROOT)/verilog/dv/make/var.makefile
-include $(MCW_ROOT)/verilog/dv/make/cpu.makefile
-include $(MCW_ROOT)/verilog/dv/make/sim.makefile
-
-
diff --git a/verilog/dv/wb_port/wb_port.c b/verilog/dv/wb_port/wb_port.c
deleted file mode 100644
index 4f59055..0000000
--- a/verilog/dv/wb_port/wb_port.c
+++ /dev/null
@@ -1,87 +0,0 @@
-/*
- * SPDX-FileCopyrightText: 2020 Efabless Corporation
- *
- * Licensed under the Apache License, Version 2.0 (the "License");
- * you may not use this file except in compliance with the License.
- * You may obtain a copy of the License at
- *
- *      http://www.apache.org/licenses/LICENSE-2.0
- *
- * Unless required by applicable law or agreed to in writing, software
- * distributed under the License is distributed on an "AS IS" BASIS,
- * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
- * See the License for the specific language governing permissions and
- * limitations under the License.
- * SPDX-License-Identifier: Apache-2.0
- */
-
-// This include is relative to $CARAVEL_PATH (see Makefile)
-#include <defs.h>
-#include <stub.c>
-
-/*
-	Wishbone Test:
-		- Configures MPRJ lower 8-IO pins as outputs
-		- Checks counter value through the wishbone port
-*/
-
-void main()
-{
-
-	/* 
-	IO Control Registers
-	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
-	| 3-bits | 1-bit | 1-bit | 1-bit  | 1-bit  | 1-bit | 1-bit   | 1-bit   | 1-bit | 1-bit | 1-bit   |
-	Output: 0000_0110_0000_1110  (0x1808) = GPIO_MODE_USER_STD_OUTPUT
-	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
-	| 110    | 0     | 0     | 0      | 0      | 0     | 0       | 1       | 0     | 0     | 0       |
-	
-	 
-	Input: 0000_0001_0000_1111 (0x0402) = GPIO_MODE_USER_STD_INPUT_NOPULL
-	| DM     | VTRIP | SLOW  | AN_POL | AN_SEL | AN_EN | MOD_SEL | INP_DIS | HOLDH | OEB_N | MGMT_EN |
-	| 001    | 0     | 0     | 0      | 0      | 0     | 0       | 0       | 0     | 1     | 0       |
-	*/
-
-	/* Set up the housekeeping SPI to be connected internally so	*/
-	/* that external pin changes don't affect it.			*/
-
-    reg_spi_enable = 1;
-    reg_wb_enable = 1;
-	// reg_spimaster_config = 0xa002;	// Enable, prescaler = 2,
-                                        // connect to housekeeping SPI
-
-	// Connect the housekeeping SPI to the SPI master
-	// so that the CSB line is not left floating.  This allows
-	// all of the GPIO pins to be used for user functions.
-
-    reg_mprj_io_31 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_30 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_29 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_28 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_27 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_26 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_25 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_24 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_23 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_22 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_21 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_20 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_19 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_18 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_17 = GPIO_MODE_MGMT_STD_OUTPUT;
-    reg_mprj_io_16 = GPIO_MODE_MGMT_STD_OUTPUT;
-
-     /* Apply configuration */
-    reg_mprj_xfer = 1;
-    while (reg_mprj_xfer == 1);
-
-	reg_la2_oenb = reg_la2_iena = 0x00000000;    // [95:64]
-
-    // Flag start of the test
-	reg_mprj_datal = 0xAB600000;
-
-    reg_mprj_slave = 0x00002710;
-    if (reg_mprj_slave == 0x2B3D) {
-        reg_mprj_datal = 0xAB610000;
-    }
-}
diff --git a/verilog/dv/wb_port/wb_port_tb.v b/verilog/dv/wb_port/wb_port_tb.v
deleted file mode 100644
index d5c2983..0000000
--- a/verilog/dv/wb_port/wb_port_tb.v
+++ /dev/null
@@ -1,147 +0,0 @@
-// SPDX-FileCopyrightText: 2020 Efabless Corporation
-//
-// Licensed under the Apache License, Version 2.0 (the "License");
-// you may not use this file except in compliance with the License.
-// You may obtain a copy of the License at
-//
-//      http://www.apache.org/licenses/LICENSE-2.0
-//
-// Unless required by applicable law or agreed to in writing, software
-// distributed under the License is distributed on an "AS IS" BASIS,
-// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-// See the License for the specific language governing permissions and
-// limitations under the License.
-// SPDX-License-Identifier: Apache-2.0
-
-`default_nettype none
-
-`timescale 1 ns / 1 ps
-
-module wb_port_tb;
-	reg clock;
-	reg RSTB;
-	reg CSB;
-	reg power1, power2;
-	reg power3, power4;
-
-	wire gpio;
-	wire [37:0] mprj_io;
-	wire [7:0] mprj_io_0;
-	wire [15:0] checkbits;
-
-	assign checkbits = mprj_io[31:16];
-
-	assign mprj_io[3] = 1'b1;
-
-	// External clock is used by default.  Make this artificially fast for the
-	// simulation.  Normally this would be a slow clock and the digital PLL
-	// would be the fast clock.
-
-	always #12.5 clock <= (clock === 1'b0);
-
-	initial begin
-		clock = 0;
-	end
-
-	initial begin
-		$dumpfile("wb_port.vcd");
-		$dumpvars(0, wb_port_tb);
-
-		// Repeat cycles of 1000 clock edges as needed to complete testbench
-		repeat (70) begin
-			repeat (1000) @(posedge clock);
-			// $display("+1000 cycles");
-		end
-		$display("%c[1;31m",27);
-		`ifdef GL
-			$display ("Monitor: Timeout, Test Mega-Project WB Port (GL) Failed");
-		`else
-			$display ("Monitor: Timeout, Test Mega-Project WB Port (RTL) Failed");
-		`endif
-		$display("%c[0m",27);
-		$finish;
-	end
-
-	initial begin
-	   wait(checkbits == 16'hAB60);
-		$display("Monitor: MPRJ-Logic WB Started");
-		wait(checkbits == 16'hAB61);
-		`ifdef GL
-	    	$display("Monitor: Mega-Project WB (GL) Passed");
-		`else
-		    $display("Monitor: Mega-Project WB (RTL) Passed");
-		`endif
-	    $finish;
-	end
-
-	initial begin
-		RSTB <= 1'b0;
-		CSB  <= 1'b1;		// Force CSB high
-		#2000;
-		RSTB <= 1'b1;	    	// Release reset
-		#100000;
-		CSB = 1'b0;		// CSB can be released
-	end
-
-	initial begin		// Power-up sequence
-		power1 <= 1'b0;
-		power2 <= 1'b0;
-		#200;
-		power1 <= 1'b1;
-		#200;
-		power2 <= 1'b1;
-	end
-
-	wire flash_csb;
-	wire flash_clk;
-	wire flash_io0;
-	wire flash_io1;
-
-	wire VDD3V3 = power1;
-	wire VDD1V8 = power2;
-	wire USER_VDD3V3 = power3;
-	wire USER_VDD1V8 = power4;
-	wire VSS = 1'b0;
-
-	caravel uut (
-		.vddio	  (VDD3V3),
-		.vddio_2  (VDD3V3),
-		.vssio	  (VSS),
-		.vssio_2  (VSS),
-		.vdda	  (VDD3V3),
-		.vssa	  (VSS),
-		.vccd	  (VDD1V8),
-		.vssd	  (VSS),
-		.vdda1    (VDD3V3),
-		.vdda1_2  (VDD3V3),
-		.vdda2    (VDD3V3),
-		.vssa1	  (VSS),
-		.vssa1_2  (VSS),
-		.vssa2	  (VSS),
-		.vccd1	  (VDD1V8),
-		.vccd2	  (VDD1V8),
-		.vssd1	  (VSS),
-		.vssd2	  (VSS),
-		.clock    (clock),
-		.gpio     (gpio),
-		.mprj_io  (mprj_io),
-		.flash_csb(flash_csb),
-		.flash_clk(flash_clk),
-		.flash_io0(flash_io0),
-		.flash_io1(flash_io1),
-		.resetb	  (RSTB)
-	);
-
-	spiflash #(
-		.FILENAME("wb_port.hex")
-	) spiflash (
-		.csb(flash_csb),
-		.clk(flash_clk),
-		.io0(flash_io0),
-		.io1(flash_io1),
-		.io2(),			// not used
-		.io3()			// not used
-	);
-
-endmodule
-`default_nettype wire
\ No newline at end of file
diff --git a/verilog/includes/includes.gl+sdf.caravel_user_project b/verilog/includes/includes.gl+sdf.caravel_user_project
index 284a97c..caeb16f 100644
--- a/verilog/includes/includes.gl+sdf.caravel_user_project
+++ b/verilog/includes/includes.gl+sdf.caravel_user_project
@@ -1,3 +1,4 @@
 // Caravel user project includes		
 $USER_PROJECT_VERILOG/gl/user_project_wrapper.v	     
-$USER_PROJECT_VERILOG/gl/user_proj_example.v
+$USER_PROJECT_VERILOG/gl/c0_system.v
+$USER_PROJECT_VERILOG/dv/test_c0/c0_uart_prog_tb.v
diff --git a/verilog/includes/includes.gl.caravel_user_project b/verilog/includes/includes.gl.caravel_user_project
index f5047d5..b2fca63 100644
--- a/verilog/includes/includes.gl.caravel_user_project
+++ b/verilog/includes/includes.gl.caravel_user_project
@@ -1,3 +1,4 @@
 # Caravel user project includes	     
 -v $(USER_PROJECT_VERILOG)/gl/user_project_wrapper.v	     
--v $(USER_PROJECT_VERILOG)/gl/user_proj_example.v     
+-v $(USER_PROJECT_VERILOG)/gl/c0_system.v
+-v $(USER_PROJECT_VERILOG)/dv/test_c0/c0_uart_prog_tb.v
diff --git a/verilog/includes/includes.rtl.caravel_user_project b/verilog/includes/includes.rtl.caravel_user_project
index 31ab09b..7a357b5 100644
--- a/verilog/includes/includes.rtl.caravel_user_project
+++ b/verilog/includes/includes.rtl.caravel_user_project
@@ -1,5 +1,30 @@
 # Caravel user project includes
+-v $(USER_PROJECT_VERILOG)/rtl/sabitler.vh
+-v $(USER_PROJECT_VERILOG)/rtl/mikroislem.vh
 -v $(USER_PROJECT_VERILOG)/rtl/user_project_wrapper.v	     
--v $(USER_PROJECT_VERILOG)/rtl/user_proj_example.v
-
+-v $(USER_PROJECT_VERILOG)/rtl/axil_slave_gfa.v
+-v $(USER_PROJECT_VERILOG)/rtl/adres_tekleyici.v
+-v $(USER_PROJECT_VERILOG)/rtl/aritmetik_mantik_birimi.v
+-v $(USER_PROJECT_VERILOG)/rtl/axil_interconnect.v
+-v $(USER_PROJECT_VERILOG)/rtl/baslangic_bellegi.v
+-v $(USER_PROJECT_VERILOG)/rtl/bellek_islem_birimi.v
+-v $(USER_PROJECT_VERILOG)/rtl/buyruk_bellegi_sram.v
+-v $(USER_PROJECT_VERILOG)/rtl/cekirdek.v
+-v $(USER_PROJECT_VERILOG)/rtl/dallanma_birimi.v
+-v $(USER_PROJECT_VERILOG)/rtl/denetim_durum_birimi.v
+-v $(USER_PROJECT_VERILOG)/rtl/getir_coz.v
+-v $(USER_PROJECT_VERILOG)/rtl/on_taraf.v
+-v $(USER_PROJECT_VERILOG)/rtl/UART_alici.v
+-v $(USER_PROJECT_VERILOG)/rtl/UART_GFA.v
+-v $(USER_PROJECT_VERILOG)/rtl/UART_verici.v
+-v $(USER_PROJECT_VERILOG)/rtl/iki_bit_adimli_bolucu.v
+-v $(USER_PROJECT_VERILOG)/rtl/tamsayi_bolme_birimi.v
+-v $(USER_PROJECT_VERILOG)/rtl/iki_bit_adimli_carpici.v
+-v $(USER_PROJECT_VERILOG)/rtl/tamsayi_carpma_birimi.v
+-v $(USER_PROJECT_VERILOG)/rtl/veri_bellegi_sram.v
+-v $(USER_PROJECT_VERILOG)/rtl/yazmac_obegi.v
+-v $(USER_PROJECT_VERILOG)/rtl/yazmac_oku_yurut.v
+-v $(USER_PROJECT_VERILOG)/rtl/yazmac_yaz.v
+-v $(USER_PROJECT_VERILOG)/rtl/c0_system.v
+-v $(USER_PROJECT_VERILOG)/dv/test_c0/c0_uart_prog_tb.v
  
\ No newline at end of file