blob: 7a21342df4b29ab49a20500718eac2311474203a [file] [log] [blame]
0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010000000000000010010011_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000011000000000000100010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000000110010011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001100011101110001010001100011_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110110000000000000010010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000011000000000000100010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001100000000000110010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001010011101110001100001100011_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010000000000000010010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111101000000000000100010011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000010000000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001000011101110001110001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110110000000000000010010011_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111101000000000000100010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111000000000001110010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000010100000000000110010011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001000011101110001000001100011_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000000010110111_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000000100010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000011000000000000110010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000110011101110001010001100011_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000000010110111_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100000000000100010011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000011100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000100011101110001100001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000100010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001110110111_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000100000000000000110010011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010011101110001110001100011_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000000010010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000100010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000001110010011_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000100100000000000110010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010011101110001000001100011_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000010010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000100010011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001000001110011100110011_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_0_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000101000000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000011101110001010001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001100000001000001100011_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000000000000001111_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000000000000001111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000000110010011_00000000000000010000001001110000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000101110100000000100010010011_00000000000000010000001001110100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000001110011_00000000000000010000001001111100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11000000000000000001000001110011_00000000000000010000001010000000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000