blob: 69149a1d546e68395b89671fe3f0483153dec6cb [file] [log] [blame]
0_00000000000000000000000000000000_00000000000000000000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000100100000000000000001101111_00000000000000010000000000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110100001000000010111101110011_00000000000000010000000000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000010010011_00000000000000010000000001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000100010011_00000000000000010000000001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000110010011_00000000000000010000000001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000000001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010011_00000000000000010000000001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001100010011_00000000000000010000000001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000000001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010000010011_00000000000000010000000001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010010010011_00000000000000010000000001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010100010011_00000000000000010000000001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010110010011_00000000000000010000000001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000011000010011_00000000000000010000000001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000011010010011_00000000000000010000000001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000011100010011_00000000000000010000000001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000011110010011_00000000000000010000000010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000100000010011_00000000000000010000000010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000100010010011_00000000000000010000000010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000100100010011_00000000000000010000000010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000100110010011_00000000000000010000000010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000101000010011_00000000000000010000000010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000101010010011_00000000000000010000000010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000101100010011_00000000000000010000000010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000101110010011_00000000000000010000000010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000110000010011_00000000000000010000000010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000110010010011_00000000000000010000000010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000110100010011_00000000000000010000000010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000110110010011_00000000000000010000000010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000111000010011_00000000000000010000000010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000111010010011_00000000000000010000000010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000111100010011_00000000000000010000000010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000111110010011_00000000000000010000000011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110001010000000010010101110011_00000000000000010000000011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001010001000001100011_00000000000000010000000011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001000000101000001010010011_00000000000000010000000011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010100101001000001110011_00000000000000010000000011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00011000000000000101000001110011_00000000000000010000000011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010000000101000001010010011_00000000000000010000000011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010100101001000001110011_00000000000000010000000011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_10000000000000000000001010110111_00000000000000010000000011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100101000001010010011_00000000000000010000000011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00111011000000101001000001110011_00000000000000010000000011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001111100000000001010010011_00000000000000010000000011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00111010000000101001000001110011_00000000000000010000000011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010000000101000001110011_00000000000000010000000011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010000101000001010010011_00000000000000010000000100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010100101001000001110011_00000000000000010000000100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001000000101000001110011_00000000000000010000000100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001100000101000001110011_00000000000000010000000100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000110010011_00000000000000010000000100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11101110110000101000001010010011_00000000000000010000000100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000010100101001000001110011_00000000000000010000000100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000010100010011_00000000000000010000000100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001111101010001010100010011_00000000000000010000000100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001010100110001100011_00000000000000010000000100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000000000000001111_00000000000000010000000100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010011_00000000000000010000000101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000101000101001100011_00000000000000010000000101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00010000010100101001000001110011_00000000000000010000000101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000000000000101000001110011_00000000000000010000000101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001010010111_00000000000000010000000101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010000101000001010010011_00000000000000010000000101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110100000100101001000001110011_00000000000000010000000101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110001010000000010010101110011_00000000000000010000000101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110000001000000000000001110011_00000000000000010000000101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000000101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000000101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000000101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000000110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000000110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000000110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100111000001110010011_00000000000000010000000110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000000110010011_00000000000000010000000110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_01001010011101110001001001100011_00000000000000010000000110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000000110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000000110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000000110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000000110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000000110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000000110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000111000001110010011_00000000000000010000000110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001100000000000110010011_00000000000000010000000110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_01001000011101110001000001100011_00000000000000010000000110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000000110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000000111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000000111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000000111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000000111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000000111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100111000001110010011_00000000000000010000000111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000010000000000000110010011_00000000000000010000000111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_01000100011101110001111001100011_00000000000000010000000111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000011111111000010110111_00000000000000010000000111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111100001000000010010011_00000000000000010000000111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000000111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000000111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000000111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111111111111001110110111_00000000000000010000000111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100111000001110010011_00000000000000010000000111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000010100000000000110010011_00000000000000010000000111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_01000010011101110001110001100011_00000000000000010000001000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110000010110011_00000000000000010000001000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000001000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100111000001110010011_00000000000000010000001000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000011000000000000110010011_00000000000000010000001000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_01000000011100001001101001100011_00000000000000010000001000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110000100110011_00000000000000010000001000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000001000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100111000001110010011_00000000000000010000001001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000011100000000000110010011_00000000000000010000001001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00111110011100010001100001100011_00000000000000010000001001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100001110000010110011_00000000000000010000001001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000001110110111_00000000000000010000001001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000111000001110010011_00000000000000010000001001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000100000000000000110010011_00000000000000010000001001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00111100011100001001101001100011_00000000000000010000001001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000001001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001110000001100010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001110000001100010011_00000000000000010000001010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000001010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000001010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100111000001110010011_00000000000000010000001010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000100100000000000110010011_00000000000000010000001010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00111000011100110001111001100011_00000000000000010000001010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000001010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001110000001100010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000001010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000001010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000001010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000001010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001110000001100010011_00000000000000010000001010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000001011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000001011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000111000001110010011_00000000000000010000001011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000101000000000000110010011_00000000000000010000001011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110110011100110001000001100011_00000000000000010000001011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000001011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001110000001100010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001110011100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000001011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000001011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000001110000001100010011_00000000000000010000001011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001110011100011_00000000000000010000001100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000001100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100111000001110010011_00000000000000010000001100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000101100000000000110010011_00000000000000010000001100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00110010011100110001000001100011_00000000000000010000001100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000001100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001001011100011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001001011100011_00000000000000010000001100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000001101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100111000001110010011_00000000000000010000001101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000110000000000000110010011_00000000000000010000001101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00101110011101110001011001100011_00000000000000010000001101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000001101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000001101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000001101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000001101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000001101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000001101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000001101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000111000001110010011_00000000000000010000001101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000110100000000000110010011_00000000000000010000001110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00101010011101110001101001100011_00000000000000010000001110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000001110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000001110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000001110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000001110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000001110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100111000001110010011_00000000000000010000001110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111000000000000110010011_00000000000000010000001110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00100110011101110001110001100011_00000000000000010000001111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000001111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000001111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000001111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000001111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000001111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000001111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000001111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000001111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000001111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000001111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000001111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100111000001110010011_00000000000000010000001111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111100000000000110010011_00000000000000010000001111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00100100011101110001000001100011_00000000000000010000001111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000001111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000010000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000010000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000010000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000010000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000010000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000111000001110010011_00000000000000010000010000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001000000000000000110010011_00000000000000010000010000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00100000011101110001001001100011_00000000000000010000010000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000010000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000010000111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000010001000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010001000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010001001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010001001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010001010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010001011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010001011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010001100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000010001100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100111000001110010011_00000000000000010000010001101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001000100000000000110010011_00000000000000010000010001101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00011100011101110001010001100011_00000000000000010000010001110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000010001110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001001011100011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010001111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010001111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000010010000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000010010000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010010001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010010001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010010010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001001011100011_00000000000000010000010010010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000010010011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100111000001110010011_00000000000000010000010010011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001001000000000000110010011_00000000000000010000010010100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00011000011101110001101001100011_00000000000000010000010010100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000010010101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000010010101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000010010110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000010010110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000010010111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010010111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010011000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010011000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010011001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000010011001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000010011010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000111000001110010011_00000000000000010000010011010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001001100000000000110010011_00000000000000010000010011011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00010100011101110001111001100011_00000000000000010000010011011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000010011100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010011100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010011101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000010011101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000010011110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010011110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010011111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010011111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010100000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010100000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010100001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000010100001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100111000001110010011_00000000000000010000010100010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010000000000000110010011_00000000000000010000010100010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00010010011101110001000001100011_00000000000000010000010100011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000010100011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010100100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010100100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010100101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000010100101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000010100110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010100110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010100111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010100111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111110010100100001000011100011_00000000000000010000010101000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000100000000001110110111_00000000000000010000010101000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100111000001110010011_00000000000000010000010101001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001010100000000000110010011_00000000000000010000010101001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001110011101110001010001100011_00000000000000010000010101010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000010101010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100001111000100110111_00000000000000010000010101011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000000010000000100010011_00000000000000010000010101011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010101100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000001000010110111_00000000000000010000010101100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000001000000010010011_00000000000000010000010101101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010101101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010101110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010101110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010101111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010101111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100010000001110110111_00000000000000010000010110000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000111000001110010011_00000000000000010000010110000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001011000000000000110010011_00000000000000010000010110001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001010011101110001011001100011_00000000000000010000010110001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001000010011_00000000000000010000010110010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111000011110001000100110111_00000000000000010000010110010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000111100010000000100010011_00000000000000010000010110011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010110011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000000010011_00000000000000010000010110100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000010110100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000010110101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110011100110011_00000000000000010000010110101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100100000001000010011_00000000000000010000010110110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000000000001010010011_00000000000000010000010110110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111100010100100001111011100011_00000000000000010000010110111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111111110001001110110111_00000000000000010000010110111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111111100111000001110010011_00000000000000010000010111000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001011100000000000110010011_00000000000000010000010111000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000110011101110001100001100011_00000000000000010000010111001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000000010110111_00000000000000010000010111001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000001000000010010011_00000000000000010000010111010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000110000100110011_00000000000000010000010111010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11111111000000010000001110110111_00000000000000010000010111011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11110000000000111000001110010011_00000000000000010000010111011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001100000000000000110010011_00000000000000010000010111100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000100011100010001101001100011_00000000000000010000010111100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000000010110111_00000000000000010000010111101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100001000000010010011_00000000000000010000010111101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000001110000100110011_00000000000000010000010111110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000111111110000001110110111_00000000000000010000010111110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100111000001110010011_00000000000000010000010111111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001100100000000000110010011_00000000000000010000010111111100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010011100010001110001100011_00000000000000010000011000000000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000110000010110011_00000000000000010000011000000100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000011000001000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001101000000000000110010011_00000000000000010000011000001100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010011100001001010001100011_00000000000000010000011000010000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00010001000100010001000010110111_00000000000000010000011000010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00010001000100001000000010010011_00000000000000010000011000011000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00100010001000100010000100110111_00000000000000010000011000011100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00100010001000010000000100010011_00000000000000010000011000100000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000001000001110000000110011_00000000000000010000011000100100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000001110010011_00000000000000010000011000101000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000001101100000000000110010011_00000000000000010000011000101100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000011100000001010001100011_00000000000000010000011000110000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000010001100000001000001100011_00000000000000010000011000110100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000000000000001111_00000000000000010000011000111000_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
0_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00001111111100000000000000001111_00000000000000010000011001010100_00000000000000000000000000000000_0_0_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000100000000000110010011_00000000000000010000011001011000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000101110100000000100010010011_00000000000000010000011001011100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000010100010011_00000000000000010000011001100000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_00000000000000000000000001110011_00000000000000010000011001100100_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000
1_11000000000000000001000001110011_00000000000000010000011001101000_00000000000000000000000000000000_0_1_1_0_00000000000000000000000000000000_0000_0_00000000000000000000000000000000