blob: 433dd910e85c11acdb9b72fbd5f782c9ff21905c [file] [log] [blame]
Tim Edwardsef8312e2020-09-22 17:20:06 -04001/*--------------------------------------------------------------*/
2/* caravel, a project harness for the Google/SkyWater sky130 */
3/* fabrication process and open source PDK */
4/* */
5/* Copyright 2020 efabless, Inc. */
6/* Written by Tim Edwards, December 2019 */
7/* and Mohamed Shalan, August 2020 */
8/* This file is open source hardware released under the */
9/* Apache 2.0 license. See file LICENSE. */
10/* */
11/*--------------------------------------------------------------*/
12
13`timescale 1 ns / 1 ps
14
15`define USE_OPENRAM
Tim Edwardse2ef6732020-10-12 17:25:12 -040016`define USE_POWER_PINS
Tim Edwardsc5265b82020-09-25 17:08:59 -040017`define UNIT_DELAY #1
Tim Edwardsef8312e2020-09-22 17:20:06 -040018
Tim Edwards9eda80d2020-10-08 21:36:44 -040019`define MPRJ_IO_PADS 37
20`define MPRJ_PWR_PADS 4 /* vdda1, vccd1, vdda2, vccd2 */
Tim Edwardsef8312e2020-09-22 17:20:06 -040021
22`include "pads.v"
23
Tim Edwards4286ae12020-10-11 14:52:01 -040024/* NOTE: Need to pass the PDK root directory to iverilog with option -I */
Tim Edwardsef8312e2020-09-22 17:20:06 -040025
Tim Edwards4286ae12020-10-11 14:52:01 -040026`include "libs.ref/sky130_fd_io/verilog/sky130_fd_io.v"
Tim Edwards4c733352020-10-12 16:32:36 -040027`include "libs.ref/sky130_fd_io/verilog/sky130_ef_io.v"
Tim Edwards4286ae12020-10-11 14:52:01 -040028
29`include "libs.ref/sky130_fd_sc_hd/verilog/primitives.v"
30`include "libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v"
31`include "libs.ref/sky130_fd_sc_hvl/verilog/primitives.v"
32`include "libs.ref/sky130_fd_sc_hvl/verilog/sky130_fd_sc_hvl.v"
Tim Edwardsef8312e2020-09-22 17:20:06 -040033
34`include "mgmt_soc.v"
Tim Edwards44bab472020-10-04 22:09:54 -040035`include "housekeeping_spi.v"
Tim Edwardsef8312e2020-09-22 17:20:06 -040036`include "digital_pll.v"
Tim Edwards3245e2f2020-10-10 14:02:11 -040037`include "caravel_clocking.v"
Tim Edwardsef8312e2020-09-22 17:20:06 -040038`include "mgmt_core.v"
Tim Edwards53d92182020-10-11 21:47:40 -040039`include "mgmt_protect.v"
Tim Edwardsef8312e2020-09-22 17:20:06 -040040`include "mprj_io.v"
41`include "chip_io.v"
Tim Edwards04ba17f2020-10-02 22:27:50 -040042`include "user_id_programming.v"
43`include "gpio_control_block.v"
Tim Edwards3245e2f2020-10-10 14:02:11 -040044`include "clock_div.v"
Tim Edwardsf51dd082020-10-05 16:30:24 -040045`include "simple_por.v"
Tim Edwardsef8312e2020-09-22 17:20:06 -040046
Tim Edwards05537512020-10-06 14:59:26 -040047/*------------------------------*/
48/* Include user project here */
49/*------------------------------*/
50`include "user_proj_example.v"
51
Tim Edwardsef8312e2020-09-22 17:20:06 -040052`ifdef USE_OPENRAM
53 `include "sram_1rw1r_32_8192_8_sky130.v"
54`endif
55
56module caravel (
Tim Edwards9eda80d2020-10-08 21:36:44 -040057 inout vddio, // Common 3.3V padframe/ESD power
58 inout vssio, // Common padframe/ESD ground
59 inout vdda, // Management 3.3V power
60 inout vssa, // Common analog ground
61 inout vccd, // Management/Common 1.8V power
62 inout vssd, // Common digital ground
63 inout vdda1, // User area 1 3.3V power
64 inout vdda2, // User area 2 3.3V power
65 inout vssa1, // User area 1 analog ground
66 inout vssa2, // User area 2 analog ground
67 inout vccd1, // User area 1 1.8V power
68 inout vccd2, // User area 2 1.8V power
69 inout vssd1, // User area 1 digital ground
70 inout vssd2, // User area 2 digital ground
71
Tim Edwards04ba17f2020-10-02 22:27:50 -040072 inout gpio, // Used for external LDO control
Tim Edwardsef8312e2020-09-22 17:20:06 -040073 inout [`MPRJ_IO_PADS-1:0] mprj_io,
74 input clock, // CMOS core clock input, not a crystal
Tim Edwards04ba17f2020-10-02 22:27:50 -040075 input resetb,
76
77 // Note that only two pins are available on the flash so dual and
78 // quad flash modes are not available.
79
Tim Edwardsef8312e2020-09-22 17:20:06 -040080 output flash_csb,
81 output flash_clk,
82 output flash_io0,
Tim Edwards04ba17f2020-10-02 22:27:50 -040083 output flash_io1
Tim Edwardsef8312e2020-09-22 17:20:06 -040084);
85
Tim Edwards04ba17f2020-10-02 22:27:50 -040086 //------------------------------------------------------------
87 // This value is uniquely defined for each user project.
88 //------------------------------------------------------------
89 parameter USER_PROJECT_ID = 32'h0;
Tim Edwardsef8312e2020-09-22 17:20:06 -040090
Tim Edwards04ba17f2020-10-02 22:27:50 -040091 // These pins are overlaid on mprj_io space. They have the function
92 // below when the management processor is in reset, or in the default
93 // configuration. They are assigned to uses in the user space by the
94 // configuration program running off of the SPI flash. Note that even
95 // when the user has taken control of these pins, they can be restored
96 // to the original use by setting the resetb pin low. The SPI pins and
97 // UART pins can be connected directly to an FTDI chip as long as the
98 // FTDI chip sets these lines to high impedence (input function) at
99 // all times except when holding the chip in reset.
100
101 // JTAG = mprj_io[0] (inout)
102 // SDO = mprj_io[1] (output)
103 // SDI = mprj_io[2] (input)
104 // CSB = mprj_io[3] (input)
105 // SCK = mprj_io[4] (input)
106 // ser_rx = mprj_io[5] (input)
107 // ser_tx = mprj_io[6] (output)
108 // irq = mprj_io[7] (input)
109
110 // These pins are reserved for any project that wants to incorporate
111 // its own processor and flash controller. While a user project can
112 // technically use any available I/O pins for the purpose, these
113 // four pins connect to a pass-through mode from the SPI slave (pins
114 // 1-4 above) so that any SPI flash connected to these specific pins
115 // can be accessed through the SPI slave even when the processor is in
116 // reset.
117
Tim Edwards44bab472020-10-04 22:09:54 -0400118 // user_flash_csb = mprj_io[8]
119 // user_flash_sck = mprj_io[9]
120 // user_flash_io0 = mprj_io[10]
121 // user_flash_io1 = mprj_io[11]
Tim Edwards04ba17f2020-10-02 22:27:50 -0400122
123 // One-bit GPIO dedicated to management SoC (outside of user control)
124 wire gpio_out_core;
125 wire gpio_in_core;
126 wire gpio_mode0_core;
127 wire gpio_mode1_core;
128 wire gpio_outenb_core;
129 wire gpio_inenb_core;
130
131 // Mega-Project Control (pad-facing)
Tim Edwards04ba17f2020-10-02 22:27:50 -0400132 wire mprj_io_loader_resetn;
133 wire mprj_io_loader_clock;
134 wire mprj_io_loader_data;
135
Tim Edwardsef8312e2020-09-22 17:20:06 -0400136 wire [`MPRJ_IO_PADS-1:0] mprj_io_hldh_n;
137 wire [`MPRJ_IO_PADS-1:0] mprj_io_enh;
138 wire [`MPRJ_IO_PADS-1:0] mprj_io_inp_dis;
Tim Edwards44bab472020-10-04 22:09:54 -0400139 wire [`MPRJ_IO_PADS-1:0] mprj_io_oeb;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400140 wire [`MPRJ_IO_PADS-1:0] mprj_io_ib_mode_sel;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400141 wire [`MPRJ_IO_PADS-1:0] mprj_io_vtrip_sel;
142 wire [`MPRJ_IO_PADS-1:0] mprj_io_slow_sel;
143 wire [`MPRJ_IO_PADS-1:0] mprj_io_holdover;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400144 wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_en;
145 wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_sel;
146 wire [`MPRJ_IO_PADS-1:0] mprj_io_analog_pol;
147 wire [`MPRJ_IO_PADS*3-1:0] mprj_io_dm;
148 wire [`MPRJ_IO_PADS-1:0] mprj_io_in;
149 wire [`MPRJ_IO_PADS-1:0] mprj_io_out;
150
Tim Edwards04ba17f2020-10-02 22:27:50 -0400151 // Mega-Project Control (user-facing)
Tim Edwards44bab472020-10-04 22:09:54 -0400152 wire [`MPRJ_IO_PADS-1:0] user_io_oeb;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400153 wire [`MPRJ_IO_PADS-1:0] user_io_in;
154 wire [`MPRJ_IO_PADS-1:0] user_io_out;
155
156 /* Padframe control signals */
157 wire [`MPRJ_IO_PADS-1:0] gpio_serial_link;
158 wire mgmt_serial_clock;
159 wire mgmt_serial_resetn;
160
Tim Edwards44bab472020-10-04 22:09:54 -0400161 // Mega-Project Control management I/O
162 // There are two types of GPIO connections:
163 // (1) Full Bidirectional: Management connects to in, out, and oeb
164 // Uses: JTAG and SDO
165 // (2) Selectable bidirectional: Management connects to in and out,
166 // which are tied together. oeb is grounded (oeb from the
167 // configuration is used)
168
169 // SDI = mprj_io[2] (input)
170 // CSB = mprj_io[3] (input)
171 // SCK = mprj_io[4] (input)
172 // ser_rx = mprj_io[5] (input)
173 // ser_tx = mprj_io[6] (output)
174 // irq = mprj_io[7] (input)
175
176 wire [`MPRJ_IO_PADS-1:0] mgmt_io_in;
177 wire jtag_out, sdo_out;
178 wire jtag_outenb, sdo_outenb;
179
180 wire [`MPRJ_IO_PADS-3:0] mgmt_io_nc1; /* no-connects */
181 wire [`MPRJ_IO_PADS-3:0] mgmt_io_nc3; /* no-connects */
182 wire [1:0] mgmt_io_nc2; /* no-connects */
183
Tim Edwards04ba17f2020-10-02 22:27:50 -0400184 // Power-on-reset signal. The reset pad generates the sense-inverted
185 // reset at 3.3V. The 1.8V signal and the inverted 1.8V signal are
186 // derived.
187
Tim Edwardsef8312e2020-09-22 17:20:06 -0400188 wire porb_h;
189 wire porb_l;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400190
Tim Edwardsf51dd082020-10-05 16:30:24 -0400191 wire rstb_h;
192 wire rstb_l;
193
Tim Edwards44bab472020-10-04 22:09:54 -0400194 // To be considered: Master hold signal on all user pads (?)
195 // For now, set holdh_n to 1 (NOTE: This is in the 3.3V domain)
196 // and setting enh to porb_h.
Tim Edwards9eda80d2020-10-08 21:36:44 -0400197 assign mprj_io_hldh_n = {`MPRJ_IO_PADS{vddio}};
Tim Edwards44bab472020-10-04 22:09:54 -0400198 assign mprj_io_enh = {`MPRJ_IO_PADS{porb_h}};
199
Tim Edwardsef8312e2020-09-22 17:20:06 -0400200 chip_io padframe(
201 // Package Pins
Tim Edwards9eda80d2020-10-08 21:36:44 -0400202 .vddio(vddio),
203 .vssio(vssio),
204 .vdda(vdda),
205 .vssa(vssa),
206 .vccd(vccd),
207 .vssd(vssd),
208 .vdda1(vdda1),
209 .vdda2(vdda2),
210 .vssa1(vssa1),
211 .vssa2(vssa2),
212 .vccd1(vccd1),
213 .vccd2(vccd2),
214 .vssd1(vssd1),
215 .vssd2(vssd2),
216
Tim Edwardsef8312e2020-09-22 17:20:06 -0400217 .gpio(gpio),
218 .mprj_io(mprj_io),
219 .clock(clock),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400220 .resetb(resetb),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400221 .flash_csb(flash_csb),
222 .flash_clk(flash_clk),
223 .flash_io0(flash_io0),
224 .flash_io1(flash_io1),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400225 // SoC Core Interface
Tim Edwardsef8312e2020-09-22 17:20:06 -0400226 .porb_h(porb_h),
Tim Edwardsf51dd082020-10-05 16:30:24 -0400227 .resetb_core_h(rstb_h),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400228 .clock_core(clock_core),
229 .gpio_out_core(gpio_out_core),
230 .gpio_in_core(gpio_in_core),
231 .gpio_mode0_core(gpio_mode0_core),
232 .gpio_mode1_core(gpio_mode1_core),
233 .gpio_outenb_core(gpio_outenb_core),
234 .gpio_inenb_core(gpio_inenb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400235 .flash_csb_core(flash_csb_core),
236 .flash_clk_core(flash_clk_core),
237 .flash_csb_oeb_core(flash_csb_oeb_core),
238 .flash_clk_oeb_core(flash_clk_oeb_core),
239 .flash_io0_oeb_core(flash_io0_oeb_core),
240 .flash_io1_oeb_core(flash_io1_oeb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400241 .flash_csb_ieb_core(flash_csb_ieb_core),
242 .flash_clk_ieb_core(flash_clk_ieb_core),
243 .flash_io0_ieb_core(flash_io0_ieb_core),
244 .flash_io1_ieb_core(flash_io1_ieb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400245 .flash_io0_do_core(flash_io0_do_core),
246 .flash_io1_do_core(flash_io1_do_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400247 .flash_io0_di_core(flash_io0_di_core),
248 .flash_io1_di_core(flash_io1_di_core),
Tim Edwards44bab472020-10-04 22:09:54 -0400249 .por(~porb_l),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400250 .mprj_io_in(mprj_io_in),
251 .mprj_io_out(mprj_io_out),
Tim Edwards44bab472020-10-04 22:09:54 -0400252 .mprj_io_oeb(mprj_io_oeb),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400253 .mprj_io_hldh_n(mprj_io_hldh_n),
254 .mprj_io_enh(mprj_io_enh),
255 .mprj_io_inp_dis(mprj_io_inp_dis),
256 .mprj_io_ib_mode_sel(mprj_io_ib_mode_sel),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400257 .mprj_io_vtrip_sel(mprj_io_vtrip_sel),
258 .mprj_io_slow_sel(mprj_io_slow_sel),
259 .mprj_io_holdover(mprj_io_holdover),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400260 .mprj_io_analog_en(mprj_io_analog_en),
261 .mprj_io_analog_sel(mprj_io_analog_sel),
262 .mprj_io_analog_pol(mprj_io_analog_pol),
263 .mprj_io_dm(mprj_io_dm)
264 );
265
266 // SoC core
Tim Edwards04ba17f2020-10-02 22:27:50 -0400267 wire caravel_clk;
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400268 wire caravel_clk2;
Tim Edwards04ba17f2020-10-02 22:27:50 -0400269 wire caravel_rstn;
Tim Edwardsef8312e2020-09-22 17:20:06 -0400270
271 wire [7:0] spi_ro_config_core;
272
273 // LA signals
274 wire [127:0] la_output_core; // From CPU to MPRJ
275 wire [127:0] la_data_in_mprj; // From CPU to MPRJ
276 wire [127:0] la_data_out_mprj; // From CPU to MPRJ
277 wire [127:0] la_output_mprj; // From MPRJ to CPU
278 wire [127:0] la_oen; // LA output enable from CPU perspective (active-low)
279
280 // WB MI A (Mega Project)
281 wire mprj_cyc_o_core;
282 wire mprj_stb_o_core;
283 wire mprj_we_o_core;
284 wire [3:0] mprj_sel_o_core;
285 wire [31:0] mprj_adr_o_core;
286 wire [31:0] mprj_dat_o_core;
287 wire mprj_ack_i_core;
288 wire [31:0] mprj_dat_i_core;
289
290 // WB MI B (xbar)
291 wire xbar_cyc_o_core;
292 wire xbar_stb_o_core;
293 wire xbar_we_o_core;
294 wire [3:0] xbar_sel_o_core;
295 wire [31:0] xbar_adr_o_core;
296 wire [31:0] xbar_dat_o_core;
297 wire xbar_ack_i_core;
298 wire [31:0] xbar_dat_i_core;
299
Tim Edwards04ba17f2020-10-02 22:27:50 -0400300 // Mask revision
301 wire [31:0] mask_rev;
302
Tim Edwards9eda80d2020-10-08 21:36:44 -0400303 mgmt_core #(
304 .MPRJ_IO_PADS(`MPRJ_IO_PADS),
305 .MPRJ_PWR_PADS(`MPRJ_PWR_PADS)
306 ) soc (
Tim Edwardsef8312e2020-09-22 17:20:06 -0400307 `ifdef LVS
Tim Edwards9eda80d2020-10-08 21:36:44 -0400308 .vdd(vccd),
309 .vss(vssa),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400310 `endif
Tim Edwards04ba17f2020-10-02 22:27:50 -0400311 // GPIO (1 pin)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400312 .gpio_out_pad(gpio_out_core),
313 .gpio_in_pad(gpio_in_core),
314 .gpio_mode0_pad(gpio_mode0_core),
315 .gpio_mode1_pad(gpio_mode1_core),
316 .gpio_outenb_pad(gpio_outenb_core),
317 .gpio_inenb_pad(gpio_inenb_core),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400318 // Primary SPI flash controller
Tim Edwardsef8312e2020-09-22 17:20:06 -0400319 .flash_csb(flash_csb_core),
320 .flash_clk(flash_clk_core),
321 .flash_csb_oeb(flash_csb_oeb_core),
322 .flash_clk_oeb(flash_clk_oeb_core),
323 .flash_io0_oeb(flash_io0_oeb_core),
324 .flash_io1_oeb(flash_io1_oeb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400325 .flash_csb_ieb(flash_csb_ieb_core),
326 .flash_clk_ieb(flash_clk_ieb_core),
327 .flash_io0_ieb(flash_io0_ieb_core),
328 .flash_io1_ieb(flash_io1_ieb_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400329 .flash_io0_do(flash_io0_do_core),
330 .flash_io1_do(flash_io1_do_core),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400331 .flash_io0_di(flash_io0_di_core),
332 .flash_io1_di(flash_io1_di_core),
Tim Edwardsf51dd082020-10-05 16:30:24 -0400333 // Master Reset
334 .resetb(rstb_l),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400335 .porb(porb_l),
336 // Clocks and reset
Tim Edwardsef8312e2020-09-22 17:20:06 -0400337 .clock(clock_core),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400338 .core_clk(caravel_clk),
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400339 .user_clk(caravel_clk2),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400340 .core_rstn(caravel_rstn),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400341 // Logic Analyzer
342 .la_input(la_data_out_mprj),
343 .la_output(la_output_core),
344 .la_oen(la_oen),
345 // Mega Project IO Control
Tim Edwards04ba17f2020-10-02 22:27:50 -0400346 .mprj_io_loader_resetn(mprj_io_loader_resetn),
347 .mprj_io_loader_clock(mprj_io_loader_clock),
348 .mprj_io_loader_data(mprj_io_loader_data),
Tim Edwards44bab472020-10-04 22:09:54 -0400349 .mgmt_in_data(mgmt_io_in),
Tim Edwardsca2f3182020-10-06 10:05:11 -0400350 .mgmt_out_data({mgmt_io_in[(`MPRJ_IO_PADS-1):2], mgmt_io_nc2}),
351 .sdo_out(sdo_out),
352 .sdo_outenb(sdo_outenb),
353 .jtag_out(jtag_out),
354 .jtag_outenb(jtag_outenb),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400355 // Mega Project Slave ports (WB MI A)
356 .mprj_cyc_o(mprj_cyc_o_core),
357 .mprj_stb_o(mprj_stb_o_core),
358 .mprj_we_o(mprj_we_o_core),
359 .mprj_sel_o(mprj_sel_o_core),
360 .mprj_adr_o(mprj_adr_o_core),
361 .mprj_dat_o(mprj_dat_o_core),
362 .mprj_ack_i(mprj_ack_i_core),
363 .mprj_dat_i(mprj_dat_i_core),
364 // Xbar Switch (WB MI B)
365 .xbar_cyc_o(xbar_cyc_o_core),
366 .xbar_stb_o(xbar_stb_o_core),
367 .xbar_we_o (xbar_we_o_core),
368 .xbar_sel_o(xbar_sel_o_core),
369 .xbar_adr_o(xbar_adr_o_core),
370 .xbar_dat_o(xbar_dat_o_core),
371 .xbar_ack_i(xbar_ack_i_core),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400372 .xbar_dat_i(xbar_dat_i_core),
373 // mask data
374 .mask_rev(mask_rev)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400375 );
376
Tim Edwards53d92182020-10-11 21:47:40 -0400377 /* Clock and reset to user space are passed through a tristate */
378 /* buffer like the above, but since they are intended to be */
379 /* always active, connect the enable to the logic-1 output from */
380 /* the vccd1 domain. */
381
382 wire mprj_clock;
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400383 wire mprj_clock2;
Tim Edwards53d92182020-10-11 21:47:40 -0400384 wire mprj_resetn;
385 wire mprj_cyc_o_user;
386 wire mprj_stb_o_user;
387 wire mprj_we_o_user;
388 wire [3:0] mprj_sel_o_user;
389 wire [31:0] mprj_adr_o_user;
390 wire [31:0] mprj_dat_o_user;
391
392 mgmt_protect mgmt_buffers (
Tim Edwards53d92182020-10-11 21:47:40 -0400393 .vccd(vccd),
394 .vssd(vssd),
395 .vccd1(vccd1),
396 .vssd1(vssd1),
Tim Edwards21a9aac2020-10-12 22:05:18 -0400397
Tim Edwards53d92182020-10-11 21:47:40 -0400398 .caravel_clk(caravel_clk),
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400399 .caravel_clk2(caravel_clk2),
Tim Edwards53d92182020-10-11 21:47:40 -0400400 .caravel_rstn(caravel_rstn),
401 .mprj_cyc_o_core(mprj_cyc_o_core),
402 .mprj_stb_o_core(mprj_stb_o_core),
403 .mprj_we_o_core(mprj_we_o_core),
404 .mprj_sel_o_core(mprj_sel_o_core),
405 .mprj_adr_o_core(mprj_adr_o_core),
406 .mprj_dat_o_core(mprj_dat_o_core),
407 .la_output_core(la_output_core),
408 .la_oen(la_oen),
409
410 .user_clock(mprj_clock),
Tim Edwards7a8cbb12020-10-12 11:32:11 -0400411 .user_clock2(mprj_clock2),
Tim Edwards53d92182020-10-11 21:47:40 -0400412 .user_resetn(mprj_resetn),
413 .mprj_cyc_o_user(mprj_cyc_o_user),
414 .mprj_stb_o_user(mprj_stb_o_user),
415 .mprj_we_o_user(mprj_we_o_user),
416 .mprj_sel_o_user(mprj_sel_o_user),
417 .mprj_adr_o_user(mprj_adr_o_user),
418 .mprj_dat_o_user(mprj_dat_o_user),
419 .la_data_in_mprj(la_data_in_mprj)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400420 );
Tim Edwards53d92182020-10-11 21:47:40 -0400421
Tim Edwardsef8312e2020-09-22 17:20:06 -0400422
Tim Edwards05537512020-10-06 14:59:26 -0400423 /*--------------------------------------*/
424 /* User project is instantiated here */
425 /*--------------------------------------*/
426
Tim Edwards9eda80d2020-10-08 21:36:44 -0400427 user_proj_example #(
428 .IO_PADS(`MPRJ_IO_PADS),
429 .PWR_PADS(`MPRJ_PWR_PADS)
430 ) mprj (
Tim Edwards21a9aac2020-10-12 22:05:18 -0400431 .vdda1(vdda1), // User area 1 3.3V power
432 .vdda2(vdda2), // User area 2 3.3V power
433 .vssa1(vssa1), // User area 1 analog ground
434 .vssa2(vssa2), // User area 2 analog ground
435 .vccd1(vccd1), // User area 1 1.8V power
436 .vccd2(vccd2), // User area 2 1.8V power
437 .vssd1(vssd1), // User area 1 digital ground
438 .vssd2(vssd2), // User area 2 digital ground
439
Tim Edwards53d92182020-10-11 21:47:40 -0400440 .wb_clk_i(mprj_clock),
441 .wb_rst_i(!mprj_resetn),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400442 // MGMT SoC Wishbone Slave
Tim Edwards53d92182020-10-11 21:47:40 -0400443 .wbs_cyc_i(mprj_cyc_o_user),
444 .wbs_stb_i(mprj_stb_o_user),
445 .wbs_we_i(mprj_we_o_user),
446 .wbs_sel_i(mprj_sel_o_user),
447 .wbs_adr_i(mprj_adr_o_user),
448 .wbs_dat_i(mprj_dat_o_user),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400449 .wbs_ack_o(mprj_ack_i_core),
450 .wbs_dat_o(mprj_dat_i_core),
451 // Logic Analyzer
452 .la_data_in(la_data_in_mprj),
453 .la_data_out(la_data_out_mprj),
454 .la_oen (la_oen),
455 // IO Pads
Tim Edwards05537512020-10-06 14:59:26 -0400456 .io_in (user_io_in),
Tim Edwardsef2b68d2020-10-11 17:00:44 -0400457 .io_out(user_io_out),
458 .io_oeb(user_io_oeb)
Tim Edwardsef8312e2020-09-22 17:20:06 -0400459 );
460
Tim Edwards05537512020-10-06 14:59:26 -0400461 /*--------------------------------------*/
462 /* End user project instantiation */
463 /*--------------------------------------*/
464
Tim Edwards04ba17f2020-10-02 22:27:50 -0400465 wire [`MPRJ_IO_PADS-1:0] gpio_serial_link_shifted;
466
Tim Edwards251e0df2020-10-05 11:02:12 -0400467 assign gpio_serial_link_shifted = {gpio_serial_link[`MPRJ_IO_PADS-2:0], mprj_io_loader_data};
Tim Edwards04ba17f2020-10-02 22:27:50 -0400468
Tim Edwards251e0df2020-10-05 11:02:12 -0400469 // Each control block sits next to an I/O pad in the user area.
470 // It gets input through a serial chain from the previous control
471 // block and passes it to the next control block. Due to the nature
472 // of the shift register, bits are presented in reverse, as the first
473 // bit in ends up as the last bit of the last I/O pad control block.
Tim Edwards44bab472020-10-04 22:09:54 -0400474
Tim Edwards89f09242020-10-05 15:17:34 -0400475 // There are two types of block; the first two are configured to be
476 // full bidirectional under control of the management Soc (JTAG and
477 // SDO). The rest are configured to be default (input).
478
Tim Edwards251e0df2020-10-05 11:02:12 -0400479 gpio_control_block #(
Tim Edwards89f09242020-10-05 15:17:34 -0400480 .DM_INIT(3'b110), // Mode = output, strong up/down
481 .OENB_INIT(1'b0) // Enable output signaling from wire
482 ) gpio_control_bidir [1:0] (
Tim Edwards53d92182020-10-11 21:47:40 -0400483 `ifdef LVS
484 inout vccd,
485 inout vssd,
486 inout vccd1,
487 inout vssd1,
488 `endif
Tim Edwards44bab472020-10-04 22:09:54 -0400489
Tim Edwards04ba17f2020-10-02 22:27:50 -0400490 // Management Soc-facing signals
491
Tim Edwardsc18c4742020-10-03 11:26:39 -0400492 .resetn(mprj_io_loader_resetn),
493 .serial_clock(mprj_io_loader_clock),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400494
Tim Edwards89f09242020-10-05 15:17:34 -0400495 .mgmt_gpio_in(mgmt_io_in[1:0]),
496 .mgmt_gpio_out({sdo_out, jtag_out}),
497 .mgmt_gpio_oeb({sdo_outenb, jtag_outenb}),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400498
499 // Serial data chain for pad configuration
Tim Edwards89f09242020-10-05 15:17:34 -0400500 .serial_data_in(gpio_serial_link_shifted[1:0]),
501 .serial_data_out(gpio_serial_link[1:0]),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400502
503 // User-facing signals
Tim Edwards89f09242020-10-05 15:17:34 -0400504 .user_gpio_out(user_io_out[1:0]),
505 .user_gpio_oeb(user_io_oeb[1:0]),
506 .user_gpio_in(user_io_in[1:0]),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400507
508 // Pad-facing signals (Pad GPIOv2)
Tim Edwards89f09242020-10-05 15:17:34 -0400509 .pad_gpio_inenb(mprj_io_inp_dis[1:0]),
510 .pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[1:0]),
511 .pad_gpio_vtrip_sel(mprj_io_vtrip_sel[1:0]),
512 .pad_gpio_slow_sel(mprj_io_slow_sel[1:0]),
513 .pad_gpio_holdover(mprj_io_holdover[1:0]),
514 .pad_gpio_ana_en(mprj_io_analog_en[1:0]),
515 .pad_gpio_ana_sel(mprj_io_analog_sel[1:0]),
516 .pad_gpio_ana_pol(mprj_io_analog_pol[1:0]),
517 .pad_gpio_dm(mprj_io_dm[5:0]),
518 .pad_gpio_outenb(mprj_io_oeb[1:0]),
519 .pad_gpio_out(mprj_io_out[1:0]),
520 .pad_gpio_in(mprj_io_in[1:0])
521 );
522
523 gpio_control_block gpio_control_in [`MPRJ_IO_PADS-1:2] (
Tim Edwards53d92182020-10-11 21:47:40 -0400524 `ifdef LVS
525 inout vccd,
526 inout vssd,
527 inout vccd1,
528 inout vssd1,
529 `endif
Tim Edwards89f09242020-10-05 15:17:34 -0400530
531 // Management Soc-facing signals
532
533 .resetn(mprj_io_loader_resetn),
534 .serial_clock(mprj_io_loader_clock),
535
536 .mgmt_gpio_in(mgmt_io_in[(`MPRJ_IO_PADS-1):2]),
537 .mgmt_gpio_out(mgmt_io_in[(`MPRJ_IO_PADS-1):2]),
538 .mgmt_gpio_oeb(1'b1),
539
540 // Serial data chain for pad configuration
541 .serial_data_in(gpio_serial_link_shifted[(`MPRJ_IO_PADS-1):2]),
542 .serial_data_out(gpio_serial_link[(`MPRJ_IO_PADS-1):2]),
543
544 // User-facing signals
545 .user_gpio_out(user_io_out[(`MPRJ_IO_PADS-1):2]),
546 .user_gpio_oeb(user_io_oeb[(`MPRJ_IO_PADS-1):2]),
547 .user_gpio_in(user_io_in[(`MPRJ_IO_PADS-1):2]),
548
549 // Pad-facing signals (Pad GPIOv2)
550 .pad_gpio_inenb(mprj_io_inp_dis[(`MPRJ_IO_PADS-1):2]),
551 .pad_gpio_ib_mode_sel(mprj_io_ib_mode_sel[(`MPRJ_IO_PADS-1):2]),
552 .pad_gpio_vtrip_sel(mprj_io_vtrip_sel[(`MPRJ_IO_PADS-1):2]),
553 .pad_gpio_slow_sel(mprj_io_slow_sel[(`MPRJ_IO_PADS-1):2]),
554 .pad_gpio_holdover(mprj_io_holdover[(`MPRJ_IO_PADS-1):2]),
555 .pad_gpio_ana_en(mprj_io_analog_en[(`MPRJ_IO_PADS-1):2]),
556 .pad_gpio_ana_sel(mprj_io_analog_sel[(`MPRJ_IO_PADS-1):2]),
557 .pad_gpio_ana_pol(mprj_io_analog_pol[(`MPRJ_IO_PADS-1):2]),
558 .pad_gpio_dm(mprj_io_dm[(`MPRJ_IO_PADS*3-1):6]),
559 .pad_gpio_outenb(mprj_io_oeb[(`MPRJ_IO_PADS-1):2]),
560 .pad_gpio_out(mprj_io_out[(`MPRJ_IO_PADS-1):2]),
561 .pad_gpio_in(mprj_io_in[(`MPRJ_IO_PADS-1):2])
Tim Edwards04ba17f2020-10-02 22:27:50 -0400562 );
563
Tim Edwardsf51dd082020-10-05 16:30:24 -0400564 sky130_fd_sc_hvl__lsbufhv2lv porb_level (
Tim Edwards21a9aac2020-10-12 22:05:18 -0400565 .VPWR(vddio),
566 .VPB(vddio),
567 .LVPWR(vccd),
568 .VNB(vssio),
569 .VGND(vssio),
Tim Edwardsef8312e2020-09-22 17:20:06 -0400570 .A(porb_h),
571 .X(porb_l)
572 );
573
Tim Edwards04ba17f2020-10-02 22:27:50 -0400574 user_id_programming #(
575 .USER_PROJECT_ID(USER_PROJECT_ID)
576 ) user_id_value (
Tim Edwards21a9aac2020-10-12 22:05:18 -0400577 .vdd1v8(vccd),
578 .vss(vssd),
Tim Edwards04ba17f2020-10-02 22:27:50 -0400579 .mask_rev(mask_rev)
580 );
581
Tim Edwardsf51dd082020-10-05 16:30:24 -0400582 // Power-on-reset circuit
583 simple_por por (
Tim Edwards9eda80d2020-10-08 21:36:44 -0400584 .vdd3v3(vddio),
585 .vss(vssio),
Tim Edwardsf51dd082020-10-05 16:30:24 -0400586 .porb_h(porb_h)
587 );
588
589 // XRES (chip input pin reset) reset level converter
590 sky130_fd_sc_hvl__lsbufhv2lv rstb_level (
Tim Edwards21a9aac2020-10-12 22:05:18 -0400591 .VPWR(vddio),
592 .VPB(vddio),
593 .LVPWR(vccd),
594 .VNB(vssio),
595 .VGND(vssio),
Tim Edwardsf51dd082020-10-05 16:30:24 -0400596 .A(rstb_h),
597 .X(rstb_l)
598 );
599
Tim Edwardsef8312e2020-09-22 17:20:06 -0400600endmodule