Matt Venn | 08cd6eb | 2020-11-16 12:01:14 +0100 | [diff] [blame] | 1 | `default_nettype none |
Tim Edwards | 04ba17f | 2020-10-02 22:27:50 -0400 | [diff] [blame] | 2 | // This module represents an unprogrammed mask revision |
| 3 | // block that is configured with via programming on the |
| 4 | // chip top level. This value is passed to the block as |
| 5 | // a parameter |
| 6 | |
| 7 | module user_id_programming #( |
| 8 | parameter [ 0:0] USER_PROJECT_ID = 32'h0 |
| 9 | ) ( |
Ahmed Ghazy | 27200e9 | 2020-11-25 22:07:02 +0200 | [diff] [blame] | 10 | `ifdef USE_POWER_PINS |
Tim Edwards | 21a9aac | 2020-10-12 22:05:18 -0400 | [diff] [blame] | 11 | inout vdd1v8, |
| 12 | inout vss, |
Ahmed Ghazy | 27200e9 | 2020-11-25 22:07:02 +0200 | [diff] [blame] | 13 | `endif |
Tim Edwards | 04ba17f | 2020-10-02 22:27:50 -0400 | [diff] [blame] | 14 | output [31:0] mask_rev |
| 15 | ); |
| 16 | wire [31:0] mask_rev; |
| 17 | wire [31:0] user_proj_id_high; |
| 18 | wire [31:0] user_proj_id_low; |
| 19 | |
| 20 | // For the mask revision input, use an array of digital constant logic cells |
| 21 | |
| 22 | sky130_fd_sc_hd__conb_1 mask_rev_value [31:0] ( |
Ahmed Ghazy | 64c17e8 | 2020-11-18 20:17:26 +0200 | [diff] [blame] | 23 | `ifdef USE_POWER_PINS |
Tim Edwards | 4c73335 | 2020-10-12 16:32:36 -0400 | [diff] [blame] | 24 | .VPWR(vdd1v8), |
| 25 | .VPB(vdd1v8), |
| 26 | .VNB(vss), |
| 27 | .VGND(vss), |
Ahmed Ghazy | 64c17e8 | 2020-11-18 20:17:26 +0200 | [diff] [blame] | 28 | `endif |
Tim Edwards | 04ba17f | 2020-10-02 22:27:50 -0400 | [diff] [blame] | 29 | .HI(user_proj_id_high), |
| 30 | .LO(user_proj_id_low) |
| 31 | ); |
| 32 | |
| 33 | genvar i; |
| 34 | generate |
| 35 | for (i = 0; i < 32; i = i+1) begin |
| 36 | assign mask_rev[i] = (USER_PROJECT_ID & (32'h01 << i)) ? |
| 37 | user_proj_id_high[i] : user_proj_id_low[i]; |
| 38 | end |
| 39 | endgenerate |
| 40 | |
| 41 | endmodule |
Tim Edwards | 581068f | 2020-11-19 12:45:25 -0500 | [diff] [blame] | 42 | `default_nettype wire |