blob: 83dc0f56f6f79eef98a97ca4eb0a3f2c771511bf [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "wrapped_vga_clock"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 active
*2 io_in[0]
*3 io_in[10]
*4 io_in[11]
*5 io_in[12]
*6 io_in[13]
*7 io_in[14]
*8 io_in[15]
*9 io_in[16]
*10 io_in[17]
*11 io_in[18]
*12 io_in[19]
*13 io_in[1]
*14 io_in[20]
*15 io_in[21]
*16 io_in[22]
*17 io_in[23]
*18 io_in[24]
*19 io_in[25]
*20 io_in[26]
*21 io_in[27]
*22 io_in[28]
*23 io_in[29]
*24 io_in[2]
*25 io_in[30]
*26 io_in[31]
*27 io_in[32]
*28 io_in[33]
*29 io_in[34]
*30 io_in[35]
*31 io_in[36]
*32 io_in[37]
*33 io_in[3]
*34 io_in[4]
*35 io_in[5]
*36 io_in[6]
*37 io_in[7]
*38 io_in[8]
*39 io_in[9]
*40 io_oeb[0]
*41 io_oeb[10]
*42 io_oeb[11]
*43 io_oeb[12]
*44 io_oeb[13]
*45 io_oeb[14]
*46 io_oeb[15]
*47 io_oeb[16]
*48 io_oeb[17]
*49 io_oeb[18]
*50 io_oeb[19]
*51 io_oeb[1]
*52 io_oeb[20]
*53 io_oeb[21]
*54 io_oeb[22]
*55 io_oeb[23]
*56 io_oeb[24]
*57 io_oeb[25]
*58 io_oeb[26]
*59 io_oeb[27]
*60 io_oeb[28]
*61 io_oeb[29]
*62 io_oeb[2]
*63 io_oeb[30]
*64 io_oeb[31]
*65 io_oeb[32]
*66 io_oeb[33]
*67 io_oeb[34]
*68 io_oeb[35]
*69 io_oeb[36]
*70 io_oeb[37]
*71 io_oeb[3]
*72 io_oeb[4]
*73 io_oeb[5]
*74 io_oeb[6]
*75 io_oeb[7]
*76 io_oeb[8]
*77 io_oeb[9]
*78 io_out[0]
*79 io_out[10]
*80 io_out[11]
*81 io_out[12]
*82 io_out[13]
*83 io_out[14]
*84 io_out[15]
*85 io_out[16]
*86 io_out[17]
*87 io_out[18]
*88 io_out[19]
*89 io_out[1]
*90 io_out[20]
*91 io_out[21]
*92 io_out[22]
*93 io_out[23]
*94 io_out[24]
*95 io_out[25]
*96 io_out[26]
*97 io_out[27]
*98 io_out[28]
*99 io_out[29]
*100 io_out[2]
*101 io_out[30]
*102 io_out[31]
*103 io_out[32]
*104 io_out[33]
*105 io_out[34]
*106 io_out[35]
*107 io_out[36]
*108 io_out[37]
*109 io_out[3]
*110 io_out[4]
*111 io_out[5]
*112 io_out[6]
*113 io_out[7]
*114 io_out[8]
*115 io_out[9]
*116 la1_data_in[0]
*117 la1_data_in[10]
*118 la1_data_in[11]
*119 la1_data_in[12]
*120 la1_data_in[13]
*121 la1_data_in[14]
*122 la1_data_in[15]
*123 la1_data_in[16]
*124 la1_data_in[17]
*125 la1_data_in[18]
*126 la1_data_in[19]
*127 la1_data_in[1]
*128 la1_data_in[20]
*129 la1_data_in[21]
*130 la1_data_in[22]
*131 la1_data_in[23]
*132 la1_data_in[24]
*133 la1_data_in[25]
*134 la1_data_in[26]
*135 la1_data_in[27]
*136 la1_data_in[28]
*137 la1_data_in[29]
*138 la1_data_in[2]
*139 la1_data_in[30]
*140 la1_data_in[31]
*141 la1_data_in[3]
*142 la1_data_in[4]
*143 la1_data_in[5]
*144 la1_data_in[6]
*145 la1_data_in[7]
*146 la1_data_in[8]
*147 la1_data_in[9]
*148 la1_data_out[0]
*149 la1_data_out[10]
*150 la1_data_out[11]
*151 la1_data_out[12]
*152 la1_data_out[13]
*153 la1_data_out[14]
*154 la1_data_out[15]
*155 la1_data_out[16]
*156 la1_data_out[17]
*157 la1_data_out[18]
*158 la1_data_out[19]
*159 la1_data_out[1]
*160 la1_data_out[20]
*161 la1_data_out[21]
*162 la1_data_out[22]
*163 la1_data_out[23]
*164 la1_data_out[24]
*165 la1_data_out[25]
*166 la1_data_out[26]
*167 la1_data_out[27]
*168 la1_data_out[28]
*169 la1_data_out[29]
*170 la1_data_out[2]
*171 la1_data_out[30]
*172 la1_data_out[31]
*173 la1_data_out[3]
*174 la1_data_out[4]
*175 la1_data_out[5]
*176 la1_data_out[6]
*177 la1_data_out[7]
*178 la1_data_out[8]
*179 la1_data_out[9]
*180 la1_oenb[0]
*181 la1_oenb[10]
*182 la1_oenb[11]
*183 la1_oenb[12]
*184 la1_oenb[13]
*185 la1_oenb[14]
*186 la1_oenb[15]
*187 la1_oenb[16]
*188 la1_oenb[17]
*189 la1_oenb[18]
*190 la1_oenb[19]
*191 la1_oenb[1]
*192 la1_oenb[20]
*193 la1_oenb[21]
*194 la1_oenb[22]
*195 la1_oenb[23]
*196 la1_oenb[24]
*197 la1_oenb[25]
*198 la1_oenb[26]
*199 la1_oenb[27]
*200 la1_oenb[28]
*201 la1_oenb[29]
*202 la1_oenb[2]
*203 la1_oenb[30]
*204 la1_oenb[31]
*205 la1_oenb[3]
*206 la1_oenb[4]
*207 la1_oenb[5]
*208 la1_oenb[6]
*209 la1_oenb[7]
*210 la1_oenb[8]
*211 la1_oenb[9]
*214 wb_clk_i
*215 _0000_
*216 _0001_
*217 _0002_
*218 _0003_
*219 _0004_
*220 _0005_
*221 _0006_
*222 _0007_
*223 _0008_
*224 _0009_
*225 _0010_
*226 _0011_
*227 _0012_
*228 _0013_
*229 _0014_
*230 _0015_
*231 _0016_
*232 _0017_
*233 _0018_
*234 _0019_
*235 _0020_
*236 _0021_
*237 _0022_
*238 _0023_
*239 _0024_
*240 _0025_
*241 _0026_
*242 _0027_
*243 _0028_
*244 _0029_
*245 _0030_
*246 _0031_
*247 _0032_
*248 _0033_
*249 _0034_
*250 _0035_
*251 _0036_
*252 _0037_
*253 _0038_
*254 _0039_
*255 _0040_
*256 _0041_
*257 _0042_
*258 _0043_
*259 _0044_
*260 _0045_
*261 _0046_
*262 _0047_
*263 _0048_
*264 _0049_
*265 _0050_
*266 _0051_
*267 _0052_
*268 _0053_
*269 _0054_
*270 _0055_
*271 _0056_
*272 _0057_
*273 _0058_
*274 _0059_
*275 _0060_
*276 _0061_
*277 _0062_
*278 _0063_
*279 _0064_
*280 _0065_
*281 _0066_
*282 _0067_
*283 _0068_
*284 _0069_
*285 _0070_
*286 _0071_
*287 _0072_
*288 _0073_
*289 _0074_
*290 _0075_
*291 _0076_
*292 _0077_
*293 _0078_
*294 _0079_
*295 _0080_
*296 _0081_
*297 _0082_
*298 _0083_
*299 _0084_
*300 _0085_
*301 _0086_
*302 _0087_
*303 _0088_
*304 _0089_
*305 _0090_
*306 _0091_
*307 _0092_
*308 _0093_
*309 _0094_
*310 _0095_
*311 _0096_
*312 _0097_
*313 _0098_
*314 _0099_
*315 _0100_
*316 _0101_
*317 _0102_
*318 _0103_
*319 _0104_
*320 _0105_
*321 _0106_
*322 _0107_
*323 _0108_
*324 _0109_
*325 _0110_
*326 _0111_
*327 _0112_
*328 _0113_
*329 _0114_
*330 _0115_
*331 _0116_
*332 _0117_
*333 _0118_
*334 _0119_
*335 _0120_
*336 _0121_
*337 _0122_
*338 _0123_
*339 _0124_
*340 _0125_
*341 _0126_
*342 _0127_
*343 _0128_
*344 _0129_
*345 _0130_
*346 _0131_
*347 _0132_
*348 _0133_
*349 _0134_
*350 _0135_
*351 _0136_
*352 _0137_
*353 _0138_
*354 _0139_
*355 _0140_
*356 _0141_
*357 _0142_
*358 _0143_
*359 _0144_
*360 _0145_
*361 _0146_
*362 _0147_
*363 _0148_
*364 _0149_
*365 _0150_
*366 _0151_
*367 _0152_
*368 _0153_
*369 _0154_
*370 _0155_
*371 _0156_
*372 _0157_
*373 _0158_
*374 _0159_
*375 _0160_
*376 _0161_
*377 _0162_
*378 _0163_
*379 _0164_
*380 _0165_
*381 _0166_
*382 _0167_
*383 _0168_
*384 _0169_
*385 _0170_
*386 _0171_
*387 _0172_
*388 _0173_
*389 _0174_
*390 _0175_
*391 _0176_
*392 _0177_
*393 _0178_
*394 _0179_
*395 _0180_
*396 _0181_
*397 _0182_
*398 _0183_
*399 _0184_
*400 _0185_
*401 _0186_
*402 _0187_
*403 _0188_
*404 _0189_
*405 _0190_
*406 _0191_
*407 _0192_
*408 _0193_
*409 _0194_
*410 _0195_
*411 _0196_
*412 _0197_
*413 _0198_
*414 _0199_
*415 _0200_
*416 _0201_
*417 _0202_
*418 _0203_
*419 _0204_
*420 _0205_
*421 _0206_
*422 _0207_
*423 _0208_
*424 _0209_
*425 _0210_
*426 _0211_
*427 _0212_
*428 _0213_
*429 _0214_
*430 _0215_
*431 _0216_
*432 _0217_
*433 _0218_
*434 _0219_
*435 _0220_
*436 _0221_
*437 _0222_
*438 _0223_
*439 _0224_
*440 _0225_
*441 _0226_
*442 _0227_
*443 _0228_
*444 _0229_
*445 _0230_
*446 _0231_
*447 _0232_
*448 _0233_
*449 _0234_
*450 _0235_
*451 _0236_
*452 _0237_
*453 _0238_
*454 _0239_
*455 _0240_
*456 _0241_
*457 _0242_
*458 _0243_
*459 _0244_
*460 _0245_
*461 _0246_
*462 _0247_
*463 _0248_
*464 _0249_
*465 _0250_
*466 _0251_
*467 _0252_
*468 _0253_
*469 _0254_
*470 _0255_
*471 _0256_
*472 _0257_
*473 _0258_
*474 _0259_
*475 _0260_
*476 _0261_
*477 _0262_
*478 _0263_
*479 _0264_
*480 _0265_
*481 _0266_
*482 _0267_
*483 _0268_
*484 _0269_
*485 _0270_
*486 _0271_
*487 _0272_
*488 _0273_
*489 _0274_
*490 _0275_
*491 _0276_
*492 _0277_
*493 _0278_
*494 _0279_
*495 _0280_
*496 _0281_
*497 _0282_
*498 _0283_
*499 _0284_
*500 _0285_
*501 _0286_
*502 _0287_
*503 _0288_
*504 _0289_
*505 _0290_
*506 _0291_
*507 _0292_
*508 _0293_
*509 _0294_
*510 _0295_
*511 _0296_
*512 _0297_
*513 _0298_
*514 _0299_
*515 _0300_
*516 _0301_
*517 _0302_
*518 _0303_
*519 _0304_
*520 _0305_
*521 _0306_
*522 _0307_
*523 _0308_
*524 _0309_
*525 _0310_
*526 _0311_
*527 _0312_
*528 _0313_
*529 _0314_
*530 _0315_
*531 _0316_
*532 _0317_
*533 _0318_
*534 _0319_
*535 _0320_
*536 _0321_
*537 _0322_
*538 _0323_
*539 _0324_
*540 _0325_
*541 _0326_
*542 _0327_
*543 _0328_
*544 _0329_
*545 _0330_
*546 _0331_
*547 _0332_
*548 _0333_
*549 _0334_
*550 _0335_
*551 _0336_
*552 _0337_
*553 _0338_
*554 _0339_
*555 _0340_
*556 _0341_
*557 _0342_
*558 _0343_
*559 _0344_
*560 _0345_
*561 _0346_
*562 _0347_
*563 _0348_
*564 _0349_
*565 _0350_
*566 _0351_
*567 _0352_
*568 _0353_
*569 _0354_
*570 _0355_
*571 _0356_
*572 _0357_
*573 _0358_
*574 _0359_
*575 _0360_
*576 _0361_
*577 _0362_
*578 _0363_
*579 _0364_
*580 _0365_
*581 _0366_
*582 _0367_
*583 _0368_
*584 _0369_
*585 _0370_
*586 _0371_
*587 _0372_
*588 _0373_
*589 _0374_
*590 _0375_
*591 _0376_
*592 _0377_
*593 _0378_
*594 _0379_
*595 _0380_
*596 _0381_
*597 _0382_
*598 _0383_
*599 _0384_
*600 _0385_
*601 _0386_
*602 _0387_
*603 _0388_
*604 _0389_
*605 _0390_
*606 _0391_
*607 _0392_
*608 _0393_
*609 _0394_
*610 _0395_
*611 _0396_
*612 _0397_
*613 _0398_
*614 _0399_
*615 _0400_
*616 _0401_
*617 _0402_
*618 _0403_
*619 _0404_
*620 _0405_
*621 _0406_
*622 _0407_
*623 _0408_
*624 _0409_
*625 _0410_
*626 _0411_
*627 _0412_
*628 _0413_
*629 _0414_
*630 _0415_
*631 _0416_
*632 _0417_
*633 _0418_
*634 _0419_
*635 _0420_
*636 _0421_
*637 _0422_
*638 _0423_
*639 _0424_
*640 _0425_
*641 _0426_
*642 _0427_
*643 _0428_
*644 _0429_
*645 _0430_
*646 _0431_
*647 _0432_
*648 _0433_
*649 _0434_
*650 _0435_
*651 _0436_
*652 _0437_
*653 _0438_
*654 _0439_
*655 _0440_
*656 _0441_
*657 _0442_
*658 _0443_
*659 _0444_
*660 _0445_
*661 _0446_
*662 _0447_
*663 _0448_
*664 _0449_
*665 _0450_
*666 _0451_
*667 _0452_
*668 _0453_
*669 _0454_
*670 _0455_
*671 _0456_
*672 _0457_
*673 _0458_
*674 _0459_
*675 _0460_
*676 _0461_
*677 _0462_
*678 _0463_
*679 _0464_
*680 _0465_
*681 _0466_
*682 _0467_
*683 _0468_
*684 _0469_
*685 _0470_
*686 _0471_
*687 _0472_
*688 _0473_
*689 _0474_
*690 _0475_
*691 _0476_
*692 _0477_
*693 _0478_
*694 _0479_
*695 _0480_
*696 _0481_
*697 _0482_
*698 _0483_
*699 _0484_
*700 _0485_
*701 _0486_
*702 _0487_
*703 _0488_
*704 _0489_
*705 _0490_
*706 _0491_
*707 _0492_
*708 _0493_
*709 _0494_
*710 _0495_
*711 _0496_
*712 _0497_
*713 _0498_
*714 _0499_
*715 _0500_
*716 _0501_
*717 _0502_
*718 _0503_
*719 _0504_
*720 _0505_
*721 _0506_
*722 _0507_
*723 _0508_
*724 _0509_
*725 _0510_
*726 _0511_
*727 _0512_
*728 _0513_
*729 _0514_
*730 _0515_
*731 _0516_
*732 _0517_
*733 _0518_
*734 _0519_
*735 _0520_
*736 _0521_
*737 _0522_
*738 _0523_
*739 _0524_
*740 _0525_
*741 _0526_
*742 _0527_
*743 _0528_
*744 _0529_
*745 _0530_
*746 _0531_
*747 _0532_
*748 _0533_
*749 _0534_
*750 _0535_
*751 _0536_
*752 _0537_
*753 _0538_
*754 _0539_
*755 _0540_
*756 _0541_
*757 _0542_
*758 _0543_
*759 _0544_
*760 _0545_
*761 _0546_
*762 _0547_
*763 _0548_
*764 _0549_
*765 _0550_
*766 _0551_
*767 _0552_
*768 _0553_
*769 _0554_
*770 _0555_
*771 _0556_
*772 _0557_
*773 _0558_
*774 _0559_
*775 _0560_
*776 _0561_
*777 _0562_
*778 _0563_
*779 _0564_
*780 _0565_
*781 _0566_
*782 _0567_
*783 _0568_
*784 _0569_
*785 _0570_
*786 _0571_
*787 _0572_
*788 _0573_
*789 _0574_
*790 _0575_
*791 _0576_
*792 _0577_
*793 _0578_
*794 _0579_
*795 _0580_
*796 _0581_
*797 _0582_
*798 _0583_
*799 _0584_
*800 _0585_
*801 _0586_
*802 _0587_
*803 _0588_
*804 _0589_
*805 _0590_
*806 _0591_
*807 _0592_
*808 _0593_
*809 _0594_
*810 _0595_
*811 _0596_
*812 _0597_
*813 _0598_
*814 _0599_
*815 _0600_
*816 _0601_
*817 _0602_
*818 _0603_
*819 _0604_
*820 _0605_
*821 _0606_
*822 _0607_
*823 _0608_
*824 _0609_
*825 _0610_
*826 _0611_
*827 _0612_
*828 _0613_
*829 _0614_
*830 _0615_
*831 _0616_
*832 _0617_
*833 _0618_
*834 _0619_
*835 _0620_
*836 _0621_
*837 _0622_
*838 _0623_
*839 _0624_
*840 _0625_
*841 _0626_
*842 _0627_
*843 _0628_
*844 _0629_
*845 _0630_
*846 _0631_
*847 _0632_
*848 _0633_
*849 _0634_
*850 _0635_
*851 _0636_
*852 _0637_
*853 _0638_
*854 _0639_
*855 _0640_
*856 _0641_
*857 _0642_
*858 _0643_
*859 _0644_
*860 _0645_
*861 _0646_
*862 _0647_
*863 _0648_
*864 _0649_
*865 _0650_
*866 _0651_
*867 _0652_
*868 _0653_
*869 _0654_
*870 _0655_
*871 _0656_
*872 _0657_
*873 _0658_
*874 _0659_
*875 _0660_
*876 _0661_
*877 _0662_
*878 _0663_
*879 _0664_
*880 _0665_
*881 _0666_
*882 _0667_
*883 _0668_
*884 _0669_
*885 _0670_
*886 _0671_
*887 _0672_
*888 _0673_
*889 _0674_
*890 _0675_
*891 _0676_
*892 _0677_
*893 _0678_
*894 _0679_
*895 _0680_
*896 _0681_
*897 _0682_
*898 _0683_
*899 _0684_
*900 _0685_
*901 _0686_
*902 _0687_
*903 _0688_
*904 _0689_
*905 _0690_
*906 _0691_
*907 _0692_
*908 _0693_
*909 _0694_
*910 _0695_
*911 _0696_
*912 _0697_
*913 _0698_
*914 _0699_
*915 _0700_
*916 _0701_
*917 _0702_
*918 _0703_
*919 _0704_
*920 _0705_
*921 _0706_
*922 _0707_
*923 _0708_
*924 _0709_
*925 _0710_
*926 _0711_
*927 _0712_
*928 _0713_
*929 _0714_
*930 _0715_
*931 _0716_
*932 _0717_
*933 _0718_
*934 _0719_
*935 _0720_
*936 _0721_
*937 _0722_
*938 _0723_
*939 _0724_
*940 _0725_
*941 _0726_
*942 _0727_
*943 _0728_
*944 _0729_
*945 _0730_
*946 _0731_
*947 _0732_
*948 _0733_
*949 _0734_
*950 _0735_
*951 _0736_
*952 _0737_
*953 _0738_
*954 _0739_
*955 _0740_
*956 _0741_
*957 _0742_
*958 _0743_
*959 _0744_
*960 _0745_
*961 _0746_
*962 _0747_
*963 _0748_
*964 _0749_
*965 _0750_
*966 _0751_
*967 _0752_
*968 _0753_
*969 _0754_
*970 _0755_
*971 _0756_
*972 _0757_
*973 _0758_
*974 _0759_
*975 _0760_
*976 _0761_
*977 _0762_
*978 _0763_
*979 _0764_
*980 _0765_
*981 _0766_
*982 _0767_
*983 _0768_
*984 _0769_
*985 _0770_
*986 _0771_
*987 _0772_
*988 _0773_
*989 _0774_
*990 _0775_
*991 _0776_
*992 _0777_
*993 _0778_
*994 _0779_
*995 _0780_
*996 _0781_
*997 _0782_
*998 _0783_
*999 _0784_
*1000 _0785_
*1001 _0786_
*1002 _0787_
*1003 _0788_
*1004 _0789_
*1005 _0790_
*1006 _0791_
*1007 _0792_
*1008 _0793_
*1009 _0794_
*1010 _0795_
*1011 _0796_
*1012 _0797_
*1013 _0798_
*1014 _0799_
*1015 _0800_
*1016 _0801_
*1017 _0802_
*1018 _0803_
*1019 _0804_
*1020 _0805_
*1021 _0806_
*1022 _0807_
*1023 _0808_
*1024 _0809_
*1025 _0810_
*1026 _0811_
*1027 _0812_
*1028 _0813_
*1029 _0814_
*1030 _0815_
*1031 _0816_
*1032 _0817_
*1033 _0818_
*1034 _0819_
*1035 _0820_
*1036 _0821_
*1037 _0822_
*1038 _0823_
*1039 _0824_
*1040 _0825_
*1041 _0826_
*1042 _0827_
*1043 _0828_
*1044 _0829_
*1045 _0830_
*1046 _0831_
*1047 _0832_
*1048 _0833_
*1049 _0834_
*1050 _0835_
*1051 _0836_
*1052 _0837_
*1053 _0838_
*1054 _0839_
*1055 _0840_
*1056 _0841_
*1057 _0842_
*1058 _0843_
*1059 _0844_
*1060 _0845_
*1061 _0846_
*1062 _0847_
*1063 _0848_
*1064 _0849_
*1065 _0850_
*1066 clknet_0_wb_clk_i
*1067 clknet_1_0_0_wb_clk_i
*1068 clknet_1_1_0_wb_clk_i
*1069 clknet_2_0_0_wb_clk_i
*1070 clknet_2_1_0_wb_clk_i
*1071 clknet_2_2_0_wb_clk_i
*1072 clknet_2_3_0_wb_clk_i
*1073 clknet_3_0_0_wb_clk_i
*1074 clknet_3_1_0_wb_clk_i
*1075 clknet_3_2_0_wb_clk_i
*1076 clknet_3_3_0_wb_clk_i
*1077 clknet_3_4_0_wb_clk_i
*1078 clknet_3_5_0_wb_clk_i
*1079 clknet_3_6_0_wb_clk_i
*1080 clknet_3_7_0_wb_clk_i
*1081 clknet_4_0_0_wb_clk_i
*1082 clknet_4_10_0_wb_clk_i
*1083 clknet_4_11_0_wb_clk_i
*1084 clknet_4_12_0_wb_clk_i
*1085 clknet_4_13_0_wb_clk_i
*1086 clknet_4_14_0_wb_clk_i
*1087 clknet_4_15_0_wb_clk_i
*1088 clknet_4_1_0_wb_clk_i
*1089 clknet_4_2_0_wb_clk_i
*1090 clknet_4_3_0_wb_clk_i
*1091 clknet_4_4_0_wb_clk_i
*1092 clknet_4_5_0_wb_clk_i
*1093 clknet_4_6_0_wb_clk_i
*1094 clknet_4_7_0_wb_clk_i
*1095 clknet_4_8_0_wb_clk_i
*1096 clknet_4_9_0_wb_clk_i
*1097 net1
*1098 net10
*1099 net100
*1100 net101
*1101 net102
*1102 net103
*1103 net104
*1104 net105
*1105 net106
*1106 net107
*1107 net11
*1108 net12
*1109 net13
*1110 net14
*1111 net15
*1112 net16
*1113 net17
*1114 net18
*1115 net19
*1116 net2
*1117 net20
*1118 net21
*1119 net22
*1120 net23
*1121 net24
*1122 net25
*1123 net26
*1124 net27
*1125 net28
*1126 net29
*1127 net3
*1128 net30
*1129 net31
*1130 net32
*1131 net33
*1132 net34
*1133 net35
*1134 net36
*1135 net37
*1136 net38
*1137 net39
*1138 net4
*1139 net40
*1140 net41
*1141 net42
*1142 net43
*1143 net44
*1144 net45
*1145 net46
*1146 net47
*1147 net48
*1148 net49
*1149 net5
*1150 net50
*1151 net51
*1152 net52
*1153 net53
*1154 net54
*1155 net55
*1156 net56
*1157 net57
*1158 net58
*1159 net59
*1160 net6
*1161 net60
*1162 net61
*1163 net62
*1164 net63
*1165 net64
*1166 net65
*1167 net66
*1168 net67
*1169 net68
*1170 net69
*1171 net7
*1172 net70
*1173 net71
*1174 net72
*1175 net73
*1176 net74
*1177 net75
*1178 net76
*1179 net77
*1180 net78
*1181 net79
*1182 net8
*1183 net80
*1184 net81
*1185 net82
*1186 net83
*1187 net84
*1188 net85
*1189 net86
*1190 net87
*1191 net88
*1192 net89
*1193 net9
*1194 net90
*1195 net91
*1196 net92
*1197 net93
*1198 net94
*1199 net95
*1200 net96
*1201 net97
*1202 net98
*1203 net99
*1204 vga_clock\.col_index\[0\]
*1205 vga_clock\.col_index\[1\]
*1206 vga_clock\.col_index_q\[0\]
*1207 vga_clock\.col_index_q\[1\]
*1208 vga_clock\.color\[0\]
*1209 vga_clock\.color\[1\]
*1210 vga_clock\.color\[2\]
*1211 vga_clock\.color\[3\]
*1212 vga_clock\.color\[4\]
*1213 vga_clock\.color\[5\]
*1214 vga_clock\.color_offset\[0\]
*1215 vga_clock\.color_offset\[1\]
*1216 vga_clock\.color_offset\[2\]
*1217 vga_clock\.digit_0\.char\[0\]
*1218 vga_clock\.digit_0\.char\[1\]
*1219 vga_clock\.digit_0\.char\[2\]
*1220 vga_clock\.digit_0\.char\[3\]
*1221 vga_clock\.digit_0\.digit_index\[0\]
*1222 vga_clock\.digit_0\.digit_index\[1\]
*1223 vga_clock\.digit_0\.digit_index\[2\]
*1224 vga_clock\.digit_0\.digit_index\[3\]
*1225 vga_clock\.digit_0\.digit_index\[4\]
*1226 vga_clock\.digit_0\.digit_index\[5\]
*1227 vga_clock\.digit_0\.number\[0\]
*1228 vga_clock\.digit_0\.number\[1\]
*1229 vga_clock\.digit_0\.x_block\[0\]
*1230 vga_clock\.digit_0\.x_block\[1\]
*1231 vga_clock\.draw
*1232 vga_clock\.font_0\.dout\[1\]
*1233 vga_clock\.font_0\.dout\[2\]
*1234 vga_clock\.font_0\.dout\[3\]
*1235 vga_clock\.hrs_d\[0\]
*1236 vga_clock\.hrs_d\[1\]
*1237 vga_clock\.hrs_u\[0\]
*1238 vga_clock\.hrs_u\[1\]
*1239 vga_clock\.hrs_u\[2\]
*1240 vga_clock\.hrs_u\[3\]
*1241 vga_clock\.hsync
*1242 vga_clock\.min_d\[0\]
*1243 vga_clock\.min_d\[1\]
*1244 vga_clock\.min_d\[2\]
*1245 vga_clock\.min_u\[0\]
*1246 vga_clock\.min_u\[1\]
*1247 vga_clock\.min_u\[2\]
*1248 vga_clock\.min_u\[3\]
*1249 vga_clock\.pulse_hrs\.comp\[0\]
*1250 vga_clock\.pulse_hrs\.comp\[1\]
*1251 vga_clock\.pulse_hrs\.comp\[2\]
*1252 vga_clock\.pulse_hrs\.comp\[3\]
*1253 vga_clock\.pulse_hrs\.comp\[4\]
*1254 vga_clock\.pulse_hrs\.count\[0\]
*1255 vga_clock\.pulse_hrs\.count\[1\]
*1256 vga_clock\.pulse_hrs\.count\[2\]
*1257 vga_clock\.pulse_hrs\.count\[3\]
*1258 vga_clock\.pulse_hrs\.count\[4\]
*1259 vga_clock\.pulse_min\.comp\[0\]
*1260 vga_clock\.pulse_min\.comp\[1\]
*1261 vga_clock\.pulse_min\.comp\[2\]
*1262 vga_clock\.pulse_min\.comp\[3\]
*1263 vga_clock\.pulse_min\.comp\[4\]
*1264 vga_clock\.pulse_min\.count\[0\]
*1265 vga_clock\.pulse_min\.count\[1\]
*1266 vga_clock\.pulse_min\.count\[2\]
*1267 vga_clock\.pulse_min\.count\[3\]
*1268 vga_clock\.pulse_min\.count\[4\]
*1269 vga_clock\.pulse_sec\.comp\[0\]
*1270 vga_clock\.pulse_sec\.comp\[1\]
*1271 vga_clock\.pulse_sec\.comp\[2\]
*1272 vga_clock\.pulse_sec\.comp\[3\]
*1273 vga_clock\.pulse_sec\.comp\[4\]
*1274 vga_clock\.pulse_sec\.count\[0\]
*1275 vga_clock\.pulse_sec\.count\[1\]
*1276 vga_clock\.pulse_sec\.count\[2\]
*1277 vga_clock\.pulse_sec\.count\[3\]
*1278 vga_clock\.pulse_sec\.count\[4\]
*1279 vga_clock\.rrggbb\[0\]
*1280 vga_clock\.rrggbb\[1\]
*1281 vga_clock\.rrggbb\[2\]
*1282 vga_clock\.rrggbb\[3\]
*1283 vga_clock\.rrggbb\[4\]
*1284 vga_clock\.rrggbb\[5\]
*1285 vga_clock\.sec_counter\[0\]
*1286 vga_clock\.sec_counter\[10\]
*1287 vga_clock\.sec_counter\[11\]
*1288 vga_clock\.sec_counter\[12\]
*1289 vga_clock\.sec_counter\[13\]
*1290 vga_clock\.sec_counter\[14\]
*1291 vga_clock\.sec_counter\[15\]
*1292 vga_clock\.sec_counter\[16\]
*1293 vga_clock\.sec_counter\[17\]
*1294 vga_clock\.sec_counter\[18\]
*1295 vga_clock\.sec_counter\[19\]
*1296 vga_clock\.sec_counter\[1\]
*1297 vga_clock\.sec_counter\[20\]
*1298 vga_clock\.sec_counter\[21\]
*1299 vga_clock\.sec_counter\[22\]
*1300 vga_clock\.sec_counter\[23\]
*1301 vga_clock\.sec_counter\[24\]
*1302 vga_clock\.sec_counter\[25\]
*1303 vga_clock\.sec_counter\[2\]
*1304 vga_clock\.sec_counter\[3\]
*1305 vga_clock\.sec_counter\[4\]
*1306 vga_clock\.sec_counter\[5\]
*1307 vga_clock\.sec_counter\[6\]
*1308 vga_clock\.sec_counter\[7\]
*1309 vga_clock\.sec_counter\[8\]
*1310 vga_clock\.sec_counter\[9\]
*1311 vga_clock\.sec_d\[0\]
*1312 vga_clock\.sec_d\[1\]
*1313 vga_clock\.sec_d\[2\]
*1314 vga_clock\.sec_u\[0\]
*1315 vga_clock\.sec_u\[1\]
*1316 vga_clock\.sec_u\[2\]
*1317 vga_clock\.sec_u\[3\]
*1318 vga_clock\.vga_0\.hc\[0\]
*1319 vga_clock\.vga_0\.hc\[1\]
*1320 vga_clock\.vga_0\.hc\[2\]
*1321 vga_clock\.vga_0\.hc\[3\]
*1322 vga_clock\.vga_0\.hc\[4\]
*1323 vga_clock\.vga_0\.hc\[5\]
*1324 vga_clock\.vga_0\.hc\[6\]
*1325 vga_clock\.vga_0\.hc\[7\]
*1326 vga_clock\.vga_0\.hc\[8\]
*1327 vga_clock\.vga_0\.hc\[9\]
*1328 vga_clock\.vga_0\.vc\[0\]
*1329 vga_clock\.vga_0\.vc\[1\]
*1330 vga_clock\.vga_0\.vc\[2\]
*1331 vga_clock\.vga_0\.vc\[3\]
*1332 vga_clock\.vga_0\.vc\[4\]
*1333 vga_clock\.vga_0\.vc\[5\]
*1334 vga_clock\.vga_0\.vc\[6\]
*1335 vga_clock\.vga_0\.vc\[7\]
*1336 vga_clock\.vga_0\.vc\[8\]
*1337 vga_clock\.vga_0\.vc\[9\]
*1338 vga_clock\.vga_0\.vsync
*1339 vga_clock\.vga_0\.x_px\[0\]
*1340 vga_clock\.vga_0\.x_px\[1\]
*1341 vga_clock\.vga_0\.x_px\[2\]
*1342 vga_clock\.vga_0\.x_px\[3\]
*1343 vga_clock\.vga_0\.x_px\[6\]
*1344 vga_clock\.vga_0\.x_px\[7\]
*1345 vga_clock\.vga_0\.x_px\[8\]
*1346 vga_clock\.vga_0\.x_px\[9\]
*1347 vga_clock\.vga_0\.y_px\[0\]
*1348 vga_clock\.vga_0\.y_px\[1\]
*1349 vga_clock\.vga_0\.y_px\[2\]
*1350 vga_clock\.vga_0\.y_px\[3\]
*1351 vga_clock\.vga_0\.y_px\[4\]
*1352 vga_clock\.vga_0\.y_px\[5\]
*1353 vga_clock\.vga_0\.y_px\[6\]
*1354 vga_clock\.vga_0\.y_px\[7\]
*1355 vga_clock\.vga_0\.y_px\[8\]
*1356 vga_clock\.vga_0\.y_px\[9\]
*1357 vga_clock\.x_block_q\[0\]
*1358 vga_clock\.x_block_q\[1\]
*1359 vga_clock\.x_block_q\[2\]
*1360 vga_clock\.x_block_q\[3\]
*1361 vga_clock\.x_block_q\[4\]
*1362 vga_clock\.x_block_q\[5\]
*1363 vga_clock\.y_block\[0\]
*1364 vga_clock\.y_block\[1\]
*1365 vga_clock\.y_block\[2\]
*1366 vga_clock\.y_block\[3\]
*1367 vga_clock\.y_block\[4\]
*1368 vga_clock\.y_block\[5\]
*1369 vga_clock\.y_block_q\[0\]
*1370 vga_clock\.y_block_q\[1\]
*1371 vga_clock\.y_block_q\[2\]
*1372 vga_clock\.y_block_q\[3\]
*1373 vga_clock\.y_block_q\[4\]
*1374 vga_clock\.y_block_q\[5\]
*1375 FILLER_0_109
*1376 FILLER_0_113
*1377 FILLER_0_136
*1378 FILLER_0_141
*1379 FILLER_0_153
*1380 FILLER_0_165
*1381 FILLER_0_169
*1382 FILLER_0_192
*1383 FILLER_0_197
*1384 FILLER_0_209
*1385 FILLER_0_221
*1386 FILLER_0_225
*1387 FILLER_0_233
*1388 FILLER_0_239
*1389 FILLER_0_24
*1390 FILLER_0_251
*1391 FILLER_0_274
*1392 FILLER_0_281
*1393 FILLER_0_293
*1394 FILLER_0_305
*1395 FILLER_0_309
*1396 FILLER_0_321
*1397 FILLER_0_333
*1398 FILLER_0_337
*1399 FILLER_0_349
*1400 FILLER_0_361
*1401 FILLER_0_365
*1402 FILLER_0_377
*1403 FILLER_0_389
*1404 FILLER_0_414
*1405 FILLER_0_421
*1406 FILLER_0_444
*1407 FILLER_0_449
*1408 FILLER_0_458
*1409 FILLER_0_470
*1410 FILLER_0_50
*1411 FILLER_0_78
*1412 FILLER_0_85
*1413 FILLER_0_97
*1414 FILLER_10_109
*1415 FILLER_10_121
*1416 FILLER_10_133
*1417 FILLER_10_139
*1418 FILLER_10_141
*1419 FILLER_10_15
*1420 FILLER_10_153
*1421 FILLER_10_165
*1422 FILLER_10_177
*1423 FILLER_10_189
*1424 FILLER_10_195
*1425 FILLER_10_197
*1426 FILLER_10_209
*1427 FILLER_10_221
*1428 FILLER_10_233
*1429 FILLER_10_245
*1430 FILLER_10_251
*1431 FILLER_10_253
*1432 FILLER_10_265
*1433 FILLER_10_27
*1434 FILLER_10_277
*1435 FILLER_10_289
*1436 FILLER_10_29
*1437 FILLER_10_3
*1438 FILLER_10_301
*1439 FILLER_10_307
*1440 FILLER_10_309
*1441 FILLER_10_321
*1442 FILLER_10_333
*1443 FILLER_10_345
*1444 FILLER_10_357
*1445 FILLER_10_363
*1446 FILLER_10_365
*1447 FILLER_10_377
*1448 FILLER_10_389
*1449 FILLER_10_401
*1450 FILLER_10_41
*1451 FILLER_10_413
*1452 FILLER_10_419
*1453 FILLER_10_421
*1454 FILLER_10_433
*1455 FILLER_10_445
*1456 FILLER_10_457
*1457 FILLER_10_469
*1458 FILLER_10_53
*1459 FILLER_10_65
*1460 FILLER_10_77
*1461 FILLER_10_83
*1462 FILLER_10_85
*1463 FILLER_10_97
*1464 FILLER_11_105
*1465 FILLER_11_111
*1466 FILLER_11_113
*1467 FILLER_11_125
*1468 FILLER_11_137
*1469 FILLER_11_149
*1470 FILLER_11_161
*1471 FILLER_11_167
*1472 FILLER_11_169
*1473 FILLER_11_181
*1474 FILLER_11_193
*1475 FILLER_11_205
*1476 FILLER_11_213
*1477 FILLER_11_219
*1478 FILLER_11_223
*1479 FILLER_11_225
*1480 FILLER_11_233
*1481 FILLER_11_251
*1482 FILLER_11_263
*1483 FILLER_11_275
*1484 FILLER_11_279
*1485 FILLER_11_281
*1486 FILLER_11_293
*1487 FILLER_11_3
*1488 FILLER_11_30
*1489 FILLER_11_305
*1490 FILLER_11_317
*1491 FILLER_11_329
*1492 FILLER_11_335
*1493 FILLER_11_337
*1494 FILLER_11_349
*1495 FILLER_11_361
*1496 FILLER_11_373
*1497 FILLER_11_385
*1498 FILLER_11_391
*1499 FILLER_11_393
*1500 FILLER_11_405
*1501 FILLER_11_417
*1502 FILLER_11_42
*1503 FILLER_11_429
*1504 FILLER_11_441
*1505 FILLER_11_447
*1506 FILLER_11_449
*1507 FILLER_11_461
*1508 FILLER_11_465
*1509 FILLER_11_54
*1510 FILLER_11_57
*1511 FILLER_11_69
*1512 FILLER_11_81
*1513 FILLER_11_93
*1514 FILLER_12_10
*1515 FILLER_12_109
*1516 FILLER_12_121
*1517 FILLER_12_133
*1518 FILLER_12_139
*1519 FILLER_12_141
*1520 FILLER_12_153
*1521 FILLER_12_165
*1522 FILLER_12_17
*1523 FILLER_12_177
*1524 FILLER_12_189
*1525 FILLER_12_195
*1526 FILLER_12_197
*1527 FILLER_12_205
*1528 FILLER_12_213
*1529 FILLER_12_231
*1530 FILLER_12_241
*1531 FILLER_12_249
*1532 FILLER_12_25
*1533 FILLER_12_253
*1534 FILLER_12_265
*1535 FILLER_12_277
*1536 FILLER_12_289
*1537 FILLER_12_29
*1538 FILLER_12_3
*1539 FILLER_12_301
*1540 FILLER_12_307
*1541 FILLER_12_309
*1542 FILLER_12_321
*1543 FILLER_12_333
*1544 FILLER_12_345
*1545 FILLER_12_357
*1546 FILLER_12_363
*1547 FILLER_12_365
*1548 FILLER_12_377
*1549 FILLER_12_389
*1550 FILLER_12_401
*1551 FILLER_12_41
*1552 FILLER_12_413
*1553 FILLER_12_419
*1554 FILLER_12_421
*1555 FILLER_12_433
*1556 FILLER_12_445
*1557 FILLER_12_469
*1558 FILLER_12_53
*1559 FILLER_12_65
*1560 FILLER_12_77
*1561 FILLER_12_83
*1562 FILLER_12_85
*1563 FILLER_12_97
*1564 FILLER_13_105
*1565 FILLER_13_111
*1566 FILLER_13_113
*1567 FILLER_13_125
*1568 FILLER_13_137
*1569 FILLER_13_149
*1570 FILLER_13_161
*1571 FILLER_13_167
*1572 FILLER_13_169
*1573 FILLER_13_177
*1574 FILLER_13_182
*1575 FILLER_13_190
*1576 FILLER_13_214
*1577 FILLER_13_222
*1578 FILLER_13_225
*1579 FILLER_13_241
*1580 FILLER_13_248
*1581 FILLER_13_256
*1582 FILLER_13_260
*1583 FILLER_13_267
*1584 FILLER_13_279
*1585 FILLER_13_281
*1586 FILLER_13_293
*1587 FILLER_13_3
*1588 FILLER_13_30
*1589 FILLER_13_305
*1590 FILLER_13_317
*1591 FILLER_13_329
*1592 FILLER_13_335
*1593 FILLER_13_337
*1594 FILLER_13_349
*1595 FILLER_13_361
*1596 FILLER_13_373
*1597 FILLER_13_385
*1598 FILLER_13_391
*1599 FILLER_13_393
*1600 FILLER_13_405
*1601 FILLER_13_417
*1602 FILLER_13_42
*1603 FILLER_13_429
*1604 FILLER_13_441
*1605 FILLER_13_447
*1606 FILLER_13_449
*1607 FILLER_13_456
*1608 FILLER_13_468
*1609 FILLER_13_472
*1610 FILLER_13_54
*1611 FILLER_13_57
*1612 FILLER_13_69
*1613 FILLER_13_81
*1614 FILLER_13_93
*1615 FILLER_14_109
*1616 FILLER_14_121
*1617 FILLER_14_133
*1618 FILLER_14_139
*1619 FILLER_14_141
*1620 FILLER_14_153
*1621 FILLER_14_165
*1622 FILLER_14_173
*1623 FILLER_14_192
*1624 FILLER_14_197
*1625 FILLER_14_205
*1626 FILLER_14_217
*1627 FILLER_14_225
*1628 FILLER_14_233
*1629 FILLER_14_24
*1630 FILLER_14_242
*1631 FILLER_14_250
*1632 FILLER_14_258
*1633 FILLER_14_278
*1634 FILLER_14_303
*1635 FILLER_14_307
*1636 FILLER_14_309
*1637 FILLER_14_32
*1638 FILLER_14_333
*1639 FILLER_14_345
*1640 FILLER_14_357
*1641 FILLER_14_363
*1642 FILLER_14_365
*1643 FILLER_14_377
*1644 FILLER_14_389
*1645 FILLER_14_401
*1646 FILLER_14_413
*1647 FILLER_14_419
*1648 FILLER_14_421
*1649 FILLER_14_433
*1650 FILLER_14_44
*1651 FILLER_14_445
*1652 FILLER_14_457
*1653 FILLER_14_469
*1654 FILLER_14_56
*1655 FILLER_14_68
*1656 FILLER_14_80
*1657 FILLER_14_85
*1658 FILLER_14_97
*1659 FILLER_15_10
*1660 FILLER_15_105
*1661 FILLER_15_111
*1662 FILLER_15_113
*1663 FILLER_15_125
*1664 FILLER_15_137
*1665 FILLER_15_149
*1666 FILLER_15_161
*1667 FILLER_15_167
*1668 FILLER_15_169
*1669 FILLER_15_17
*1670 FILLER_15_177
*1671 FILLER_15_182
*1672 FILLER_15_186
*1673 FILLER_15_192
*1674 FILLER_15_204
*1675 FILLER_15_217
*1676 FILLER_15_223
*1677 FILLER_15_225
*1678 FILLER_15_237
*1679 FILLER_15_246
*1680 FILLER_15_255
*1681 FILLER_15_259
*1682 FILLER_15_276
*1683 FILLER_15_281
*1684 FILLER_15_29
*1685 FILLER_15_293
*1686 FILLER_15_297
*1687 FILLER_15_3
*1688 FILLER_15_317
*1689 FILLER_15_329
*1690 FILLER_15_335
*1691 FILLER_15_337
*1692 FILLER_15_349
*1693 FILLER_15_361
*1694 FILLER_15_373
*1695 FILLER_15_385
*1696 FILLER_15_391
*1697 FILLER_15_393
*1698 FILLER_15_405
*1699 FILLER_15_41
*1700 FILLER_15_417
*1701 FILLER_15_429
*1702 FILLER_15_441
*1703 FILLER_15_447
*1704 FILLER_15_449
*1705 FILLER_15_457
*1706 FILLER_15_462
*1707 FILLER_15_469
*1708 FILLER_15_53
*1709 FILLER_15_57
*1710 FILLER_15_69
*1711 FILLER_15_81
*1712 FILLER_15_93
*1713 FILLER_16_109
*1714 FILLER_16_11
*1715 FILLER_16_121
*1716 FILLER_16_133
*1717 FILLER_16_139
*1718 FILLER_16_141
*1719 FILLER_16_153
*1720 FILLER_16_165
*1721 FILLER_16_177
*1722 FILLER_16_183
*1723 FILLER_16_192
*1724 FILLER_16_197
*1725 FILLER_16_204
*1726 FILLER_16_224
*1727 FILLER_16_23
*1728 FILLER_16_236
*1729 FILLER_16_240
*1730 FILLER_16_246
*1731 FILLER_16_258
*1732 FILLER_16_262
*1733 FILLER_16_27
*1734 FILLER_16_279
*1735 FILLER_16_289
*1736 FILLER_16_29
*1737 FILLER_16_298
*1738 FILLER_16_3
*1739 FILLER_16_306
*1740 FILLER_16_309
*1741 FILLER_16_315
*1742 FILLER_16_324
*1743 FILLER_16_332
*1744 FILLER_16_340
*1745 FILLER_16_360
*1746 FILLER_16_365
*1747 FILLER_16_379
*1748 FILLER_16_388
*1749 FILLER_16_400
*1750 FILLER_16_41
*1751 FILLER_16_412
*1752 FILLER_16_421
*1753 FILLER_16_433
*1754 FILLER_16_445
*1755 FILLER_16_469
*1756 FILLER_16_53
*1757 FILLER_16_65
*1758 FILLER_16_7
*1759 FILLER_16_77
*1760 FILLER_16_83
*1761 FILLER_16_85
*1762 FILLER_16_97
*1763 FILLER_17_105
*1764 FILLER_17_111
*1765 FILLER_17_113
*1766 FILLER_17_125
*1767 FILLER_17_137
*1768 FILLER_17_149
*1769 FILLER_17_15
*1770 FILLER_17_161
*1771 FILLER_17_167
*1772 FILLER_17_169
*1773 FILLER_17_188
*1774 FILLER_17_202
*1775 FILLER_17_213
*1776 FILLER_17_221
*1777 FILLER_17_225
*1778 FILLER_17_237
*1779 FILLER_17_245
*1780 FILLER_17_249
*1781 FILLER_17_256
*1782 FILLER_17_263
*1783 FILLER_17_27
*1784 FILLER_17_270
*1785 FILLER_17_278
*1786 FILLER_17_281
*1787 FILLER_17_293
*1788 FILLER_17_3
*1789 FILLER_17_313
*1790 FILLER_17_321
*1791 FILLER_17_332
*1792 FILLER_17_353
*1793 FILLER_17_370
*1794 FILLER_17_382
*1795 FILLER_17_39
*1796 FILLER_17_390
*1797 FILLER_17_397
*1798 FILLER_17_405
*1799 FILLER_17_417
*1800 FILLER_17_429
*1801 FILLER_17_441
*1802 FILLER_17_447
*1803 FILLER_17_449
*1804 FILLER_17_457
*1805 FILLER_17_462
*1806 FILLER_17_469
*1807 FILLER_17_51
*1808 FILLER_17_55
*1809 FILLER_17_57
*1810 FILLER_17_69
*1811 FILLER_17_81
*1812 FILLER_17_93
*1813 FILLER_18_109
*1814 FILLER_18_121
*1815 FILLER_18_133
*1816 FILLER_18_139
*1817 FILLER_18_14
*1818 FILLER_18_141
*1819 FILLER_18_153
*1820 FILLER_18_165
*1821 FILLER_18_177
*1822 FILLER_18_189
*1823 FILLER_18_195
*1824 FILLER_18_197
*1825 FILLER_18_203
*1826 FILLER_18_214
*1827 FILLER_18_238
*1828 FILLER_18_244
*1829 FILLER_18_248
*1830 FILLER_18_253
*1831 FILLER_18_26
*1832 FILLER_18_260
*1833 FILLER_18_280
*1834 FILLER_18_29
*1835 FILLER_18_3
*1836 FILLER_18_301
*1837 FILLER_18_307
*1838 FILLER_18_309
*1839 FILLER_18_326
*1840 FILLER_18_332
*1841 FILLER_18_342
*1842 FILLER_18_351
*1843 FILLER_18_358
*1844 FILLER_18_374
*1845 FILLER_18_387
*1846 FILLER_18_393
*1847 FILLER_18_403
*1848 FILLER_18_41
*1849 FILLER_18_410
*1850 FILLER_18_418
*1851 FILLER_18_421
*1852 FILLER_18_433
*1853 FILLER_18_445
*1854 FILLER_18_469
*1855 FILLER_18_53
*1856 FILLER_18_65
*1857 FILLER_18_77
*1858 FILLER_18_83
*1859 FILLER_18_85
*1860 FILLER_18_97
*1861 FILLER_19_103
*1862 FILLER_19_111
*1863 FILLER_19_113
*1864 FILLER_19_125
*1865 FILLER_19_137
*1866 FILLER_19_149
*1867 FILLER_19_161
*1868 FILLER_19_167
*1869 FILLER_19_169
*1870 FILLER_19_181
*1871 FILLER_19_192
*1872 FILLER_19_205
*1873 FILLER_19_213
*1874 FILLER_19_220
*1875 FILLER_19_231
*1876 FILLER_19_238
*1877 FILLER_19_244
*1878 FILLER_19_249
*1879 FILLER_19_269
*1880 FILLER_19_27
*1881 FILLER_19_277
*1882 FILLER_19_281
*1883 FILLER_19_286
*1884 FILLER_19_295
*1885 FILLER_19_3
*1886 FILLER_19_302
*1887 FILLER_19_310
*1888 FILLER_19_320
*1889 FILLER_19_328
*1890 FILLER_19_353
*1891 FILLER_19_365
*1892 FILLER_19_381
*1893 FILLER_19_389
*1894 FILLER_19_39
*1895 FILLER_19_393
*1896 FILLER_19_397
*1897 FILLER_19_408
*1898 FILLER_19_415
*1899 FILLER_19_444
*1900 FILLER_19_449
*1901 FILLER_19_456
*1902 FILLER_19_463
*1903 FILLER_19_471
*1904 FILLER_19_51
*1905 FILLER_19_55
*1906 FILLER_19_57
*1907 FILLER_19_69
*1908 FILLER_19_81
*1909 FILLER_19_87
*1910 FILLER_19_91
*1911 FILLER_1_100
*1912 FILLER_1_134
*1913 FILLER_1_14
*1914 FILLER_1_146
*1915 FILLER_1_161
*1916 FILLER_1_167
*1917 FILLER_1_169
*1918 FILLER_1_175
*1919 FILLER_1_18
*1920 FILLER_1_187
*1921 FILLER_1_191
*1922 FILLER_1_213
*1923 FILLER_1_22
*1924 FILLER_1_221
*1925 FILLER_1_225
*1926 FILLER_1_249
*1927 FILLER_1_274
*1928 FILLER_1_281
*1929 FILLER_1_293
*1930 FILLER_1_3
*1931 FILLER_1_326
*1932 FILLER_1_334
*1933 FILLER_1_337
*1934 FILLER_1_349
*1935 FILLER_1_361
*1936 FILLER_1_373
*1937 FILLER_1_379
*1938 FILLER_1_388
*1939 FILLER_1_393
*1940 FILLER_1_397
*1941 FILLER_1_419
*1942 FILLER_1_444
*1943 FILLER_1_449
*1944 FILLER_1_456
*1945 FILLER_1_465
*1946 FILLER_1_47
*1947 FILLER_1_55
*1948 FILLER_1_60
*1949 FILLER_1_66
*1950 FILLER_1_7
*1951 FILLER_1_88
*1952 FILLER_20_10
*1953 FILLER_20_109
*1954 FILLER_20_121
*1955 FILLER_20_133
*1956 FILLER_20_139
*1957 FILLER_20_141
*1958 FILLER_20_153
*1959 FILLER_20_165
*1960 FILLER_20_172
*1961 FILLER_20_180
*1962 FILLER_20_190
*1963 FILLER_20_197
*1964 FILLER_20_201
*1965 FILLER_20_212
*1966 FILLER_20_216
*1967 FILLER_20_22
*1968 FILLER_20_221
*1969 FILLER_20_229
*1970 FILLER_20_245
*1971 FILLER_20_251
*1972 FILLER_20_269
*1973 FILLER_20_281
*1974 FILLER_20_29
*1975 FILLER_20_293
*1976 FILLER_20_3
*1977 FILLER_20_305
*1978 FILLER_20_309
*1979 FILLER_20_321
*1980 FILLER_20_333
*1981 FILLER_20_344
*1982 FILLER_20_356
*1983 FILLER_20_365
*1984 FILLER_20_377
*1985 FILLER_20_381
*1986 FILLER_20_389
*1987 FILLER_20_403
*1988 FILLER_20_41
*1989 FILLER_20_412
*1990 FILLER_20_421
*1991 FILLER_20_433
*1992 FILLER_20_445
*1993 FILLER_20_469
*1994 FILLER_20_53
*1995 FILLER_20_65
*1996 FILLER_20_77
*1997 FILLER_20_83
*1998 FILLER_20_85
*1999 FILLER_20_97
*2000 FILLER_21_105
*2001 FILLER_21_111
*2002 FILLER_21_113
*2003 FILLER_21_125
*2004 FILLER_21_137
*2005 FILLER_21_141
*2006 FILLER_21_153
*2007 FILLER_21_165
*2008 FILLER_21_185
*2009 FILLER_21_199
*2010 FILLER_21_210
*2011 FILLER_21_220
*2012 FILLER_21_225
*2013 FILLER_21_245
*2014 FILLER_21_257
*2015 FILLER_21_269
*2016 FILLER_21_275
*2017 FILLER_21_279
*2018 FILLER_21_281
*2019 FILLER_21_291
*2020 FILLER_21_3
*2021 FILLER_21_31
*2022 FILLER_21_311
*2023 FILLER_21_331
*2024 FILLER_21_335
*2025 FILLER_21_337
*2026 FILLER_21_341
*2027 FILLER_21_353
*2028 FILLER_21_366
*2029 FILLER_21_374
*2030 FILLER_21_386
*2031 FILLER_21_398
*2032 FILLER_21_406
*2033 FILLER_21_414
*2034 FILLER_21_421
*2035 FILLER_21_43
*2036 FILLER_21_433
*2037 FILLER_21_445
*2038 FILLER_21_449
*2039 FILLER_21_456
*2040 FILLER_21_463
*2041 FILLER_21_471
*2042 FILLER_21_55
*2043 FILLER_21_57
*2044 FILLER_21_69
*2045 FILLER_21_81
*2046 FILLER_21_9
*2047 FILLER_21_93
*2048 FILLER_22_109
*2049 FILLER_22_121
*2050 FILLER_22_133
*2051 FILLER_22_139
*2052 FILLER_22_14
*2053 FILLER_22_162
*2054 FILLER_22_174
*2055 FILLER_22_18
*2056 FILLER_22_188
*2057 FILLER_22_197
*2058 FILLER_22_217
*2059 FILLER_22_22
*2060 FILLER_22_228
*2061 FILLER_22_237
*2062 FILLER_22_246
*2063 FILLER_22_253
*2064 FILLER_22_265
*2065 FILLER_22_273
*2066 FILLER_22_287
*2067 FILLER_22_29
*2068 FILLER_22_299
*2069 FILLER_22_3
*2070 FILLER_22_307
*2071 FILLER_22_309
*2072 FILLER_22_317
*2073 FILLER_22_325
*2074 FILLER_22_333
*2075 FILLER_22_339
*2076 FILLER_22_353
*2077 FILLER_22_360
*2078 FILLER_22_365
*2079 FILLER_22_377
*2080 FILLER_22_390
*2081 FILLER_22_402
*2082 FILLER_22_409
*2083 FILLER_22_41
*2084 FILLER_22_417
*2085 FILLER_22_421
*2086 FILLER_22_433
*2087 FILLER_22_445
*2088 FILLER_22_469
*2089 FILLER_22_53
*2090 FILLER_22_65
*2091 FILLER_22_77
*2092 FILLER_22_83
*2093 FILLER_22_85
*2094 FILLER_22_97
*2095 FILLER_23_105
*2096 FILLER_23_111
*2097 FILLER_23_113
*2098 FILLER_23_125
*2099 FILLER_23_136
*2100 FILLER_23_148
*2101 FILLER_23_15
*2102 FILLER_23_160
*2103 FILLER_23_169
*2104 FILLER_23_177
*2105 FILLER_23_183
*2106 FILLER_23_192
*2107 FILLER_23_196
*2108 FILLER_23_203
*2109 FILLER_23_211
*2110 FILLER_23_217
*2111 FILLER_23_223
*2112 FILLER_23_235
*2113 FILLER_23_243
*2114 FILLER_23_251
*2115 FILLER_23_267
*2116 FILLER_23_27
*2117 FILLER_23_276
*2118 FILLER_23_288
*2119 FILLER_23_292
*2120 FILLER_23_296
*2121 FILLER_23_3
*2122 FILLER_23_316
*2123 FILLER_23_327
*2124 FILLER_23_335
*2125 FILLER_23_337
*2126 FILLER_23_344
*2127 FILLER_23_361
*2128 FILLER_23_376
*2129 FILLER_23_388
*2130 FILLER_23_39
*2131 FILLER_23_393
*2132 FILLER_23_406
*2133 FILLER_23_418
*2134 FILLER_23_430
*2135 FILLER_23_442
*2136 FILLER_23_449
*2137 FILLER_23_457
*2138 FILLER_23_462
*2139 FILLER_23_470
*2140 FILLER_23_51
*2141 FILLER_23_55
*2142 FILLER_23_57
*2143 FILLER_23_69
*2144 FILLER_23_81
*2145 FILLER_23_93
*2146 FILLER_24_109
*2147 FILLER_24_121
*2148 FILLER_24_129
*2149 FILLER_24_133
*2150 FILLER_24_139
*2151 FILLER_24_14
*2152 FILLER_24_141
*2153 FILLER_24_153
*2154 FILLER_24_170
*2155 FILLER_24_177
*2156 FILLER_24_189
*2157 FILLER_24_195
*2158 FILLER_24_197
*2159 FILLER_24_205
*2160 FILLER_24_212
*2161 FILLER_24_216
*2162 FILLER_24_223
*2163 FILLER_24_234
*2164 FILLER_24_242
*2165 FILLER_24_250
*2166 FILLER_24_26
*2167 FILLER_24_269
*2168 FILLER_24_275
*2169 FILLER_24_29
*2170 FILLER_24_292
*2171 FILLER_24_3
*2172 FILLER_24_304
*2173 FILLER_24_313
*2174 FILLER_24_321
*2175 FILLER_24_340
*2176 FILLER_24_349
*2177 FILLER_24_356
*2178 FILLER_24_365
*2179 FILLER_24_377
*2180 FILLER_24_384
*2181 FILLER_24_393
*2182 FILLER_24_407
*2183 FILLER_24_41
*2184 FILLER_24_414
*2185 FILLER_24_421
*2186 FILLER_24_433
*2187 FILLER_24_445
*2188 FILLER_24_469
*2189 FILLER_24_53
*2190 FILLER_24_65
*2191 FILLER_24_77
*2192 FILLER_24_83
*2193 FILLER_24_85
*2194 FILLER_24_97
*2195 FILLER_25_105
*2196 FILLER_25_111
*2197 FILLER_25_113
*2198 FILLER_25_125
*2199 FILLER_25_149
*2200 FILLER_25_164
*2201 FILLER_25_177
*2202 FILLER_25_186
*2203 FILLER_25_202
*2204 FILLER_25_206
*2205 FILLER_25_213
*2206 FILLER_25_221
*2207 FILLER_25_231
*2208 FILLER_25_244
*2209 FILLER_25_264
*2210 FILLER_25_27
*2211 FILLER_25_276
*2212 FILLER_25_284
*2213 FILLER_25_291
*2214 FILLER_25_297
*2215 FILLER_25_3
*2216 FILLER_25_314
*2217 FILLER_25_326
*2218 FILLER_25_334
*2219 FILLER_25_337
*2220 FILLER_25_350
*2221 FILLER_25_357
*2222 FILLER_25_369
*2223 FILLER_25_381
*2224 FILLER_25_388
*2225 FILLER_25_39
*2226 FILLER_25_393
*2227 FILLER_25_403
*2228 FILLER_25_415
*2229 FILLER_25_427
*2230 FILLER_25_439
*2231 FILLER_25_447
*2232 FILLER_25_449
*2233 FILLER_25_455
*2234 FILLER_25_462
*2235 FILLER_25_469
*2236 FILLER_25_51
*2237 FILLER_25_55
*2238 FILLER_25_57
*2239 FILLER_25_69
*2240 FILLER_25_81
*2241 FILLER_25_93
*2242 FILLER_26_109
*2243 FILLER_26_121
*2244 FILLER_26_129
*2245 FILLER_26_135
*2246 FILLER_26_139
*2247 FILLER_26_141
*2248 FILLER_26_15
*2249 FILLER_26_160
*2250 FILLER_26_172
*2251 FILLER_26_192
*2252 FILLER_26_207
*2253 FILLER_26_217
*2254 FILLER_26_225
*2255 FILLER_26_233
*2256 FILLER_26_242
*2257 FILLER_26_250
*2258 FILLER_26_259
*2259 FILLER_26_27
*2260 FILLER_26_271
*2261 FILLER_26_283
*2262 FILLER_26_29
*2263 FILLER_26_295
*2264 FILLER_26_3
*2265 FILLER_26_307
*2266 FILLER_26_309
*2267 FILLER_26_321
*2268 FILLER_26_341
*2269 FILLER_26_349
*2270 FILLER_26_359
*2271 FILLER_26_363
*2272 FILLER_26_378
*2273 FILLER_26_386
*2274 FILLER_26_393
*2275 FILLER_26_407
*2276 FILLER_26_41
*2277 FILLER_26_419
*2278 FILLER_26_421
*2279 FILLER_26_433
*2280 FILLER_26_445
*2281 FILLER_26_469
*2282 FILLER_26_53
*2283 FILLER_26_65
*2284 FILLER_26_77
*2285 FILLER_26_8
*2286 FILLER_26_83
*2287 FILLER_26_85
*2288 FILLER_26_97
*2289 FILLER_27_105
*2290 FILLER_27_111
*2291 FILLER_27_113
*2292 FILLER_27_125
*2293 FILLER_27_137
*2294 FILLER_27_145
*2295 FILLER_27_150
*2296 FILLER_27_162
*2297 FILLER_27_176
*2298 FILLER_27_186
*2299 FILLER_27_204
*2300 FILLER_27_213
*2301 FILLER_27_221
*2302 FILLER_27_228
*2303 FILLER_27_240
*2304 FILLER_27_259
*2305 FILLER_27_267
*2306 FILLER_27_274
*2307 FILLER_27_28
*2308 FILLER_27_288
*2309 FILLER_27_296
*2310 FILLER_27_3
*2311 FILLER_27_314
*2312 FILLER_27_322
*2313 FILLER_27_334
*2314 FILLER_27_337
*2315 FILLER_27_348
*2316 FILLER_27_360
*2317 FILLER_27_372
*2318 FILLER_27_376
*2319 FILLER_27_383
*2320 FILLER_27_391
*2321 FILLER_27_398
*2322 FILLER_27_40
*2323 FILLER_27_410
*2324 FILLER_27_422
*2325 FILLER_27_434
*2326 FILLER_27_446
*2327 FILLER_27_449
*2328 FILLER_27_457
*2329 FILLER_27_462
*2330 FILLER_27_469
*2331 FILLER_27_52
*2332 FILLER_27_57
*2333 FILLER_27_69
*2334 FILLER_27_81
*2335 FILLER_27_93
*2336 FILLER_28_109
*2337 FILLER_28_11
*2338 FILLER_28_121
*2339 FILLER_28_133
*2340 FILLER_28_139
*2341 FILLER_28_157
*2342 FILLER_28_17
*2343 FILLER_28_171
*2344 FILLER_28_184
*2345 FILLER_28_203
*2346 FILLER_28_21
*2347 FILLER_28_211
*2348 FILLER_28_230
*2349 FILLER_28_242
*2350 FILLER_28_250
*2351 FILLER_28_253
*2352 FILLER_28_265
*2353 FILLER_28_27
*2354 FILLER_28_275
*2355 FILLER_28_285
*2356 FILLER_28_29
*2357 FILLER_28_294
*2358 FILLER_28_3
*2359 FILLER_28_301
*2360 FILLER_28_307
*2361 FILLER_28_325
*2362 FILLER_28_333
*2363 FILLER_28_339
*2364 FILLER_28_343
*2365 FILLER_28_350
*2366 FILLER_28_362
*2367 FILLER_28_372
*2368 FILLER_28_382
*2369 FILLER_28_395
*2370 FILLER_28_407
*2371 FILLER_28_41
*2372 FILLER_28_419
*2373 FILLER_28_421
*2374 FILLER_28_433
*2375 FILLER_28_445
*2376 FILLER_28_469
*2377 FILLER_28_53
*2378 FILLER_28_65
*2379 FILLER_28_7
*2380 FILLER_28_77
*2381 FILLER_28_83
*2382 FILLER_28_85
*2383 FILLER_28_97
*2384 FILLER_29_105
*2385 FILLER_29_111
*2386 FILLER_29_113
*2387 FILLER_29_125
*2388 FILLER_29_137
*2389 FILLER_29_141
*2390 FILLER_29_150
*2391 FILLER_29_164
*2392 FILLER_29_174
*2393 FILLER_29_178
*2394 FILLER_29_185
*2395 FILLER_29_194
*2396 FILLER_29_202
*2397 FILLER_29_211
*2398 FILLER_29_220
*2399 FILLER_29_231
*2400 FILLER_29_237
*2401 FILLER_29_254
*2402 FILLER_29_274
*2403 FILLER_29_288
*2404 FILLER_29_3
*2405 FILLER_29_31
*2406 FILLER_29_312
*2407 FILLER_29_320
*2408 FILLER_29_332
*2409 FILLER_29_337
*2410 FILLER_29_351
*2411 FILLER_29_371
*2412 FILLER_29_384
*2413 FILLER_29_396
*2414 FILLER_29_402
*2415 FILLER_29_424
*2416 FILLER_29_43
*2417 FILLER_29_436
*2418 FILLER_29_449
*2419 FILLER_29_457
*2420 FILLER_29_462
*2421 FILLER_29_470
*2422 FILLER_29_55
*2423 FILLER_29_57
*2424 FILLER_29_69
*2425 FILLER_29_81
*2426 FILLER_29_9
*2427 FILLER_29_93
*2428 FILLER_2_109
*2429 FILLER_2_117
*2430 FILLER_2_122
*2431 FILLER_2_129
*2432 FILLER_2_137
*2433 FILLER_2_141
*2434 FILLER_2_153
*2435 FILLER_2_177
*2436 FILLER_2_184
*2437 FILLER_2_188
*2438 FILLER_2_192
*2439 FILLER_2_200
*2440 FILLER_2_204
*2441 FILLER_2_208
*2442 FILLER_2_233
*2443 FILLER_2_24
*2444 FILLER_2_240
*2445 FILLER_2_244
*2446 FILLER_2_248
*2447 FILLER_2_253
*2448 FILLER_2_258
*2449 FILLER_2_265
*2450 FILLER_2_277
*2451 FILLER_2_289
*2452 FILLER_2_29
*2453 FILLER_2_304
*2454 FILLER_2_312
*2455 FILLER_2_324
*2456 FILLER_2_336
*2457 FILLER_2_348
*2458 FILLER_2_360
*2459 FILLER_2_365
*2460 FILLER_2_373
*2461 FILLER_2_38
*2462 FILLER_2_396
*2463 FILLER_2_402
*2464 FILLER_2_406
*2465 FILLER_2_413
*2466 FILLER_2_419
*2467 FILLER_2_421
*2468 FILLER_2_426
*2469 FILLER_2_433
*2470 FILLER_2_462
*2471 FILLER_2_469
*2472 FILLER_2_67
*2473 FILLER_2_75
*2474 FILLER_2_79
*2475 FILLER_2_83
*2476 FILLER_2_85
*2477 FILLER_30_109
*2478 FILLER_30_117
*2479 FILLER_30_128
*2480 FILLER_30_13
*2481 FILLER_30_157
*2482 FILLER_30_164
*2483 FILLER_30_172
*2484 FILLER_30_180
*2485 FILLER_30_191
*2486 FILLER_30_195
*2487 FILLER_30_197
*2488 FILLER_30_209
*2489 FILLER_30_227
*2490 FILLER_30_235
*2491 FILLER_30_241
*2492 FILLER_30_249
*2493 FILLER_30_25
*2494 FILLER_30_253
*2495 FILLER_30_265
*2496 FILLER_30_273
*2497 FILLER_30_277
*2498 FILLER_30_29
*2499 FILLER_30_291
*2500 FILLER_30_299
*2501 FILLER_30_3
*2502 FILLER_30_307
*2503 FILLER_30_309
*2504 FILLER_30_317
*2505 FILLER_30_325
*2506 FILLER_30_343
*2507 FILLER_30_355
*2508 FILLER_30_363
*2509 FILLER_30_372
*2510 FILLER_30_384
*2511 FILLER_30_391
*2512 FILLER_30_398
*2513 FILLER_30_407
*2514 FILLER_30_41
*2515 FILLER_30_419
*2516 FILLER_30_421
*2517 FILLER_30_433
*2518 FILLER_30_445
*2519 FILLER_30_469
*2520 FILLER_30_53
*2521 FILLER_30_65
*2522 FILLER_30_77
*2523 FILLER_30_83
*2524 FILLER_30_85
*2525 FILLER_30_9
*2526 FILLER_30_97
*2527 FILLER_31_105
*2528 FILLER_31_111
*2529 FILLER_31_113
*2530 FILLER_31_128
*2531 FILLER_31_138
*2532 FILLER_31_15
*2533 FILLER_31_150
*2534 FILLER_31_159
*2535 FILLER_31_167
*2536 FILLER_31_169
*2537 FILLER_31_181
*2538 FILLER_31_187
*2539 FILLER_31_192
*2540 FILLER_31_205
*2541 FILLER_31_215
*2542 FILLER_31_223
*2543 FILLER_31_232
*2544 FILLER_31_240
*2545 FILLER_31_260
*2546 FILLER_31_27
*2547 FILLER_31_272
*2548 FILLER_31_286
*2549 FILLER_31_298
*2550 FILLER_31_3
*2551 FILLER_31_310
*2552 FILLER_31_332
*2553 FILLER_31_337
*2554 FILLER_31_349
*2555 FILLER_31_366
*2556 FILLER_31_378
*2557 FILLER_31_39
*2558 FILLER_31_390
*2559 FILLER_31_393
*2560 FILLER_31_405
*2561 FILLER_31_412
*2562 FILLER_31_424
*2563 FILLER_31_436
*2564 FILLER_31_440
*2565 FILLER_31_449
*2566 FILLER_31_453
*2567 FILLER_31_457
*2568 FILLER_31_461
*2569 FILLER_31_465
*2570 FILLER_31_51
*2571 FILLER_31_55
*2572 FILLER_31_57
*2573 FILLER_31_69
*2574 FILLER_31_81
*2575 FILLER_31_93
*2576 FILLER_32_109
*2577 FILLER_32_117
*2578 FILLER_32_12
*2579 FILLER_32_131
*2580 FILLER_32_139
*2581 FILLER_32_141
*2582 FILLER_32_149
*2583 FILLER_32_156
*2584 FILLER_32_170
*2585 FILLER_32_180
*2586 FILLER_32_184
*2587 FILLER_32_190
*2588 FILLER_32_197
*2589 FILLER_32_203
*2590 FILLER_32_209
*2591 FILLER_32_216
*2592 FILLER_32_224
*2593 FILLER_32_232
*2594 FILLER_32_239
*2595 FILLER_32_24
*2596 FILLER_32_251
*2597 FILLER_32_253
*2598 FILLER_32_265
*2599 FILLER_32_287
*2600 FILLER_32_29
*2601 FILLER_32_299
*2602 FILLER_32_3
*2603 FILLER_32_307
*2604 FILLER_32_309
*2605 FILLER_32_321
*2606 FILLER_32_328
*2607 FILLER_32_347
*2608 FILLER_32_359
*2609 FILLER_32_363
*2610 FILLER_32_365
*2611 FILLER_32_389
*2612 FILLER_32_401
*2613 FILLER_32_409
*2614 FILLER_32_41
*2615 FILLER_32_416
*2616 FILLER_32_421
*2617 FILLER_32_434
*2618 FILLER_32_442
*2619 FILLER_32_464
*2620 FILLER_32_472
*2621 FILLER_32_53
*2622 FILLER_32_65
*2623 FILLER_32_77
*2624 FILLER_32_83
*2625 FILLER_32_85
*2626 FILLER_32_97
*2627 FILLER_33_105
*2628 FILLER_33_111
*2629 FILLER_33_113
*2630 FILLER_33_130
*2631 FILLER_33_138
*2632 FILLER_33_156
*2633 FILLER_33_164
*2634 FILLER_33_169
*2635 FILLER_33_180
*2636 FILLER_33_184
*2637 FILLER_33_190
*2638 FILLER_33_203
*2639 FILLER_33_209
*2640 FILLER_33_220
*2641 FILLER_33_231
*2642 FILLER_33_237
*2643 FILLER_33_244
*2644 FILLER_33_256
*2645 FILLER_33_27
*2646 FILLER_33_276
*2647 FILLER_33_281
*2648 FILLER_33_293
*2649 FILLER_33_3
*2650 FILLER_33_311
*2651 FILLER_33_317
*2652 FILLER_33_321
*2653 FILLER_33_332
*2654 FILLER_33_340
*2655 FILLER_33_352
*2656 FILLER_33_372
*2657 FILLER_33_384
*2658 FILLER_33_39
*2659 FILLER_33_393
*2660 FILLER_33_415
*2661 FILLER_33_435
*2662 FILLER_33_447
*2663 FILLER_33_449
*2664 FILLER_33_458
*2665 FILLER_33_465
*2666 FILLER_33_51
*2667 FILLER_33_55
*2668 FILLER_33_57
*2669 FILLER_33_69
*2670 FILLER_33_81
*2671 FILLER_33_93
*2672 FILLER_34_10
*2673 FILLER_34_109
*2674 FILLER_34_115
*2675 FILLER_34_127
*2676 FILLER_34_139
*2677 FILLER_34_141
*2678 FILLER_34_145
*2679 FILLER_34_149
*2680 FILLER_34_161
*2681 FILLER_34_174
*2682 FILLER_34_188
*2683 FILLER_34_197
*2684 FILLER_34_217
*2685 FILLER_34_22
*2686 FILLER_34_237
*2687 FILLER_34_247
*2688 FILLER_34_251
*2689 FILLER_34_256
*2690 FILLER_34_268
*2691 FILLER_34_274
*2692 FILLER_34_285
*2693 FILLER_34_29
*2694 FILLER_34_293
*2695 FILLER_34_3
*2696 FILLER_34_300
*2697 FILLER_34_309
*2698 FILLER_34_318
*2699 FILLER_34_330
*2700 FILLER_34_337
*2701 FILLER_34_349
*2702 FILLER_34_359
*2703 FILLER_34_363
*2704 FILLER_34_365
*2705 FILLER_34_371
*2706 FILLER_34_379
*2707 FILLER_34_391
*2708 FILLER_34_403
*2709 FILLER_34_41
*2710 FILLER_34_412
*2711 FILLER_34_421
*2712 FILLER_34_432
*2713 FILLER_34_444
*2714 FILLER_34_45
*2715 FILLER_34_469
*2716 FILLER_34_50
*2717 FILLER_34_66
*2718 FILLER_34_78
*2719 FILLER_34_85
*2720 FILLER_34_97
*2721 FILLER_35_105
*2722 FILLER_35_111
*2723 FILLER_35_113
*2724 FILLER_35_125
*2725 FILLER_35_137
*2726 FILLER_35_145
*2727 FILLER_35_15
*2728 FILLER_35_164
*2729 FILLER_35_169
*2730 FILLER_35_181
*2731 FILLER_35_190
*2732 FILLER_35_198
*2733 FILLER_35_206
*2734 FILLER_35_212
*2735 FILLER_35_218
*2736 FILLER_35_228
*2737 FILLER_35_248
*2738 FILLER_35_268
*2739 FILLER_35_27
*2740 FILLER_35_281
*2741 FILLER_35_290
*2742 FILLER_35_3
*2743 FILLER_35_303
*2744 FILLER_35_311
*2745 FILLER_35_319
*2746 FILLER_35_326
*2747 FILLER_35_334
*2748 FILLER_35_337
*2749 FILLER_35_349
*2750 FILLER_35_353
*2751 FILLER_35_370
*2752 FILLER_35_378
*2753 FILLER_35_388
*2754 FILLER_35_39
*2755 FILLER_35_393
*2756 FILLER_35_401
*2757 FILLER_35_405
*2758 FILLER_35_415
*2759 FILLER_35_421
*2760 FILLER_35_425
*2761 FILLER_35_437
*2762 FILLER_35_445
*2763 FILLER_35_452
*2764 FILLER_35_460
*2765 FILLER_35_465
*2766 FILLER_35_52
*2767 FILLER_35_57
*2768 FILLER_35_81
*2769 FILLER_35_93
*2770 FILLER_36_109
*2771 FILLER_36_121
*2772 FILLER_36_13
*2773 FILLER_36_133
*2774 FILLER_36_139
*2775 FILLER_36_141
*2776 FILLER_36_153
*2777 FILLER_36_163
*2778 FILLER_36_17
*2779 FILLER_36_175
*2780 FILLER_36_188
*2781 FILLER_36_200
*2782 FILLER_36_209
*2783 FILLER_36_21
*2784 FILLER_36_218
*2785 FILLER_36_238
*2786 FILLER_36_250
*2787 FILLER_36_253
*2788 FILLER_36_265
*2789 FILLER_36_27
*2790 FILLER_36_273
*2791 FILLER_36_284
*2792 FILLER_36_29
*2793 FILLER_36_298
*2794 FILLER_36_3
*2795 FILLER_36_306
*2796 FILLER_36_309
*2797 FILLER_36_313
*2798 FILLER_36_320
*2799 FILLER_36_337
*2800 FILLER_36_345
*2801 FILLER_36_353
*2802 FILLER_36_360
*2803 FILLER_36_368
*2804 FILLER_36_374
*2805 FILLER_36_382
*2806 FILLER_36_394
*2807 FILLER_36_403
*2808 FILLER_36_409
*2809 FILLER_36_41
*2810 FILLER_36_416
*2811 FILLER_36_421
*2812 FILLER_36_443
*2813 FILLER_36_447
*2814 FILLER_36_469
*2815 FILLER_36_53
*2816 FILLER_36_66
*2817 FILLER_36_78
*2818 FILLER_36_85
*2819 FILLER_36_9
*2820 FILLER_36_97
*2821 FILLER_37_111
*2822 FILLER_37_113
*2823 FILLER_37_125
*2824 FILLER_37_137
*2825 FILLER_37_149
*2826 FILLER_37_155
*2827 FILLER_37_164
*2828 FILLER_37_169
*2829 FILLER_37_175
*2830 FILLER_37_184
*2831 FILLER_37_190
*2832 FILLER_37_207
*2833 FILLER_37_218
*2834 FILLER_37_225
*2835 FILLER_37_235
*2836 FILLER_37_245
*2837 FILLER_37_257
*2838 FILLER_37_273
*2839 FILLER_37_279
*2840 FILLER_37_281
*2841 FILLER_37_297
*2842 FILLER_37_3
*2843 FILLER_37_30
*2844 FILLER_37_308
*2845 FILLER_37_315
*2846 FILLER_37_319
*2847 FILLER_37_327
*2848 FILLER_37_335
*2849 FILLER_37_337
*2850 FILLER_37_343
*2851 FILLER_37_347
*2852 FILLER_37_355
*2853 FILLER_37_365
*2854 FILLER_37_373
*2855 FILLER_37_381
*2856 FILLER_37_388
*2857 FILLER_37_393
*2858 FILLER_37_407
*2859 FILLER_37_417
*2860 FILLER_37_42
*2861 FILLER_37_429
*2862 FILLER_37_441
*2863 FILLER_37_447
*2864 FILLER_37_454
*2865 FILLER_37_458
*2866 FILLER_37_462
*2867 FILLER_37_470
*2868 FILLER_37_54
*2869 FILLER_37_63
*2870 FILLER_37_75
*2871 FILLER_37_87
*2872 FILLER_37_99
*2873 FILLER_38_109
*2874 FILLER_38_121
*2875 FILLER_38_133
*2876 FILLER_38_139
*2877 FILLER_38_141
*2878 FILLER_38_153
*2879 FILLER_38_171
*2880 FILLER_38_187
*2881 FILLER_38_195
*2882 FILLER_38_205
*2883 FILLER_38_213
*2884 FILLER_38_232
*2885 FILLER_38_24
*2886 FILLER_38_240
*2887 FILLER_38_247
*2888 FILLER_38_251
*2889 FILLER_38_257
*2890 FILLER_38_275
*2891 FILLER_38_279
*2892 FILLER_38_283
*2893 FILLER_38_29
*2894 FILLER_38_297
*2895 FILLER_38_305
*2896 FILLER_38_309
*2897 FILLER_38_313
*2898 FILLER_38_319
*2899 FILLER_38_328
*2900 FILLER_38_340
*2901 FILLER_38_352
*2902 FILLER_38_357
*2903 FILLER_38_363
*2904 FILLER_38_365
*2905 FILLER_38_377
*2906 FILLER_38_386
*2907 FILLER_38_397
*2908 FILLER_38_405
*2909 FILLER_38_41
*2910 FILLER_38_416
*2911 FILLER_38_421
*2912 FILLER_38_438
*2913 FILLER_38_446
*2914 FILLER_38_469
*2915 FILLER_38_53
*2916 FILLER_38_65
*2917 FILLER_38_77
*2918 FILLER_38_83
*2919 FILLER_38_85
*2920 FILLER_38_97
*2921 FILLER_39_105
*2922 FILLER_39_111
*2923 FILLER_39_113
*2924 FILLER_39_12
*2925 FILLER_39_125
*2926 FILLER_39_137
*2927 FILLER_39_149
*2928 FILLER_39_164
*2929 FILLER_39_169
*2930 FILLER_39_177
*2931 FILLER_39_19
*2932 FILLER_39_191
*2933 FILLER_39_199
*2934 FILLER_39_210
*2935 FILLER_39_217
*2936 FILLER_39_223
*2937 FILLER_39_225
*2938 FILLER_39_233
*2939 FILLER_39_261
*2940 FILLER_39_273
*2941 FILLER_39_279
*2942 FILLER_39_281
*2943 FILLER_39_287
*2944 FILLER_39_293
*2945 FILLER_39_3
*2946 FILLER_39_301
*2947 FILLER_39_309
*2948 FILLER_39_31
*2949 FILLER_39_317
*2950 FILLER_39_321
*2951 FILLER_39_332
*2952 FILLER_39_340
*2953 FILLER_39_348
*2954 FILLER_39_354
*2955 FILLER_39_374
*2956 FILLER_39_388
*2957 FILLER_39_398
*2958 FILLER_39_412
*2959 FILLER_39_424
*2960 FILLER_39_43
*2961 FILLER_39_435
*2962 FILLER_39_444
*2963 FILLER_39_452
*2964 FILLER_39_459
*2965 FILLER_39_466
*2966 FILLER_39_472
*2967 FILLER_39_55
*2968 FILLER_39_57
*2969 FILLER_39_69
*2970 FILLER_39_81
*2971 FILLER_39_93
*2972 FILLER_3_104
*2973 FILLER_3_11
*2974 FILLER_3_113
*2975 FILLER_3_119
*2976 FILLER_3_126
*2977 FILLER_3_138
*2978 FILLER_3_150
*2979 FILLER_3_156
*2980 FILLER_3_160
*2981 FILLER_3_169
*2982 FILLER_3_181
*2983 FILLER_3_193
*2984 FILLER_3_205
*2985 FILLER_3_213
*2986 FILLER_3_217
*2987 FILLER_3_223
*2988 FILLER_3_225
*2989 FILLER_3_237
*2990 FILLER_3_252
*2991 FILLER_3_264
*2992 FILLER_3_276
*2993 FILLER_3_281
*2994 FILLER_3_293
*2995 FILLER_3_3
*2996 FILLER_3_305
*2997 FILLER_3_317
*2998 FILLER_3_329
*2999 FILLER_3_335
*3000 FILLER_3_337
*3001 FILLER_3_349
*3002 FILLER_3_36
*3003 FILLER_3_361
*3004 FILLER_3_373
*3005 FILLER_3_388
*3006 FILLER_3_396
*3007 FILLER_3_403
*3008 FILLER_3_409
*3009 FILLER_3_431
*3010 FILLER_3_439
*3011 FILLER_3_44
*3012 FILLER_3_444
*3013 FILLER_3_452
*3014 FILLER_3_458
*3015 FILLER_3_462
*3016 FILLER_3_469
*3017 FILLER_3_49
*3018 FILLER_3_55
*3019 FILLER_3_60
*3020 FILLER_3_7
*3021 FILLER_3_71
*3022 FILLER_3_83
*3023 FILLER_3_92
*3024 FILLER_40_109
*3025 FILLER_40_121
*3026 FILLER_40_133
*3027 FILLER_40_139
*3028 FILLER_40_141
*3029 FILLER_40_15
*3030 FILLER_40_153
*3031 FILLER_40_173
*3032 FILLER_40_181
*3033 FILLER_40_190
*3034 FILLER_40_197
*3035 FILLER_40_205
*3036 FILLER_40_217
*3037 FILLER_40_229
*3038 FILLER_40_241
*3039 FILLER_40_247
*3040 FILLER_40_251
*3041 FILLER_40_263
*3042 FILLER_40_27
*3043 FILLER_40_274
*3044 FILLER_40_282
*3045 FILLER_40_29
*3046 FILLER_40_292
*3047 FILLER_40_296
*3048 FILLER_40_3
*3049 FILLER_40_302
*3050 FILLER_40_309
*3051 FILLER_40_319
*3052 FILLER_40_330
*3053 FILLER_40_339
*3054 FILLER_40_351
*3055 FILLER_40_363
*3056 FILLER_40_365
*3057 FILLER_40_377
*3058 FILLER_40_395
*3059 FILLER_40_404
*3060 FILLER_40_41
*3061 FILLER_40_416
*3062 FILLER_40_421
*3063 FILLER_40_429
*3064 FILLER_40_435
*3065 FILLER_40_444
*3066 FILLER_40_469
*3067 FILLER_40_53
*3068 FILLER_40_65
*3069 FILLER_40_77
*3070 FILLER_40_83
*3071 FILLER_40_85
*3072 FILLER_40_97
*3073 FILLER_41_105
*3074 FILLER_41_111
*3075 FILLER_41_113
*3076 FILLER_41_125
*3077 FILLER_41_137
*3078 FILLER_41_149
*3079 FILLER_41_15
*3080 FILLER_41_161
*3081 FILLER_41_167
*3082 FILLER_41_169
*3083 FILLER_41_181
*3084 FILLER_41_193
*3085 FILLER_41_205
*3086 FILLER_41_210
*3087 FILLER_41_222
*3088 FILLER_41_225
*3089 FILLER_41_233
*3090 FILLER_41_243
*3091 FILLER_41_255
*3092 FILLER_41_259
*3093 FILLER_41_27
*3094 FILLER_41_270
*3095 FILLER_41_278
*3096 FILLER_41_281
*3097 FILLER_41_3
*3098 FILLER_41_305
*3099 FILLER_41_319
*3100 FILLER_41_332
*3101 FILLER_41_341
*3102 FILLER_41_348
*3103 FILLER_41_360
*3104 FILLER_41_372
*3105 FILLER_41_384
*3106 FILLER_41_39
*3107 FILLER_41_393
*3108 FILLER_41_401
*3109 FILLER_41_420
*3110 FILLER_41_440
*3111 FILLER_41_452
*3112 FILLER_41_461
*3113 FILLER_41_468
*3114 FILLER_41_472
*3115 FILLER_41_51
*3116 FILLER_41_55
*3117 FILLER_41_57
*3118 FILLER_41_69
*3119 FILLER_41_81
*3120 FILLER_41_93
*3121 FILLER_42_109
*3122 FILLER_42_121
*3123 FILLER_42_133
*3124 FILLER_42_139
*3125 FILLER_42_141
*3126 FILLER_42_15
*3127 FILLER_42_153
*3128 FILLER_42_173
*3129 FILLER_42_181
*3130 FILLER_42_188
*3131 FILLER_42_197
*3132 FILLER_42_210
*3133 FILLER_42_221
*3134 FILLER_42_227
*3135 FILLER_42_231
*3136 FILLER_42_245
*3137 FILLER_42_251
*3138 FILLER_42_253
*3139 FILLER_42_263
*3140 FILLER_42_27
*3141 FILLER_42_277
*3142 FILLER_42_289
*3143 FILLER_42_3
*3144 FILLER_42_304
*3145 FILLER_42_314
*3146 FILLER_42_32
*3147 FILLER_42_323
*3148 FILLER_42_334
*3149 FILLER_42_342
*3150 FILLER_42_360
*3151 FILLER_42_365
*3152 FILLER_42_377
*3153 FILLER_42_389
*3154 FILLER_42_401
*3155 FILLER_42_409
*3156 FILLER_42_416
*3157 FILLER_42_421
*3158 FILLER_42_44
*3159 FILLER_42_444
*3160 FILLER_42_469
*3161 FILLER_42_56
*3162 FILLER_42_68
*3163 FILLER_42_80
*3164 FILLER_42_85
*3165 FILLER_42_97
*3166 FILLER_43_105
*3167 FILLER_43_111
*3168 FILLER_43_113
*3169 FILLER_43_125
*3170 FILLER_43_137
*3171 FILLER_43_149
*3172 FILLER_43_15
*3173 FILLER_43_157
*3174 FILLER_43_164
*3175 FILLER_43_169
*3176 FILLER_43_174
*3177 FILLER_43_198
*3178 FILLER_43_218
*3179 FILLER_43_225
*3180 FILLER_43_23
*3181 FILLER_43_242
*3182 FILLER_43_256
*3183 FILLER_43_276
*3184 FILLER_43_285
*3185 FILLER_43_297
*3186 FILLER_43_3
*3187 FILLER_43_306
*3188 FILLER_43_318
*3189 FILLER_43_329
*3190 FILLER_43_335
*3191 FILLER_43_342
*3192 FILLER_43_356
*3193 FILLER_43_366
*3194 FILLER_43_373
*3195 FILLER_43_380
*3196 FILLER_43_410
*3197 FILLER_43_418
*3198 FILLER_43_430
*3199 FILLER_43_442
*3200 FILLER_43_45
*3201 FILLER_43_452
*3202 FILLER_43_460
*3203 FILLER_43_465
*3204 FILLER_43_53
*3205 FILLER_43_57
*3206 FILLER_43_69
*3207 FILLER_43_81
*3208 FILLER_43_93
*3209 FILLER_44_109
*3210 FILLER_44_121
*3211 FILLER_44_133
*3212 FILLER_44_139
*3213 FILLER_44_141
*3214 FILLER_44_15
*3215 FILLER_44_153
*3216 FILLER_44_158
*3217 FILLER_44_166
*3218 FILLER_44_171
*3219 FILLER_44_180
*3220 FILLER_44_188
*3221 FILLER_44_207
*3222 FILLER_44_213
*3223 FILLER_44_221
*3224 FILLER_44_233
*3225 FILLER_44_248
*3226 FILLER_44_253
*3227 FILLER_44_261
*3228 FILLER_44_27
*3229 FILLER_44_270
*3230 FILLER_44_277
*3231 FILLER_44_289
*3232 FILLER_44_29
*3233 FILLER_44_297
*3234 FILLER_44_3
*3235 FILLER_44_301
*3236 FILLER_44_307
*3237 FILLER_44_309
*3238 FILLER_44_321
*3239 FILLER_44_329
*3240 FILLER_44_340
*3241 FILLER_44_349
*3242 FILLER_44_359
*3243 FILLER_44_363
*3244 FILLER_44_372
*3245 FILLER_44_376
*3246 FILLER_44_393
*3247 FILLER_44_405
*3248 FILLER_44_41
*3249 FILLER_44_417
*3250 FILLER_44_421
*3251 FILLER_44_433
*3252 FILLER_44_439
*3253 FILLER_44_461
*3254 FILLER_44_468
*3255 FILLER_44_472
*3256 FILLER_44_53
*3257 FILLER_44_65
*3258 FILLER_44_77
*3259 FILLER_44_83
*3260 FILLER_44_85
*3261 FILLER_44_97
*3262 FILLER_45_105
*3263 FILLER_45_111
*3264 FILLER_45_113
*3265 FILLER_45_125
*3266 FILLER_45_137
*3267 FILLER_45_145
*3268 FILLER_45_15
*3269 FILLER_45_164
*3270 FILLER_45_169
*3271 FILLER_45_173
*3272 FILLER_45_182
*3273 FILLER_45_189
*3274 FILLER_45_201
*3275 FILLER_45_213
*3276 FILLER_45_221
*3277 FILLER_45_225
*3278 FILLER_45_241
*3279 FILLER_45_253
*3280 FILLER_45_265
*3281 FILLER_45_27
*3282 FILLER_45_277
*3283 FILLER_45_281
*3284 FILLER_45_293
*3285 FILLER_45_3
*3286 FILLER_45_304
*3287 FILLER_45_316
*3288 FILLER_45_328
*3289 FILLER_45_337
*3290 FILLER_45_349
*3291 FILLER_45_356
*3292 FILLER_45_368
*3293 FILLER_45_388
*3294 FILLER_45_39
*3295 FILLER_45_393
*3296 FILLER_45_405
*3297 FILLER_45_417
*3298 FILLER_45_429
*3299 FILLER_45_441
*3300 FILLER_45_447
*3301 FILLER_45_449
*3302 FILLER_45_461
*3303 FILLER_45_51
*3304 FILLER_45_55
*3305 FILLER_45_57
*3306 FILLER_45_69
*3307 FILLER_45_81
*3308 FILLER_45_93
*3309 FILLER_46_109
*3310 FILLER_46_121
*3311 FILLER_46_133
*3312 FILLER_46_139
*3313 FILLER_46_141
*3314 FILLER_46_15
*3315 FILLER_46_153
*3316 FILLER_46_171
*3317 FILLER_46_180
*3318 FILLER_46_188
*3319 FILLER_46_197
*3320 FILLER_46_209
*3321 FILLER_46_219
*3322 FILLER_46_226
*3323 FILLER_46_237
*3324 FILLER_46_244
*3325 FILLER_46_253
*3326 FILLER_46_259
*3327 FILLER_46_263
*3328 FILLER_46_27
*3329 FILLER_46_283
*3330 FILLER_46_287
*3331 FILLER_46_29
*3332 FILLER_46_3
*3333 FILLER_46_304
*3334 FILLER_46_319
*3335 FILLER_46_327
*3336 FILLER_46_337
*3337 FILLER_46_344
*3338 FILLER_46_356
*3339 FILLER_46_368
*3340 FILLER_46_383
*3341 FILLER_46_395
*3342 FILLER_46_407
*3343 FILLER_46_41
*3344 FILLER_46_419
*3345 FILLER_46_421
*3346 FILLER_46_433
*3347 FILLER_46_445
*3348 FILLER_46_469
*3349 FILLER_46_53
*3350 FILLER_46_65
*3351 FILLER_46_77
*3352 FILLER_46_83
*3353 FILLER_46_85
*3354 FILLER_46_97
*3355 FILLER_47_105
*3356 FILLER_47_111
*3357 FILLER_47_113
*3358 FILLER_47_125
*3359 FILLER_47_137
*3360 FILLER_47_15
*3361 FILLER_47_154
*3362 FILLER_47_160
*3363 FILLER_47_164
*3364 FILLER_47_169
*3365 FILLER_47_173
*3366 FILLER_47_180
*3367 FILLER_47_194
*3368 FILLER_47_201
*3369 FILLER_47_213
*3370 FILLER_47_220
*3371 FILLER_47_225
*3372 FILLER_47_235
*3373 FILLER_47_255
*3374 FILLER_47_264
*3375 FILLER_47_27
*3376 FILLER_47_276
*3377 FILLER_47_281
*3378 FILLER_47_292
*3379 FILLER_47_3
*3380 FILLER_47_301
*3381 FILLER_47_310
*3382 FILLER_47_321
*3383 FILLER_47_332
*3384 FILLER_47_337
*3385 FILLER_47_356
*3386 FILLER_47_365
*3387 FILLER_47_377
*3388 FILLER_47_388
*3389 FILLER_47_39
*3390 FILLER_47_396
*3391 FILLER_47_408
*3392 FILLER_47_420
*3393 FILLER_47_432
*3394 FILLER_47_444
*3395 FILLER_47_449
*3396 FILLER_47_461
*3397 FILLER_47_465
*3398 FILLER_47_51
*3399 FILLER_47_55
*3400 FILLER_47_57
*3401 FILLER_47_69
*3402 FILLER_47_81
*3403 FILLER_47_93
*3404 FILLER_48_109
*3405 FILLER_48_121
*3406 FILLER_48_133
*3407 FILLER_48_139
*3408 FILLER_48_141
*3409 FILLER_48_15
*3410 FILLER_48_159
*3411 FILLER_48_171
*3412 FILLER_48_184
*3413 FILLER_48_192
*3414 FILLER_48_197
*3415 FILLER_48_203
*3416 FILLER_48_220
*3417 FILLER_48_231
*3418 FILLER_48_235
*3419 FILLER_48_244
*3420 FILLER_48_263
*3421 FILLER_48_27
*3422 FILLER_48_273
*3423 FILLER_48_285
*3424 FILLER_48_29
*3425 FILLER_48_294
*3426 FILLER_48_3
*3427 FILLER_48_301
*3428 FILLER_48_307
*3429 FILLER_48_309
*3430 FILLER_48_313
*3431 FILLER_48_320
*3432 FILLER_48_332
*3433 FILLER_48_341
*3434 FILLER_48_349
*3435 FILLER_48_360
*3436 FILLER_48_370
*3437 FILLER_48_379
*3438 FILLER_48_383
*3439 FILLER_48_400
*3440 FILLER_48_41
*3441 FILLER_48_412
*3442 FILLER_48_421
*3443 FILLER_48_433
*3444 FILLER_48_445
*3445 FILLER_48_457
*3446 FILLER_48_463
*3447 FILLER_48_471
*3448 FILLER_48_53
*3449 FILLER_48_65
*3450 FILLER_48_77
*3451 FILLER_48_83
*3452 FILLER_48_85
*3453 FILLER_48_97
*3454 FILLER_49_105
*3455 FILLER_49_111
*3456 FILLER_49_113
*3457 FILLER_49_125
*3458 FILLER_49_133
*3459 FILLER_49_15
*3460 FILLER_49_152
*3461 FILLER_49_164
*3462 FILLER_49_169
*3463 FILLER_49_177
*3464 FILLER_49_181
*3465 FILLER_49_189
*3466 FILLER_49_196
*3467 FILLER_49_204
*3468 FILLER_49_209
*3469 FILLER_49_221
*3470 FILLER_49_241
*3471 FILLER_49_249
*3472 FILLER_49_258
*3473 FILLER_49_267
*3474 FILLER_49_27
*3475 FILLER_49_279
*3476 FILLER_49_281
*3477 FILLER_49_293
*3478 FILLER_49_3
*3479 FILLER_49_302
*3480 FILLER_49_311
*3481 FILLER_49_321
*3482 FILLER_49_332
*3483 FILLER_49_342
*3484 FILLER_49_350
*3485 FILLER_49_360
*3486 FILLER_49_372
*3487 FILLER_49_380
*3488 FILLER_49_387
*3489 FILLER_49_39
*3490 FILLER_49_391
*3491 FILLER_49_393
*3492 FILLER_49_405
*3493 FILLER_49_417
*3494 FILLER_49_429
*3495 FILLER_49_441
*3496 FILLER_49_447
*3497 FILLER_49_449
*3498 FILLER_49_461
*3499 FILLER_49_51
*3500 FILLER_49_55
*3501 FILLER_49_57
*3502 FILLER_49_69
*3503 FILLER_49_81
*3504 FILLER_49_93
*3505 FILLER_4_10
*3506 FILLER_4_109
*3507 FILLER_4_121
*3508 FILLER_4_133
*3509 FILLER_4_139
*3510 FILLER_4_141
*3511 FILLER_4_153
*3512 FILLER_4_165
*3513 FILLER_4_17
*3514 FILLER_4_177
*3515 FILLER_4_189
*3516 FILLER_4_195
*3517 FILLER_4_197
*3518 FILLER_4_209
*3519 FILLER_4_221
*3520 FILLER_4_233
*3521 FILLER_4_24
*3522 FILLER_4_245
*3523 FILLER_4_251
*3524 FILLER_4_253
*3525 FILLER_4_265
*3526 FILLER_4_277
*3527 FILLER_4_289
*3528 FILLER_4_29
*3529 FILLER_4_3
*3530 FILLER_4_301
*3531 FILLER_4_307
*3532 FILLER_4_309
*3533 FILLER_4_321
*3534 FILLER_4_333
*3535 FILLER_4_345
*3536 FILLER_4_357
*3537 FILLER_4_363
*3538 FILLER_4_365
*3539 FILLER_4_377
*3540 FILLER_4_389
*3541 FILLER_4_393
*3542 FILLER_4_415
*3543 FILLER_4_419
*3544 FILLER_4_421
*3545 FILLER_4_433
*3546 FILLER_4_445
*3547 FILLER_4_469
*3548 FILLER_4_53
*3549 FILLER_4_60
*3550 FILLER_4_72
*3551 FILLER_4_85
*3552 FILLER_4_97
*3553 FILLER_50_109
*3554 FILLER_50_121
*3555 FILLER_50_133
*3556 FILLER_50_139
*3557 FILLER_50_14
*3558 FILLER_50_141
*3559 FILLER_50_146
*3560 FILLER_50_158
*3561 FILLER_50_162
*3562 FILLER_50_171
*3563 FILLER_50_186
*3564 FILLER_50_194
*3565 FILLER_50_204
*3566 FILLER_50_214
*3567 FILLER_50_226
*3568 FILLER_50_238
*3569 FILLER_50_250
*3570 FILLER_50_253
*3571 FILLER_50_26
*3572 FILLER_50_265
*3573 FILLER_50_283
*3574 FILLER_50_29
*3575 FILLER_50_296
*3576 FILLER_50_3
*3577 FILLER_50_303
*3578 FILLER_50_307
*3579 FILLER_50_309
*3580 FILLER_50_317
*3581 FILLER_50_323
*3582 FILLER_50_337
*3583 FILLER_50_341
*3584 FILLER_50_346
*3585 FILLER_50_360
*3586 FILLER_50_365
*3587 FILLER_50_370
*3588 FILLER_50_390
*3589 FILLER_50_402
*3590 FILLER_50_41
*3591 FILLER_50_414
*3592 FILLER_50_421
*3593 FILLER_50_433
*3594 FILLER_50_445
*3595 FILLER_50_469
*3596 FILLER_50_53
*3597 FILLER_50_65
*3598 FILLER_50_77
*3599 FILLER_50_83
*3600 FILLER_50_85
*3601 FILLER_50_97
*3602 FILLER_51_105
*3603 FILLER_51_111
*3604 FILLER_51_113
*3605 FILLER_51_125
*3606 FILLER_51_137
*3607 FILLER_51_149
*3608 FILLER_51_161
*3609 FILLER_51_167
*3610 FILLER_51_169
*3611 FILLER_51_177
*3612 FILLER_51_181
*3613 FILLER_51_190
*3614 FILLER_51_202
*3615 FILLER_51_214
*3616 FILLER_51_222
*3617 FILLER_51_225
*3618 FILLER_51_233
*3619 FILLER_51_245
*3620 FILLER_51_249
*3621 FILLER_51_257
*3622 FILLER_51_265
*3623 FILLER_51_269
*3624 FILLER_51_275
*3625 FILLER_51_279
*3626 FILLER_51_28
*3627 FILLER_51_284
*3628 FILLER_51_3
*3629 FILLER_51_306
*3630 FILLER_51_320
*3631 FILLER_51_332
*3632 FILLER_51_340
*3633 FILLER_51_360
*3634 FILLER_51_374
*3635 FILLER_51_384
*3636 FILLER_51_396
*3637 FILLER_51_40
*3638 FILLER_51_408
*3639 FILLER_51_420
*3640 FILLER_51_432
*3641 FILLER_51_444
*3642 FILLER_51_449
*3643 FILLER_51_457
*3644 FILLER_51_462
*3645 FILLER_51_469
*3646 FILLER_51_52
*3647 FILLER_51_57
*3648 FILLER_51_69
*3649 FILLER_51_81
*3650 FILLER_51_93
*3651 FILLER_52_10
*3652 FILLER_52_109
*3653 FILLER_52_121
*3654 FILLER_52_133
*3655 FILLER_52_139
*3656 FILLER_52_141
*3657 FILLER_52_153
*3658 FILLER_52_164
*3659 FILLER_52_171
*3660 FILLER_52_179
*3661 FILLER_52_187
*3662 FILLER_52_195
*3663 FILLER_52_200
*3664 FILLER_52_216
*3665 FILLER_52_22
*3666 FILLER_52_228
*3667 FILLER_52_234
*3668 FILLER_52_242
*3669 FILLER_52_248
*3670 FILLER_52_260
*3671 FILLER_52_270
*3672 FILLER_52_278
*3673 FILLER_52_289
*3674 FILLER_52_29
*3675 FILLER_52_298
*3676 FILLER_52_3
*3677 FILLER_52_306
*3678 FILLER_52_309
*3679 FILLER_52_327
*3680 FILLER_52_339
*3681 FILLER_52_343
*3682 FILLER_52_360
*3683 FILLER_52_365
*3684 FILLER_52_377
*3685 FILLER_52_395
*3686 FILLER_52_407
*3687 FILLER_52_41
*3688 FILLER_52_419
*3689 FILLER_52_421
*3690 FILLER_52_433
*3691 FILLER_52_445
*3692 FILLER_52_457
*3693 FILLER_52_469
*3694 FILLER_52_53
*3695 FILLER_52_65
*3696 FILLER_52_77
*3697 FILLER_52_83
*3698 FILLER_52_85
*3699 FILLER_52_97
*3700 FILLER_53_105
*3701 FILLER_53_111
*3702 FILLER_53_113
*3703 FILLER_53_125
*3704 FILLER_53_137
*3705 FILLER_53_15
*3706 FILLER_53_155
*3707 FILLER_53_159
*3708 FILLER_53_164
*3709 FILLER_53_179
*3710 FILLER_53_187
*3711 FILLER_53_198
*3712 FILLER_53_220
*3713 FILLER_53_225
*3714 FILLER_53_243
*3715 FILLER_53_251
*3716 FILLER_53_258
*3717 FILLER_53_268
*3718 FILLER_53_27
*3719 FILLER_53_275
*3720 FILLER_53_279
*3721 FILLER_53_281
*3722 FILLER_53_287
*3723 FILLER_53_296
*3724 FILLER_53_3
*3725 FILLER_53_308
*3726 FILLER_53_312
*3727 FILLER_53_323
*3728 FILLER_53_332
*3729 FILLER_53_340
*3730 FILLER_53_352
*3731 FILLER_53_364
*3732 FILLER_53_372
*3733 FILLER_53_384
*3734 FILLER_53_39
*3735 FILLER_53_393
*3736 FILLER_53_405
*3737 FILLER_53_417
*3738 FILLER_53_429
*3739 FILLER_53_441
*3740 FILLER_53_447
*3741 FILLER_53_449
*3742 FILLER_53_461
*3743 FILLER_53_51
*3744 FILLER_53_55
*3745 FILLER_53_57
*3746 FILLER_53_69
*3747 FILLER_53_81
*3748 FILLER_53_93
*3749 FILLER_54_109
*3750 FILLER_54_121
*3751 FILLER_54_133
*3752 FILLER_54_139
*3753 FILLER_54_15
*3754 FILLER_54_157
*3755 FILLER_54_168
*3756 FILLER_54_172
*3757 FILLER_54_183
*3758 FILLER_54_192
*3759 FILLER_54_213
*3760 FILLER_54_217
*3761 FILLER_54_222
*3762 FILLER_54_228
*3763 FILLER_54_235
*3764 FILLER_54_244
*3765 FILLER_54_253
*3766 FILLER_54_258
*3767 FILLER_54_266
*3768 FILLER_54_27
*3769 FILLER_54_272
*3770 FILLER_54_29
*3771 FILLER_54_3
*3772 FILLER_54_300
*3773 FILLER_54_313
*3774 FILLER_54_333
*3775 FILLER_54_345
*3776 FILLER_54_357
*3777 FILLER_54_363
*3778 FILLER_54_365
*3779 FILLER_54_382
*3780 FILLER_54_389
*3781 FILLER_54_401
*3782 FILLER_54_41
*3783 FILLER_54_413
*3784 FILLER_54_419
*3785 FILLER_54_421
*3786 FILLER_54_433
*3787 FILLER_54_445
*3788 FILLER_54_457
*3789 FILLER_54_469
*3790 FILLER_54_53
*3791 FILLER_54_65
*3792 FILLER_54_77
*3793 FILLER_54_83
*3794 FILLER_54_85
*3795 FILLER_54_97
*3796 FILLER_55_105
*3797 FILLER_55_111
*3798 FILLER_55_113
*3799 FILLER_55_125
*3800 FILLER_55_137
*3801 FILLER_55_149
*3802 FILLER_55_15
*3803 FILLER_55_164
*3804 FILLER_55_174
*3805 FILLER_55_190
*3806 FILLER_55_202
*3807 FILLER_55_214
*3808 FILLER_55_220
*3809 FILLER_55_225
*3810 FILLER_55_237
*3811 FILLER_55_247
*3812 FILLER_55_255
*3813 FILLER_55_267
*3814 FILLER_55_27
*3815 FILLER_55_276
*3816 FILLER_55_287
*3817 FILLER_55_294
*3818 FILLER_55_298
*3819 FILLER_55_3
*3820 FILLER_55_315
*3821 FILLER_55_323
*3822 FILLER_55_335
*3823 FILLER_55_337
*3824 FILLER_55_349
*3825 FILLER_55_367
*3826 FILLER_55_375
*3827 FILLER_55_382
*3828 FILLER_55_39
*3829 FILLER_55_390
*3830 FILLER_55_396
*3831 FILLER_55_408
*3832 FILLER_55_426
*3833 FILLER_55_438
*3834 FILLER_55_446
*3835 FILLER_55_449
*3836 FILLER_55_461
*3837 FILLER_55_465
*3838 FILLER_55_51
*3839 FILLER_55_55
*3840 FILLER_55_57
*3841 FILLER_55_69
*3842 FILLER_55_81
*3843 FILLER_55_93
*3844 FILLER_56_109
*3845 FILLER_56_121
*3846 FILLER_56_133
*3847 FILLER_56_139
*3848 FILLER_56_141
*3849 FILLER_56_15
*3850 FILLER_56_153
*3851 FILLER_56_160
*3852 FILLER_56_172
*3853 FILLER_56_180
*3854 FILLER_56_187
*3855 FILLER_56_195
*3856 FILLER_56_200
*3857 FILLER_56_212
*3858 FILLER_56_224
*3859 FILLER_56_248
*3860 FILLER_56_253
*3861 FILLER_56_27
*3862 FILLER_56_272
*3863 FILLER_56_278
*3864 FILLER_56_285
*3865 FILLER_56_29
*3866 FILLER_56_297
*3867 FILLER_56_3
*3868 FILLER_56_305
*3869 FILLER_56_309
*3870 FILLER_56_321
*3871 FILLER_56_333
*3872 FILLER_56_339
*3873 FILLER_56_346
*3874 FILLER_56_354
*3875 FILLER_56_360
*3876 FILLER_56_365
*3877 FILLER_56_380
*3878 FILLER_56_389
*3879 FILLER_56_409
*3880 FILLER_56_41
*3881 FILLER_56_416
*3882 FILLER_56_421
*3883 FILLER_56_433
*3884 FILLER_56_445
*3885 FILLER_56_469
*3886 FILLER_56_53
*3887 FILLER_56_65
*3888 FILLER_56_77
*3889 FILLER_56_83
*3890 FILLER_56_85
*3891 FILLER_56_97
*3892 FILLER_57_105
*3893 FILLER_57_111
*3894 FILLER_57_113
*3895 FILLER_57_125
*3896 FILLER_57_137
*3897 FILLER_57_149
*3898 FILLER_57_15
*3899 FILLER_57_164
*3900 FILLER_57_169
*3901 FILLER_57_182
*3902 FILLER_57_193
*3903 FILLER_57_213
*3904 FILLER_57_220
*3905 FILLER_57_225
*3906 FILLER_57_231
*3907 FILLER_57_239
*3908 FILLER_57_246
*3909 FILLER_57_258
*3910 FILLER_57_266
*3911 FILLER_57_27
*3912 FILLER_57_272
*3913 FILLER_57_284
*3914 FILLER_57_288
*3915 FILLER_57_296
*3916 FILLER_57_3
*3917 FILLER_57_304
*3918 FILLER_57_311
*3919 FILLER_57_323
*3920 FILLER_57_332
*3921 FILLER_57_353
*3922 FILLER_57_361
*3923 FILLER_57_365
*3924 FILLER_57_376
*3925 FILLER_57_387
*3926 FILLER_57_39
*3927 FILLER_57_391
*3928 FILLER_57_399
*3929 FILLER_57_408
*3930 FILLER_57_416
*3931 FILLER_57_423
*3932 FILLER_57_435
*3933 FILLER_57_447
*3934 FILLER_57_449
*3935 FILLER_57_456
*3936 FILLER_57_463
*3937 FILLER_57_471
*3938 FILLER_57_51
*3939 FILLER_57_55
*3940 FILLER_57_57
*3941 FILLER_57_69
*3942 FILLER_57_81
*3943 FILLER_57_93
*3944 FILLER_58_109
*3945 FILLER_58_121
*3946 FILLER_58_133
*3947 FILLER_58_139
*3948 FILLER_58_141
*3949 FILLER_58_15
*3950 FILLER_58_153
*3951 FILLER_58_171
*3952 FILLER_58_177
*3953 FILLER_58_188
*3954 FILLER_58_197
*3955 FILLER_58_211
*3956 FILLER_58_225
*3957 FILLER_58_232
*3958 FILLER_58_239
*3959 FILLER_58_251
*3960 FILLER_58_253
*3961 FILLER_58_257
*3962 FILLER_58_263
*3963 FILLER_58_27
*3964 FILLER_58_272
*3965 FILLER_58_284
*3966 FILLER_58_29
*3967 FILLER_58_291
*3968 FILLER_58_298
*3969 FILLER_58_3
*3970 FILLER_58_306
*3971 FILLER_58_312
*3972 FILLER_58_336
*3973 FILLER_58_345
*3974 FILLER_58_351
*3975 FILLER_58_359
*3976 FILLER_58_363
*3977 FILLER_58_368
*3978 FILLER_58_376
*3979 FILLER_58_387
*3980 FILLER_58_395
*3981 FILLER_58_403
*3982 FILLER_58_41
*3983 FILLER_58_412
*3984 FILLER_58_421
*3985 FILLER_58_438
*3986 FILLER_58_446
*3987 FILLER_58_469
*3988 FILLER_58_53
*3989 FILLER_58_65
*3990 FILLER_58_77
*3991 FILLER_58_83
*3992 FILLER_58_85
*3993 FILLER_58_97
*3994 FILLER_59_105
*3995 FILLER_59_111
*3996 FILLER_59_113
*3997 FILLER_59_125
*3998 FILLER_59_137
*3999 FILLER_59_149
*4000 FILLER_59_15
*4001 FILLER_59_164
*4002 FILLER_59_185
*4003 FILLER_59_194
*4004 FILLER_59_205
*4005 FILLER_59_213
*4006 FILLER_59_220
*4007 FILLER_59_225
*4008 FILLER_59_232
*4009 FILLER_59_243
*4010 FILLER_59_250
*4011 FILLER_59_27
*4012 FILLER_59_272
*4013 FILLER_59_281
*4014 FILLER_59_295
*4015 FILLER_59_3
*4016 FILLER_59_306
*4017 FILLER_59_313
*4018 FILLER_59_317
*4019 FILLER_59_323
*4020 FILLER_59_335
*4021 FILLER_59_337
*4022 FILLER_59_348
*4023 FILLER_59_360
*4024 FILLER_59_372
*4025 FILLER_59_384
*4026 FILLER_59_39
*4027 FILLER_59_393
*4028 FILLER_59_404
*4029 FILLER_59_414
*4030 FILLER_59_420
*4031 FILLER_59_427
*4032 FILLER_59_435
*4033 FILLER_59_440
*4034 FILLER_59_449
*4035 FILLER_59_453
*4036 FILLER_59_457
*4037 FILLER_59_464
*4038 FILLER_59_472
*4039 FILLER_59_51
*4040 FILLER_59_55
*4041 FILLER_59_57
*4042 FILLER_59_69
*4043 FILLER_59_81
*4044 FILLER_59_93
*4045 FILLER_5_105
*4046 FILLER_5_111
*4047 FILLER_5_113
*4048 FILLER_5_125
*4049 FILLER_5_137
*4050 FILLER_5_149
*4051 FILLER_5_161
*4052 FILLER_5_167
*4053 FILLER_5_169
*4054 FILLER_5_181
*4055 FILLER_5_193
*4056 FILLER_5_205
*4057 FILLER_5_217
*4058 FILLER_5_223
*4059 FILLER_5_225
*4060 FILLER_5_237
*4061 FILLER_5_249
*4062 FILLER_5_261
*4063 FILLER_5_273
*4064 FILLER_5_279
*4065 FILLER_5_281
*4066 FILLER_5_293
*4067 FILLER_5_3
*4068 FILLER_5_30
*4069 FILLER_5_305
*4070 FILLER_5_317
*4071 FILLER_5_329
*4072 FILLER_5_335
*4073 FILLER_5_337
*4074 FILLER_5_349
*4075 FILLER_5_361
*4076 FILLER_5_37
*4077 FILLER_5_373
*4078 FILLER_5_385
*4079 FILLER_5_391
*4080 FILLER_5_393
*4081 FILLER_5_405
*4082 FILLER_5_417
*4083 FILLER_5_429
*4084 FILLER_5_44
*4085 FILLER_5_441
*4086 FILLER_5_447
*4087 FILLER_5_449
*4088 FILLER_5_456
*4089 FILLER_5_465
*4090 FILLER_5_57
*4091 FILLER_5_69
*4092 FILLER_5_81
*4093 FILLER_5_93
*4094 FILLER_60_109
*4095 FILLER_60_121
*4096 FILLER_60_133
*4097 FILLER_60_139
*4098 FILLER_60_141
*4099 FILLER_60_15
*4100 FILLER_60_153
*4101 FILLER_60_165
*4102 FILLER_60_173
*4103 FILLER_60_180
*4104 FILLER_60_192
*4105 FILLER_60_197
*4106 FILLER_60_206
*4107 FILLER_60_218
*4108 FILLER_60_226
*4109 FILLER_60_231
*4110 FILLER_60_240
*4111 FILLER_60_248
*4112 FILLER_60_253
*4113 FILLER_60_260
*4114 FILLER_60_27
*4115 FILLER_60_281
*4116 FILLER_60_29
*4117 FILLER_60_294
*4118 FILLER_60_3
*4119 FILLER_60_303
*4120 FILLER_60_307
*4121 FILLER_60_325
*4122 FILLER_60_337
*4123 FILLER_60_343
*4124 FILLER_60_353
*4125 FILLER_60_360
*4126 FILLER_60_365
*4127 FILLER_60_373
*4128 FILLER_60_381
*4129 FILLER_60_393
*4130 FILLER_60_405
*4131 FILLER_60_41
*4132 FILLER_60_413
*4133 FILLER_60_419
*4134 FILLER_60_421
*4135 FILLER_60_427
*4136 FILLER_60_444
*4137 FILLER_60_469
*4138 FILLER_60_53
*4139 FILLER_60_65
*4140 FILLER_60_77
*4141 FILLER_60_83
*4142 FILLER_60_85
*4143 FILLER_60_97
*4144 FILLER_61_105
*4145 FILLER_61_111
*4146 FILLER_61_113
*4147 FILLER_61_125
*4148 FILLER_61_137
*4149 FILLER_61_149
*4150 FILLER_61_15
*4151 FILLER_61_161
*4152 FILLER_61_167
*4153 FILLER_61_169
*4154 FILLER_61_181
*4155 FILLER_61_196
*4156 FILLER_61_204
*4157 FILLER_61_214
*4158 FILLER_61_222
*4159 FILLER_61_225
*4160 FILLER_61_231
*4161 FILLER_61_251
*4162 FILLER_61_263
*4163 FILLER_61_27
*4164 FILLER_61_275
*4165 FILLER_61_279
*4166 FILLER_61_284
*4167 FILLER_61_293
*4168 FILLER_61_3
*4169 FILLER_61_305
*4170 FILLER_61_317
*4171 FILLER_61_325
*4172 FILLER_61_332
*4173 FILLER_61_337
*4174 FILLER_61_345
*4175 FILLER_61_357
*4176 FILLER_61_367
*4177 FILLER_61_374
*4178 FILLER_61_382
*4179 FILLER_61_388
*4180 FILLER_61_39
*4181 FILLER_61_397
*4182 FILLER_61_411
*4183 FILLER_61_422
*4184 FILLER_61_432
*4185 FILLER_61_438
*4186 FILLER_61_444
*4187 FILLER_61_449
*4188 FILLER_61_457
*4189 FILLER_61_461
*4190 FILLER_61_468
*4191 FILLER_61_472
*4192 FILLER_61_51
*4193 FILLER_61_55
*4194 FILLER_61_57
*4195 FILLER_61_69
*4196 FILLER_61_81
*4197 FILLER_61_93
*4198 FILLER_62_109
*4199 FILLER_62_121
*4200 FILLER_62_133
*4201 FILLER_62_139
*4202 FILLER_62_141
*4203 FILLER_62_15
*4204 FILLER_62_153
*4205 FILLER_62_177
*4206 FILLER_62_184
*4207 FILLER_62_192
*4208 FILLER_62_197
*4209 FILLER_62_210
*4210 FILLER_62_224
*4211 FILLER_62_236
*4212 FILLER_62_242
*4213 FILLER_62_246
*4214 FILLER_62_253
*4215 FILLER_62_268
*4216 FILLER_62_27
*4217 FILLER_62_275
*4218 FILLER_62_29
*4219 FILLER_62_291
*4220 FILLER_62_298
*4221 FILLER_62_3
*4222 FILLER_62_306
*4223 FILLER_62_309
*4224 FILLER_62_321
*4225 FILLER_62_330
*4226 FILLER_62_342
*4227 FILLER_62_346
*4228 FILLER_62_351
*4229 FILLER_62_360
*4230 FILLER_62_365
*4231 FILLER_62_382
*4232 FILLER_62_402
*4233 FILLER_62_406
*4234 FILLER_62_41
*4235 FILLER_62_416
*4236 FILLER_62_426
*4237 FILLER_62_435
*4238 FILLER_62_442
*4239 FILLER_62_469
*4240 FILLER_62_53
*4241 FILLER_62_65
*4242 FILLER_62_77
*4243 FILLER_62_83
*4244 FILLER_62_85
*4245 FILLER_62_97
*4246 FILLER_63_105
*4247 FILLER_63_111
*4248 FILLER_63_113
*4249 FILLER_63_125
*4250 FILLER_63_137
*4251 FILLER_63_149
*4252 FILLER_63_15
*4253 FILLER_63_161
*4254 FILLER_63_167
*4255 FILLER_63_175
*4256 FILLER_63_183
*4257 FILLER_63_189
*4258 FILLER_63_19
*4259 FILLER_63_195
*4260 FILLER_63_202
*4261 FILLER_63_217
*4262 FILLER_63_223
*4263 FILLER_63_233
*4264 FILLER_63_245
*4265 FILLER_63_249
*4266 FILLER_63_254
*4267 FILLER_63_265
*4268 FILLER_63_271
*4269 FILLER_63_276
*4270 FILLER_63_281
*4271 FILLER_63_295
*4272 FILLER_63_3
*4273 FILLER_63_306
*4274 FILLER_63_31
*4275 FILLER_63_328
*4276 FILLER_63_343
*4277 FILLER_63_347
*4278 FILLER_63_352
*4279 FILLER_63_363
*4280 FILLER_63_373
*4281 FILLER_63_385
*4282 FILLER_63_391
*4283 FILLER_63_397
*4284 FILLER_63_405
*4285 FILLER_63_424
*4286 FILLER_63_43
*4287 FILLER_63_436
*4288 FILLER_63_444
*4289 FILLER_63_454
*4290 FILLER_63_462
*4291 FILLER_63_469
*4292 FILLER_63_55
*4293 FILLER_63_57
*4294 FILLER_63_69
*4295 FILLER_63_81
*4296 FILLER_63_93
*4297 FILLER_64_109
*4298 FILLER_64_121
*4299 FILLER_64_133
*4300 FILLER_64_139
*4301 FILLER_64_141
*4302 FILLER_64_153
*4303 FILLER_64_165
*4304 FILLER_64_177
*4305 FILLER_64_190
*4306 FILLER_64_207
*4307 FILLER_64_215
*4308 FILLER_64_219
*4309 FILLER_64_231
*4310 FILLER_64_239
*4311 FILLER_64_24
*4312 FILLER_64_248
*4313 FILLER_64_253
*4314 FILLER_64_265
*4315 FILLER_64_275
*4316 FILLER_64_283
*4317 FILLER_64_29
*4318 FILLER_64_292
*4319 FILLER_64_303
*4320 FILLER_64_307
*4321 FILLER_64_309
*4322 FILLER_64_337
*4323 FILLER_64_348
*4324 FILLER_64_357
*4325 FILLER_64_363
*4326 FILLER_64_369
*4327 FILLER_64_381
*4328 FILLER_64_389
*4329 FILLER_64_394
*4330 FILLER_64_406
*4331 FILLER_64_41
*4332 FILLER_64_412
*4333 FILLER_64_416
*4334 FILLER_64_421
*4335 FILLER_64_433
*4336 FILLER_64_444
*4337 FILLER_64_448
*4338 FILLER_64_465
*4339 FILLER_64_53
*4340 FILLER_64_65
*4341 FILLER_64_77
*4342 FILLER_64_83
*4343 FILLER_64_85
*4344 FILLER_64_97
*4345 FILLER_65_105
*4346 FILLER_65_111
*4347 FILLER_65_113
*4348 FILLER_65_12
*4349 FILLER_65_125
*4350 FILLER_65_137
*4351 FILLER_65_149
*4352 FILLER_65_161
*4353 FILLER_65_167
*4354 FILLER_65_169
*4355 FILLER_65_177
*4356 FILLER_65_185
*4357 FILLER_65_194
*4358 FILLER_65_203
*4359 FILLER_65_212
*4360 FILLER_65_220
*4361 FILLER_65_225
*4362 FILLER_65_24
*4363 FILLER_65_253
*4364 FILLER_65_261
*4365 FILLER_65_265
*4366 FILLER_65_276
*4367 FILLER_65_281
*4368 FILLER_65_289
*4369 FILLER_65_296
*4370 FILLER_65_3
*4371 FILLER_65_308
*4372 FILLER_65_320
*4373 FILLER_65_331
*4374 FILLER_65_335
*4375 FILLER_65_343
*4376 FILLER_65_356
*4377 FILLER_65_36
*4378 FILLER_65_376
*4379 FILLER_65_388
*4380 FILLER_65_398
*4381 FILLER_65_422
*4382 FILLER_65_437
*4383 FILLER_65_444
*4384 FILLER_65_453
*4385 FILLER_65_464
*4386 FILLER_65_472
*4387 FILLER_65_48
*4388 FILLER_65_57
*4389 FILLER_65_69
*4390 FILLER_65_81
*4391 FILLER_65_93
*4392 FILLER_66_109
*4393 FILLER_66_121
*4394 FILLER_66_133
*4395 FILLER_66_139
*4396 FILLER_66_141
*4397 FILLER_66_15
*4398 FILLER_66_153
*4399 FILLER_66_181
*4400 FILLER_66_193
*4401 FILLER_66_197
*4402 FILLER_66_204
*4403 FILLER_66_224
*4404 FILLER_66_231
*4405 FILLER_66_235
*4406 FILLER_66_240
*4407 FILLER_66_253
*4408 FILLER_66_261
*4409 FILLER_66_27
*4410 FILLER_66_270
*4411 FILLER_66_279
*4412 FILLER_66_29
*4413 FILLER_66_291
*4414 FILLER_66_3
*4415 FILLER_66_303
*4416 FILLER_66_307
*4417 FILLER_66_309
*4418 FILLER_66_316
*4419 FILLER_66_328
*4420 FILLER_66_340
*4421 FILLER_66_348
*4422 FILLER_66_360
*4423 FILLER_66_369
*4424 FILLER_66_377
*4425 FILLER_66_396
*4426 FILLER_66_402
*4427 FILLER_66_41
*4428 FILLER_66_411
*4429 FILLER_66_419
*4430 FILLER_66_424
*4431 FILLER_66_444
*4432 FILLER_66_469
*4433 FILLER_66_53
*4434 FILLER_66_65
*4435 FILLER_66_77
*4436 FILLER_66_83
*4437 FILLER_66_85
*4438 FILLER_66_97
*4439 FILLER_67_105
*4440 FILLER_67_111
*4441 FILLER_67_113
*4442 FILLER_67_125
*4443 FILLER_67_137
*4444 FILLER_67_149
*4445 FILLER_67_15
*4446 FILLER_67_161
*4447 FILLER_67_167
*4448 FILLER_67_169
*4449 FILLER_67_175
*4450 FILLER_67_187
*4451 FILLER_67_201
*4452 FILLER_67_210
*4453 FILLER_67_222
*4454 FILLER_67_225
*4455 FILLER_67_242
*4456 FILLER_67_250
*4457 FILLER_67_257
*4458 FILLER_67_266
*4459 FILLER_67_27
*4460 FILLER_67_275
*4461 FILLER_67_279
*4462 FILLER_67_287
*4463 FILLER_67_294
*4464 FILLER_67_3
*4465 FILLER_67_306
*4466 FILLER_67_324
*4467 FILLER_67_331
*4468 FILLER_67_335
*4469 FILLER_67_337
*4470 FILLER_67_353
*4471 FILLER_67_357
*4472 FILLER_67_361
*4473 FILLER_67_373
*4474 FILLER_67_388
*4475 FILLER_67_39
*4476 FILLER_67_399
*4477 FILLER_67_407
*4478 FILLER_67_414
*4479 FILLER_67_425
*4480 FILLER_67_441
*4481 FILLER_67_447
*4482 FILLER_67_449
*4483 FILLER_67_457
*4484 FILLER_67_462
*4485 FILLER_67_469
*4486 FILLER_67_51
*4487 FILLER_67_55
*4488 FILLER_67_57
*4489 FILLER_67_69
*4490 FILLER_67_81
*4491 FILLER_67_93
*4492 FILLER_68_109
*4493 FILLER_68_121
*4494 FILLER_68_133
*4495 FILLER_68_139
*4496 FILLER_68_141
*4497 FILLER_68_15
*4498 FILLER_68_153
*4499 FILLER_68_165
*4500 FILLER_68_177
*4501 FILLER_68_189
*4502 FILLER_68_195
*4503 FILLER_68_205
*4504 FILLER_68_209
*4505 FILLER_68_21
*4506 FILLER_68_226
*4507 FILLER_68_238
*4508 FILLER_68_250
*4509 FILLER_68_257
*4510 FILLER_68_27
*4511 FILLER_68_271
*4512 FILLER_68_283
*4513 FILLER_68_3
*4514 FILLER_68_303
*4515 FILLER_68_307
*4516 FILLER_68_309
*4517 FILLER_68_318
*4518 FILLER_68_32
*4519 FILLER_68_338
*4520 FILLER_68_342
*4521 FILLER_68_350
*4522 FILLER_68_354
*4523 FILLER_68_360
*4524 FILLER_68_372
*4525 FILLER_68_384
*4526 FILLER_68_392
*4527 FILLER_68_397
*4528 FILLER_68_409
*4529 FILLER_68_416
*4530 FILLER_68_427
*4531 FILLER_68_435
*4532 FILLER_68_442
*4533 FILLER_68_469
*4534 FILLER_68_50
*4535 FILLER_68_62
*4536 FILLER_68_74
*4537 FILLER_68_82
*4538 FILLER_68_85
*4539 FILLER_68_97
*4540 FILLER_69_105
*4541 FILLER_69_111
*4542 FILLER_69_113
*4543 FILLER_69_128
*4544 FILLER_69_140
*4545 FILLER_69_152
*4546 FILLER_69_164
*4547 FILLER_69_169
*4548 FILLER_69_181
*4549 FILLER_69_189
*4550 FILLER_69_207
*4551 FILLER_69_214
*4552 FILLER_69_222
*4553 FILLER_69_225
*4554 FILLER_69_237
*4555 FILLER_69_265
*4556 FILLER_69_277
*4557 FILLER_69_297
*4558 FILLER_69_3
*4559 FILLER_69_30
*4560 FILLER_69_309
*4561 FILLER_69_317
*4562 FILLER_69_327
*4563 FILLER_69_335
*4564 FILLER_69_342
*4565 FILLER_69_353
*4566 FILLER_69_36
*4567 FILLER_69_361
*4568 FILLER_69_379
*4569 FILLER_69_391
*4570 FILLER_69_409
*4571 FILLER_69_420
*4572 FILLER_69_444
*4573 FILLER_69_449
*4574 FILLER_69_461
*4575 FILLER_69_465
*4576 FILLER_69_49
*4577 FILLER_69_55
*4578 FILLER_69_57
*4579 FILLER_69_69
*4580 FILLER_69_81
*4581 FILLER_69_93
*4582 FILLER_6_109
*4583 FILLER_6_121
*4584 FILLER_6_13
*4585 FILLER_6_133
*4586 FILLER_6_139
*4587 FILLER_6_141
*4588 FILLER_6_153
*4589 FILLER_6_165
*4590 FILLER_6_17
*4591 FILLER_6_177
*4592 FILLER_6_189
*4593 FILLER_6_195
*4594 FILLER_6_197
*4595 FILLER_6_209
*4596 FILLER_6_21
*4597 FILLER_6_221
*4598 FILLER_6_233
*4599 FILLER_6_245
*4600 FILLER_6_251
*4601 FILLER_6_253
*4602 FILLER_6_265
*4603 FILLER_6_27
*4604 FILLER_6_277
*4605 FILLER_6_289
*4606 FILLER_6_301
*4607 FILLER_6_307
*4608 FILLER_6_309
*4609 FILLER_6_32
*4610 FILLER_6_321
*4611 FILLER_6_333
*4612 FILLER_6_345
*4613 FILLER_6_357
*4614 FILLER_6_363
*4615 FILLER_6_365
*4616 FILLER_6_377
*4617 FILLER_6_389
*4618 FILLER_6_39
*4619 FILLER_6_401
*4620 FILLER_6_413
*4621 FILLER_6_419
*4622 FILLER_6_421
*4623 FILLER_6_433
*4624 FILLER_6_445
*4625 FILLER_6_469
*4626 FILLER_6_51
*4627 FILLER_6_6
*4628 FILLER_6_63
*4629 FILLER_6_75
*4630 FILLER_6_83
*4631 FILLER_6_85
*4632 FILLER_6_97
*4633 FILLER_70_100
*4634 FILLER_70_112
*4635 FILLER_70_128
*4636 FILLER_70_13
*4637 FILLER_70_135
*4638 FILLER_70_139
*4639 FILLER_70_141
*4640 FILLER_70_153
*4641 FILLER_70_165
*4642 FILLER_70_177
*4643 FILLER_70_189
*4644 FILLER_70_195
*4645 FILLER_70_197
*4646 FILLER_70_20
*4647 FILLER_70_209
*4648 FILLER_70_221
*4649 FILLER_70_233
*4650 FILLER_70_245
*4651 FILLER_70_251
*4652 FILLER_70_253
*4653 FILLER_70_265
*4654 FILLER_70_277
*4655 FILLER_70_285
*4656 FILLER_70_29
*4657 FILLER_70_291
*4658 FILLER_70_3
*4659 FILLER_70_303
*4660 FILLER_70_307
*4661 FILLER_70_309
*4662 FILLER_70_321
*4663 FILLER_70_327
*4664 FILLER_70_335
*4665 FILLER_70_34
*4666 FILLER_70_340
*4667 FILLER_70_360
*4668 FILLER_70_365
*4669 FILLER_70_372
*4670 FILLER_70_384
*4671 FILLER_70_394
*4672 FILLER_70_416
*4673 FILLER_70_424
*4674 FILLER_70_432
*4675 FILLER_70_444
*4676 FILLER_70_469
*4677 FILLER_70_58
*4678 FILLER_70_71
*4679 FILLER_70_83
*4680 FILLER_70_85
*4681 FILLER_70_9
*4682 FILLER_71_108
*4683 FILLER_71_113
*4684 FILLER_71_137
*4685 FILLER_71_144
*4686 FILLER_71_15
*4687 FILLER_71_156
*4688 FILLER_71_169
*4689 FILLER_71_181
*4690 FILLER_71_193
*4691 FILLER_71_205
*4692 FILLER_71_217
*4693 FILLER_71_22
*4694 FILLER_71_223
*4695 FILLER_71_225
*4696 FILLER_71_237
*4697 FILLER_71_249
*4698 FILLER_71_261
*4699 FILLER_71_273
*4700 FILLER_71_279
*4701 FILLER_71_281
*4702 FILLER_71_29
*4703 FILLER_71_293
*4704 FILLER_71_3
*4705 FILLER_71_305
*4706 FILLER_71_317
*4707 FILLER_71_329
*4708 FILLER_71_335
*4709 FILLER_71_337
*4710 FILLER_71_347
*4711 FILLER_71_354
*4712 FILLER_71_366
*4713 FILLER_71_378
*4714 FILLER_71_390
*4715 FILLER_71_393
*4716 FILLER_71_405
*4717 FILLER_71_417
*4718 FILLER_71_444
*4719 FILLER_71_449
*4720 FILLER_71_455
*4721 FILLER_71_462
*4722 FILLER_71_469
*4723 FILLER_71_49
*4724 FILLER_71_55
*4725 FILLER_71_60
*4726 FILLER_71_72
*4727 FILLER_71_8
*4728 FILLER_71_96
*4729 FILLER_72_105
*4730 FILLER_72_115
*4731 FILLER_72_131
*4732 FILLER_72_139
*4733 FILLER_72_141
*4734 FILLER_72_148
*4735 FILLER_72_155
*4736 FILLER_72_167
*4737 FILLER_72_179
*4738 FILLER_72_191
*4739 FILLER_72_195
*4740 FILLER_72_197
*4741 FILLER_72_209
*4742 FILLER_72_221
*4743 FILLER_72_233
*4744 FILLER_72_237
*4745 FILLER_72_24
*4746 FILLER_72_244
*4747 FILLER_72_256
*4748 FILLER_72_268
*4749 FILLER_72_280
*4750 FILLER_72_295
*4751 FILLER_72_307
*4752 FILLER_72_309
*4753 FILLER_72_32
*4754 FILLER_72_321
*4755 FILLER_72_333
*4756 FILLER_72_345
*4757 FILLER_72_357
*4758 FILLER_72_363
*4759 FILLER_72_368
*4760 FILLER_72_372
*4761 FILLER_72_38
*4762 FILLER_72_394
*4763 FILLER_72_401
*4764 FILLER_72_408
*4765 FILLER_72_424
*4766 FILLER_72_436
*4767 FILLER_72_469
*4768 FILLER_72_60
*4769 FILLER_72_72
*4770 FILLER_72_85
*4771 FILLER_72_93
*4772 FILLER_72_99
*4773 FILLER_73_104
*4774 FILLER_73_108
*4775 FILLER_73_113
*4776 FILLER_73_117
*4777 FILLER_73_139
*4778 FILLER_73_164
*4779 FILLER_73_169
*4780 FILLER_73_181
*4781 FILLER_73_193
*4782 FILLER_73_205
*4783 FILLER_73_213
*4784 FILLER_73_217
*4785 FILLER_73_223
*4786 FILLER_73_225
*4787 FILLER_73_233
*4788 FILLER_73_255
*4789 FILLER_73_267
*4790 FILLER_73_276
*4791 FILLER_73_284
*4792 FILLER_73_29
*4793 FILLER_73_3
*4794 FILLER_73_311
*4795 FILLER_73_319
*4796 FILLER_73_323
*4797 FILLER_73_335
*4798 FILLER_73_337
*4799 FILLER_73_352
*4800 FILLER_73_356
*4801 FILLER_73_37
*4802 FILLER_73_378
*4803 FILLER_73_385
*4804 FILLER_73_391
*4805 FILLER_73_393
*4806 FILLER_73_397
*4807 FILLER_73_401
*4808 FILLER_73_42
*4809 FILLER_73_423
*4810 FILLER_73_435
*4811 FILLER_73_447
*4812 FILLER_73_449
*4813 FILLER_73_454
*4814 FILLER_73_461
*4815 FILLER_73_468
*4816 FILLER_73_472
*4817 FILLER_73_49
*4818 FILLER_73_55
*4819 FILLER_73_7
*4820 FILLER_73_78
*4821 FILLER_73_90
*4822 FILLER_73_94
*4823 FILLER_73_98
*4824 FILLER_74_110
*4825 FILLER_74_135
*4826 FILLER_74_139
*4827 FILLER_74_141
*4828 FILLER_74_165
*4829 FILLER_74_172
*4830 FILLER_74_184
*4831 FILLER_74_197
*4832 FILLER_74_209
*4833 FILLER_74_213
*4834 FILLER_74_235
*4835 FILLER_74_24
*4836 FILLER_74_243
*4837 FILLER_74_248
*4838 FILLER_74_274
*4839 FILLER_74_29
*4840 FILLER_74_299
*4841 FILLER_74_307
*4842 FILLER_74_309
*4843 FILLER_74_317
*4844 FILLER_74_340
*4845 FILLER_74_351
*4846 FILLER_74_36
*4847 FILLER_74_360
*4848 FILLER_74_365
*4849 FILLER_74_376
*4850 FILLER_74_383
*4851 FILLER_74_408
*4852 FILLER_74_421
*4853 FILLER_74_443
*4854 FILLER_74_447
*4855 FILLER_74_469
*4856 FILLER_74_61
*4857 FILLER_74_68
*4858 FILLER_74_80
*4859 FILLER_74_85
*4860 FILLER_75_108
*4861 FILLER_75_113
*4862 FILLER_75_118
*4863 FILLER_75_125
*4864 FILLER_75_129
*4865 FILLER_75_13
*4866 FILLER_75_133
*4867 FILLER_75_139
*4868 FILLER_75_162
*4869 FILLER_75_169
*4870 FILLER_75_181
*4871 FILLER_75_193
*4872 FILLER_75_197
*4873 FILLER_75_20
*4874 FILLER_75_209
*4875 FILLER_75_213
*4876 FILLER_75_217
*4877 FILLER_75_223
*4878 FILLER_75_225
*4879 FILLER_75_233
*4880 FILLER_75_237
*4881 FILLER_75_244
*4882 FILLER_75_274
*4883 FILLER_75_281
*4884 FILLER_75_289
*4885 FILLER_75_296
*4886 FILLER_75_3
*4887 FILLER_75_309
*4888 FILLER_75_317
*4889 FILLER_75_322
*4890 FILLER_75_334
*4891 FILLER_75_337
*4892 FILLER_75_360
*4893 FILLER_75_365
*4894 FILLER_75_377
*4895 FILLER_75_389
*4896 FILLER_75_393
*4897 FILLER_75_405
*4898 FILLER_75_417
*4899 FILLER_75_421
*4900 FILLER_75_426
*4901 FILLER_75_438
*4902 FILLER_75_446
*4903 FILLER_75_449
*4904 FILLER_75_453
*4905 FILLER_75_457
*4906 FILLER_75_461
*4907 FILLER_75_465
*4908 FILLER_75_50
*4909 FILLER_75_60
*4910 FILLER_75_72
*4911 FILLER_75_77
*4912 FILLER_75_83
*4913 FILLER_75_85
*4914 FILLER_75_97
*4915 FILLER_7_105
*4916 FILLER_7_111
*4917 FILLER_7_113
*4918 FILLER_7_125
*4919 FILLER_7_137
*4920 FILLER_7_149
*4921 FILLER_7_161
*4922 FILLER_7_167
*4923 FILLER_7_169
*4924 FILLER_7_181
*4925 FILLER_7_193
*4926 FILLER_7_205
*4927 FILLER_7_217
*4928 FILLER_7_223
*4929 FILLER_7_225
*4930 FILLER_7_237
*4931 FILLER_7_249
*4932 FILLER_7_261
*4933 FILLER_7_27
*4934 FILLER_7_273
*4935 FILLER_7_279
*4936 FILLER_7_281
*4937 FILLER_7_293
*4938 FILLER_7_3
*4939 FILLER_7_305
*4940 FILLER_7_317
*4941 FILLER_7_329
*4942 FILLER_7_335
*4943 FILLER_7_337
*4944 FILLER_7_349
*4945 FILLER_7_361
*4946 FILLER_7_373
*4947 FILLER_7_385
*4948 FILLER_7_39
*4949 FILLER_7_391
*4950 FILLER_7_393
*4951 FILLER_7_405
*4952 FILLER_7_417
*4953 FILLER_7_429
*4954 FILLER_7_441
*4955 FILLER_7_447
*4956 FILLER_7_449
*4957 FILLER_7_461
*4958 FILLER_7_465
*4959 FILLER_7_51
*4960 FILLER_7_55
*4961 FILLER_7_57
*4962 FILLER_7_69
*4963 FILLER_7_81
*4964 FILLER_7_93
*4965 FILLER_8_10
*4966 FILLER_8_109
*4967 FILLER_8_121
*4968 FILLER_8_133
*4969 FILLER_8_139
*4970 FILLER_8_141
*4971 FILLER_8_153
*4972 FILLER_8_165
*4973 FILLER_8_177
*4974 FILLER_8_189
*4975 FILLER_8_195
*4976 FILLER_8_197
*4977 FILLER_8_209
*4978 FILLER_8_22
*4979 FILLER_8_221
*4980 FILLER_8_233
*4981 FILLER_8_245
*4982 FILLER_8_251
*4983 FILLER_8_253
*4984 FILLER_8_265
*4985 FILLER_8_277
*4986 FILLER_8_289
*4987 FILLER_8_3
*4988 FILLER_8_301
*4989 FILLER_8_307
*4990 FILLER_8_309
*4991 FILLER_8_321
*4992 FILLER_8_333
*4993 FILLER_8_345
*4994 FILLER_8_357
*4995 FILLER_8_363
*4996 FILLER_8_365
*4997 FILLER_8_377
*4998 FILLER_8_389
*4999 FILLER_8_401
*5000 FILLER_8_413
*5001 FILLER_8_419
*5002 FILLER_8_421
*5003 FILLER_8_433
*5004 FILLER_8_445
*5005 FILLER_8_469
*5006 FILLER_8_50
*5007 FILLER_8_62
*5008 FILLER_8_74
*5009 FILLER_8_82
*5010 FILLER_8_85
*5011 FILLER_8_97
*5012 FILLER_9_105
*5013 FILLER_9_111
*5014 FILLER_9_113
*5015 FILLER_9_125
*5016 FILLER_9_137
*5017 FILLER_9_149
*5018 FILLER_9_15
*5019 FILLER_9_161
*5020 FILLER_9_167
*5021 FILLER_9_169
*5022 FILLER_9_181
*5023 FILLER_9_193
*5024 FILLER_9_205
*5025 FILLER_9_217
*5026 FILLER_9_223
*5027 FILLER_9_225
*5028 FILLER_9_23
*5029 FILLER_9_237
*5030 FILLER_9_249
*5031 FILLER_9_261
*5032 FILLER_9_273
*5033 FILLER_9_279
*5034 FILLER_9_28
*5035 FILLER_9_281
*5036 FILLER_9_293
*5037 FILLER_9_3
*5038 FILLER_9_305
*5039 FILLER_9_317
*5040 FILLER_9_329
*5041 FILLER_9_335
*5042 FILLER_9_337
*5043 FILLER_9_349
*5044 FILLER_9_35
*5045 FILLER_9_361
*5046 FILLER_9_373
*5047 FILLER_9_385
*5048 FILLER_9_391
*5049 FILLER_9_393
*5050 FILLER_9_405
*5051 FILLER_9_417
*5052 FILLER_9_429
*5053 FILLER_9_441
*5054 FILLER_9_447
*5055 FILLER_9_449
*5056 FILLER_9_464
*5057 FILLER_9_47
*5058 FILLER_9_472
*5059 FILLER_9_55
*5060 FILLER_9_57
*5061 FILLER_9_69
*5062 FILLER_9_81
*5063 FILLER_9_93
*5064 PHY_0
*5065 PHY_1
*5066 PHY_10
*5067 PHY_100
*5068 PHY_101
*5069 PHY_102
*5070 PHY_103
*5071 PHY_104
*5072 PHY_105
*5073 PHY_106
*5074 PHY_107
*5075 PHY_108
*5076 PHY_109
*5077 PHY_11
*5078 PHY_110
*5079 PHY_111
*5080 PHY_112
*5081 PHY_113
*5082 PHY_114
*5083 PHY_115
*5084 PHY_116
*5085 PHY_117
*5086 PHY_118
*5087 PHY_119
*5088 PHY_12
*5089 PHY_120
*5090 PHY_121
*5091 PHY_122
*5092 PHY_123
*5093 PHY_124
*5094 PHY_125
*5095 PHY_126
*5096 PHY_127
*5097 PHY_128
*5098 PHY_129
*5099 PHY_13
*5100 PHY_130
*5101 PHY_131
*5102 PHY_132
*5103 PHY_133
*5104 PHY_134
*5105 PHY_135
*5106 PHY_136
*5107 PHY_137
*5108 PHY_138
*5109 PHY_139
*5110 PHY_14
*5111 PHY_140
*5112 PHY_141
*5113 PHY_142
*5114 PHY_143
*5115 PHY_144
*5116 PHY_145
*5117 PHY_146
*5118 PHY_147
*5119 PHY_148
*5120 PHY_149
*5121 PHY_15
*5122 PHY_150
*5123 PHY_151
*5124 PHY_16
*5125 PHY_17
*5126 PHY_18
*5127 PHY_19
*5128 PHY_2
*5129 PHY_20
*5130 PHY_21
*5131 PHY_22
*5132 PHY_23
*5133 PHY_24
*5134 PHY_25
*5135 PHY_26
*5136 PHY_27
*5137 PHY_28
*5138 PHY_29
*5139 PHY_3
*5140 PHY_30
*5141 PHY_31
*5142 PHY_32
*5143 PHY_33
*5144 PHY_34
*5145 PHY_35
*5146 PHY_36
*5147 PHY_37
*5148 PHY_38
*5149 PHY_39
*5150 PHY_4
*5151 PHY_40
*5152 PHY_41
*5153 PHY_42
*5154 PHY_43
*5155 PHY_44
*5156 PHY_45
*5157 PHY_46
*5158 PHY_47
*5159 PHY_48
*5160 PHY_49
*5161 PHY_5
*5162 PHY_50
*5163 PHY_51
*5164 PHY_52
*5165 PHY_53
*5166 PHY_54
*5167 PHY_55
*5168 PHY_56
*5169 PHY_57
*5170 PHY_58
*5171 PHY_59
*5172 PHY_6
*5173 PHY_60
*5174 PHY_61
*5175 PHY_62
*5176 PHY_63
*5177 PHY_64
*5178 PHY_65
*5179 PHY_66
*5180 PHY_67
*5181 PHY_68
*5182 PHY_69
*5183 PHY_7
*5184 PHY_70
*5185 PHY_71
*5186 PHY_72
*5187 PHY_73
*5188 PHY_74
*5189 PHY_75
*5190 PHY_76
*5191 PHY_77
*5192 PHY_78
*5193 PHY_79
*5194 PHY_8
*5195 PHY_80
*5196 PHY_81
*5197 PHY_82
*5198 PHY_83
*5199 PHY_84
*5200 PHY_85
*5201 PHY_86
*5202 PHY_87
*5203 PHY_88
*5204 PHY_89
*5205 PHY_9
*5206 PHY_90
*5207 PHY_91
*5208 PHY_92
*5209 PHY_93
*5210 PHY_94
*5211 PHY_95
*5212 PHY_96
*5213 PHY_97
*5214 PHY_98
*5215 PHY_99
*5216 TAP_152
*5217 TAP_153
*5218 TAP_154
*5219 TAP_155
*5220 TAP_156
*5221 TAP_157
*5222 TAP_158
*5223 TAP_159
*5224 TAP_160
*5225 TAP_161
*5226 TAP_162
*5227 TAP_163
*5228 TAP_164
*5229 TAP_165
*5230 TAP_166
*5231 TAP_167
*5232 TAP_168
*5233 TAP_169
*5234 TAP_170
*5235 TAP_171
*5236 TAP_172
*5237 TAP_173
*5238 TAP_174
*5239 TAP_175
*5240 TAP_176
*5241 TAP_177
*5242 TAP_178
*5243 TAP_179
*5244 TAP_180
*5245 TAP_181
*5246 TAP_182
*5247 TAP_183
*5248 TAP_184
*5249 TAP_185
*5250 TAP_186
*5251 TAP_187
*5252 TAP_188
*5253 TAP_189
*5254 TAP_190
*5255 TAP_191
*5256 TAP_192
*5257 TAP_193
*5258 TAP_194
*5259 TAP_195
*5260 TAP_196
*5261 TAP_197
*5262 TAP_198
*5263 TAP_199
*5264 TAP_200
*5265 TAP_201
*5266 TAP_202
*5267 TAP_203
*5268 TAP_204
*5269 TAP_205
*5270 TAP_206
*5271 TAP_207
*5272 TAP_208
*5273 TAP_209
*5274 TAP_210
*5275 TAP_211
*5276 TAP_212
*5277 TAP_213
*5278 TAP_214
*5279 TAP_215
*5280 TAP_216
*5281 TAP_217
*5282 TAP_218
*5283 TAP_219
*5284 TAP_220
*5285 TAP_221
*5286 TAP_222
*5287 TAP_223
*5288 TAP_224
*5289 TAP_225
*5290 TAP_226
*5291 TAP_227
*5292 TAP_228
*5293 TAP_229
*5294 TAP_230
*5295 TAP_231
*5296 TAP_232
*5297 TAP_233
*5298 TAP_234
*5299 TAP_235
*5300 TAP_236
*5301 TAP_237
*5302 TAP_238
*5303 TAP_239
*5304 TAP_240
*5305 TAP_241
*5306 TAP_242
*5307 TAP_243
*5308 TAP_244
*5309 TAP_245
*5310 TAP_246
*5311 TAP_247
*5312 TAP_248
*5313 TAP_249
*5314 TAP_250
*5315 TAP_251
*5316 TAP_252
*5317 TAP_253
*5318 TAP_254
*5319 TAP_255
*5320 TAP_256
*5321 TAP_257
*5322 TAP_258
*5323 TAP_259
*5324 TAP_260
*5325 TAP_261
*5326 TAP_262
*5327 TAP_263
*5328 TAP_264
*5329 TAP_265
*5330 TAP_266
*5331 TAP_267
*5332 TAP_268
*5333 TAP_269
*5334 TAP_270
*5335 TAP_271
*5336 TAP_272
*5337 TAP_273
*5338 TAP_274
*5339 TAP_275
*5340 TAP_276
*5341 TAP_277
*5342 TAP_278
*5343 TAP_279
*5344 TAP_280
*5345 TAP_281
*5346 TAP_282
*5347 TAP_283
*5348 TAP_284
*5349 TAP_285
*5350 TAP_286
*5351 TAP_287
*5352 TAP_288
*5353 TAP_289
*5354 TAP_290
*5355 TAP_291
*5356 TAP_292
*5357 TAP_293
*5358 TAP_294
*5359 TAP_295
*5360 TAP_296
*5361 TAP_297
*5362 TAP_298
*5363 TAP_299
*5364 TAP_300
*5365 TAP_301
*5366 TAP_302
*5367 TAP_303
*5368 TAP_304
*5369 TAP_305
*5370 TAP_306
*5371 TAP_307
*5372 TAP_308
*5373 TAP_309
*5374 TAP_310
*5375 TAP_311
*5376 TAP_312
*5377 TAP_313
*5378 TAP_314
*5379 TAP_315
*5380 TAP_316
*5381 TAP_317
*5382 TAP_318
*5383 TAP_319
*5384 TAP_320
*5385 TAP_321
*5386 TAP_322
*5387 TAP_323
*5388 TAP_324
*5389 TAP_325
*5390 TAP_326
*5391 TAP_327
*5392 TAP_328
*5393 TAP_329
*5394 TAP_330
*5395 TAP_331
*5396 TAP_332
*5397 TAP_333
*5398 TAP_334
*5399 TAP_335
*5400 TAP_336
*5401 TAP_337
*5402 TAP_338
*5403 TAP_339
*5404 TAP_340
*5405 TAP_341
*5406 TAP_342
*5407 TAP_343
*5408 TAP_344
*5409 TAP_345
*5410 TAP_346
*5411 TAP_347
*5412 TAP_348
*5413 TAP_349
*5414 TAP_350
*5415 TAP_351
*5416 TAP_352
*5417 TAP_353
*5418 TAP_354
*5419 TAP_355
*5420 TAP_356
*5421 TAP_357
*5422 TAP_358
*5423 TAP_359
*5424 TAP_360
*5425 TAP_361
*5426 TAP_362
*5427 TAP_363
*5428 TAP_364
*5429 TAP_365
*5430 TAP_366
*5431 TAP_367
*5432 TAP_368
*5433 TAP_369
*5434 TAP_370
*5435 TAP_371
*5436 TAP_372
*5437 TAP_373
*5438 TAP_374
*5439 TAP_375
*5440 TAP_376
*5441 TAP_377
*5442 TAP_378
*5443 TAP_379
*5444 TAP_380
*5445 TAP_381
*5446 TAP_382
*5447 TAP_383
*5448 TAP_384
*5449 TAP_385
*5450 TAP_386
*5451 TAP_387
*5452 TAP_388
*5453 TAP_389
*5454 TAP_390
*5455 TAP_391
*5456 TAP_392
*5457 TAP_393
*5458 TAP_394
*5459 TAP_395
*5460 TAP_396
*5461 TAP_397
*5462 TAP_398
*5463 TAP_399
*5464 TAP_400
*5465 TAP_401
*5466 TAP_402
*5467 TAP_403
*5468 TAP_404
*5469 TAP_405
*5470 TAP_406
*5471 TAP_407
*5472 TAP_408
*5473 TAP_409
*5474 TAP_410
*5475 TAP_411
*5476 TAP_412
*5477 TAP_413
*5478 TAP_414
*5479 TAP_415
*5480 TAP_416
*5481 TAP_417
*5482 TAP_418
*5483 TAP_419
*5484 TAP_420
*5485 TAP_421
*5486 TAP_422
*5487 TAP_423
*5488 TAP_424
*5489 TAP_425
*5490 TAP_426
*5491 TAP_427
*5492 TAP_428
*5493 TAP_429
*5494 TAP_430
*5495 TAP_431
*5496 TAP_432
*5497 TAP_433
*5498 TAP_434
*5499 TAP_435
*5500 TAP_436
*5501 TAP_437
*5502 TAP_438
*5503 TAP_439
*5504 TAP_440
*5505 TAP_441
*5506 TAP_442
*5507 TAP_443
*5508 TAP_444
*5509 TAP_445
*5510 TAP_446
*5511 TAP_447
*5512 TAP_448
*5513 TAP_449
*5514 TAP_450
*5515 TAP_451
*5516 TAP_452
*5517 TAP_453
*5518 TAP_454
*5519 TAP_455
*5520 TAP_456
*5521 TAP_457
*5522 TAP_458
*5523 TAP_459
*5524 TAP_460
*5525 TAP_461
*5526 TAP_462
*5527 TAP_463
*5528 TAP_464
*5529 TAP_465
*5530 TAP_466
*5531 TAP_467
*5532 TAP_468
*5533 TAP_469
*5534 TAP_470
*5535 TAP_471
*5536 TAP_472
*5537 TAP_473
*5538 TAP_474
*5539 TAP_475
*5540 TAP_476
*5541 TAP_477
*5542 TAP_478
*5543 TAP_479
*5544 TAP_480
*5545 TAP_481
*5546 TAP_482
*5547 TAP_483
*5548 TAP_484
*5549 TAP_485
*5550 TAP_486
*5551 TAP_487
*5552 TAP_488
*5553 TAP_489
*5554 TAP_490
*5555 TAP_491
*5556 TAP_492
*5557 TAP_493
*5558 TAP_494
*5559 TAP_495
*5560 TAP_496
*5561 TAP_497
*5562 TAP_498
*5563 TAP_499
*5564 TAP_500
*5565 TAP_501
*5566 TAP_502
*5567 TAP_503
*5568 TAP_504
*5569 TAP_505
*5570 TAP_506
*5571 TAP_507
*5572 TAP_508
*5573 TAP_509
*5574 TAP_510
*5575 TAP_511
*5576 TAP_512
*5577 TAP_513
*5578 TAP_514
*5579 TAP_515
*5580 TAP_516
*5581 TAP_517
*5582 TAP_518
*5583 TAP_519
*5584 TAP_520
*5585 TAP_521
*5586 TAP_522
*5587 TAP_523
*5588 TAP_524
*5589 TAP_525
*5590 TAP_526
*5591 TAP_527
*5592 TAP_528
*5593 TAP_529
*5594 TAP_530
*5595 TAP_531
*5596 TAP_532
*5597 TAP_533
*5598 TAP_534
*5599 TAP_535
*5600 TAP_536
*5601 TAP_537
*5602 TAP_538
*5603 TAP_539
*5604 TAP_540
*5605 TAP_541
*5606 TAP_542
*5607 TAP_543
*5608 TAP_544
*5609 TAP_545
*5610 TAP_546
*5611 TAP_547
*5612 TAP_548
*5613 TAP_549
*5614 TAP_550
*5615 TAP_551
*5616 TAP_552
*5617 TAP_553
*5618 TAP_554
*5619 TAP_555
*5620 TAP_556
*5621 TAP_557
*5622 TAP_558
*5623 TAP_559
*5624 TAP_560
*5625 TAP_561
*5626 TAP_562
*5627 TAP_563
*5628 TAP_564
*5629 TAP_565
*5630 TAP_566
*5631 TAP_567
*5632 TAP_568
*5633 TAP_569
*5634 TAP_570
*5635 TAP_571
*5636 TAP_572
*5637 TAP_573
*5638 TAP_574
*5639 TAP_575
*5640 TAP_576
*5641 TAP_577
*5642 TAP_578
*5643 TAP_579
*5644 TAP_580
*5645 TAP_581
*5646 TAP_582
*5647 TAP_583
*5648 TAP_584
*5649 TAP_585
*5650 TAP_586
*5651 TAP_587
*5652 TAP_588
*5653 TAP_589
*5654 TAP_590
*5655 TAP_591
*5656 TAP_592
*5657 TAP_593
*5658 TAP_594
*5659 TAP_595
*5660 TAP_596
*5661 TAP_597
*5662 TAP_598
*5663 TAP_599
*5664 TAP_600
*5665 TAP_601
*5666 TAP_602
*5667 TAP_603
*5668 TAP_604
*5669 TAP_605
*5670 TAP_606
*5671 TAP_607
*5672 TAP_608
*5673 TAP_609
*5674 TAP_610
*5675 TAP_611
*5676 TAP_612
*5677 TAP_613
*5678 TAP_614
*5679 TAP_615
*5680 TAP_616
*5681 TAP_617
*5682 TAP_618
*5683 TAP_619
*5684 TAP_620
*5685 TAP_621
*5686 TAP_622
*5687 TAP_623
*5688 TAP_624
*5689 TAP_625
*5690 TAP_626
*5691 TAP_627
*5692 TAP_628
*5693 TAP_629
*5694 TAP_630
*5695 TAP_631
*5696 TAP_632
*5697 TAP_633
*5698 TAP_634
*5699 TAP_635
*5700 TAP_636
*5701 TAP_637
*5702 TAP_638
*5703 TAP_639
*5704 TAP_640
*5705 TAP_641
*5706 TAP_642
*5707 TAP_643
*5708 TAP_644
*5709 TAP_645
*5710 TAP_646
*5711 TAP_647
*5712 TAP_648
*5713 TAP_649
*5714 TAP_650
*5715 TAP_651
*5716 TAP_652
*5717 TAP_653
*5718 TAP_654
*5719 TAP_655
*5720 TAP_656
*5721 TAP_657
*5722 TAP_658
*5723 TAP_659
*5724 TAP_660
*5725 TAP_661
*5726 TAP_662
*5727 TAP_663
*5728 TAP_664
*5729 TAP_665
*5730 TAP_666
*5731 TAP_667
*5732 TAP_668
*5733 TAP_669
*5734 TAP_670
*5735 TAP_671
*5736 TAP_672
*5737 TAP_673
*5738 TAP_674
*5739 TAP_675
*5740 TAP_676
*5741 TAP_677
*5742 TAP_678
*5743 TAP_679
*5744 TAP_680
*5745 TAP_681
*5746 TAP_682
*5747 TAP_683
*5748 TAP_684
*5749 TAP_685
*5750 TAP_686
*5751 TAP_687
*5752 TAP_688
*5753 TAP_689
*5754 TAP_690
*5755 TAP_691
*5756 TAP_692
*5757 TAP_693
*5758 TAP_694
*5759 TAP_695
*5760 TAP_696
*5761 TAP_697
*5762 TAP_698
*5763 TAP_699
*5764 TAP_700
*5765 TAP_701
*5766 TAP_702
*5767 TAP_703
*5768 TAP_704
*5769 TAP_705
*5770 TAP_706
*5771 TAP_707
*5772 TAP_708
*5773 TAP_709
*5774 TAP_710
*5775 TAP_711
*5776 TAP_712
*5777 TAP_713
*5778 TAP_714
*5779 TAP_715
*5780 TAP_716
*5781 TAP_717
*5782 TAP_718
*5783 TAP_719
*5784 TAP_720
*5785 TAP_721
*5786 TAP_722
*5787 TAP_723
*5788 TAP_724
*5789 TAP_725
*5790 TAP_726
*5791 TAP_727
*5792 TAP_728
*5793 TAP_729
*5794 TAP_730
*5795 TAP_731
*5796 TAP_732
*5797 TAP_733
*5798 TAP_734
*5799 TAP_735
*5800 TAP_736
*5801 TAP_737
*5802 TAP_738
*5803 TAP_739
*5804 TAP_740
*5805 TAP_741
*5806 TAP_742
*5807 TAP_743
*5808 TAP_744
*5809 TAP_745
*5810 TAP_746
*5811 TAP_747
*5812 TAP_748
*5813 TAP_749
*5814 TAP_750
*5815 TAP_751
*5816 TAP_752
*5817 TAP_753
*5818 TAP_754
*5819 TAP_755
*5820 TAP_756
*5821 TAP_757
*5822 TAP_758
*5823 TAP_759
*5824 TAP_760
*5825 TAP_761
*5826 TAP_762
*5827 TAP_763
*5828 TAP_764
*5829 TAP_765
*5830 TAP_766
*5831 TAP_767
*5832 TAP_768
*5833 TAP_769
*5834 TAP_770
*5835 TAP_771
*5836 TAP_772
*5837 TAP_773
*5838 TAP_774
*5839 TAP_775
*5840 _0951_
*5841 _0952_
*5842 _0953_
*5843 _0954_
*5844 _0955_
*5845 _0956_
*5846 _0957_
*5847 _0958_
*5848 _0959_
*5849 _0960_
*5850 _0961_
*5851 _0962_
*5852 _0963_
*5853 _0964_
*5854 _0965_
*5855 _0966_
*5856 _0967_
*5857 _0968_
*5858 _0969_
*5859 _0970_
*5860 _0971_
*5861 _0972_
*5862 _0973_
*5863 _0974_
*5864 _0975_
*5865 _0976_
*5866 _0977_
*5867 _0978_
*5868 _0979_
*5869 _0980_
*5870 _0981_
*5871 _0982_
*5872 _0983_
*5873 _0984_
*5874 _0985_
*5875 _0986_
*5876 _0987_
*5877 _0988_
*5878 _0989_
*5879 _0990_
*5880 _0991_
*5881 _0992_
*5882 _0993_
*5883 _0994_
*5884 _0995_
*5885 _0996_
*5886 _0997_
*5887 _0998_
*5888 _0999_
*5889 _1000_
*5890 _1001_
*5891 _1002_
*5892 _1003_
*5893 _1004_
*5894 _1005_
*5895 _1006_
*5896 _1007_
*5897 _1008_
*5898 _1009_
*5899 _1010_
*5900 _1011_
*5901 _1012_
*5902 _1013_
*5903 _1014_
*5904 _1015_
*5905 _1016_
*5906 _1017_
*5907 _1018_
*5908 _1019_
*5909 _1020_
*5910 _1021_
*5911 _1022_
*5912 _1023_
*5913 _1024_
*5914 _1025_
*5915 _1026_
*5916 _1027_
*5917 _1028_
*5918 _1029_
*5919 _1030_
*5920 _1031_
*5921 _1032_
*5922 _1033_
*5923 _1034_
*5924 _1035_
*5925 _1036_
*5926 _1037_
*5927 _1038_
*5928 _1039_
*5929 _1040_
*5930 _1041_
*5931 _1042_
*5932 _1043_
*5933 _1044_
*5934 _1045_
*5935 _1046_
*5936 _1047_
*5937 _1048_
*5938 _1049_
*5939 _1050_
*5940 _1051_
*5941 _1052_
*5942 _1053_
*5943 _1054_
*5944 _1055_
*5945 _1056_
*5946 _1057_
*5947 _1058_
*5948 _1059_
*5949 _1060_
*5950 _1061_
*5951 _1062_
*5952 _1063_
*5953 _1064_
*5954 _1065_
*5955 _1066_
*5956 _1067_
*5957 _1068_
*5958 _1069_
*5959 _1070_
*5960 _1071_
*5961 _1072_
*5962 _1073_
*5963 _1074_
*5964 _1075_
*5965 _1076_
*5966 _1077_
*5967 _1078_
*5968 _1079_
*5969 _1080_
*5970 _1081_
*5971 _1082_
*5972 _1083_
*5973 _1084_
*5974 _1085_
*5975 _1086_
*5976 _1087_
*5977 _1088_
*5978 _1089_
*5979 _1090_
*5980 _1091_
*5981 _1092_
*5982 _1093_
*5983 _1094_
*5984 _1095_
*5985 _1096_
*5986 _1097_
*5987 _1098_
*5988 _1099_
*5989 _1100_
*5990 _1101_
*5991 _1102_
*5992 _1103_
*5993 _1104_
*5994 _1105_
*5995 _1106_
*5996 _1107_
*5997 _1108_
*5998 _1109_
*5999 _1110_
*6000 _1111_
*6001 _1112_
*6002 _1113_
*6003 _1114_
*6004 _1115_
*6005 _1116_
*6006 _1117_
*6007 _1118_
*6008 _1119_
*6009 _1120_
*6010 _1121_
*6011 _1122_
*6012 _1123_
*6013 _1124_
*6014 _1125_
*6015 _1126_
*6016 _1127_
*6017 _1128_
*6018 _1129_
*6019 _1130_
*6020 _1131_
*6021 _1132_
*6022 _1133_
*6023 _1134_
*6024 _1135_
*6025 _1136_
*6026 _1137_
*6027 _1138_
*6028 _1139_
*6029 _1140_
*6030 _1141_
*6031 _1142_
*6032 _1143_
*6033 _1144_
*6034 _1145_
*6035 _1146_
*6036 _1147_
*6037 _1148_
*6038 _1149_
*6039 _1150_
*6040 _1151_
*6041 _1152_
*6042 _1153_
*6043 _1154_
*6044 _1155_
*6045 _1156_
*6046 _1157_
*6047 _1158_
*6048 _1159_
*6049 _1160_
*6050 _1161_
*6051 _1162_
*6052 _1163_
*6053 _1164_
*6054 _1165_
*6055 _1166_
*6056 _1167_
*6057 _1168_
*6058 _1169_
*6059 _1170_
*6060 _1171_
*6061 _1172_
*6062 _1173_
*6063 _1174_
*6064 _1175_
*6065 _1176_
*6066 _1177_
*6067 _1178_
*6068 _1179_
*6069 _1180_
*6070 _1181_
*6071 _1182_
*6072 _1183_
*6073 _1184_
*6074 _1185_
*6075 _1186_
*6076 _1187_
*6077 _1188_
*6078 _1189_
*6079 _1190_
*6080 _1191_
*6081 _1192_
*6082 _1193_
*6083 _1194_
*6084 _1195_
*6085 _1196_
*6086 _1197_
*6087 _1198_
*6088 _1199_
*6089 _1200_
*6090 _1201_
*6091 _1202_
*6092 _1203_
*6093 _1204_
*6094 _1205_
*6095 _1206_
*6096 _1207_
*6097 _1208_
*6098 _1209_
*6099 _1210_
*6100 _1211_
*6101 _1212_
*6102 _1213_
*6103 _1214_
*6104 _1215_
*6105 _1216_
*6106 _1217_
*6107 _1218_
*6108 _1219_
*6109 _1220_
*6110 _1221_
*6111 _1222_
*6112 _1223_
*6113 _1224_
*6114 _1225_
*6115 _1226_
*6116 _1227_
*6117 _1228_
*6118 _1229_
*6119 _1230_
*6120 _1231_
*6121 _1232_
*6122 _1233_
*6123 _1234_
*6124 _1235_
*6125 _1236_
*6126 _1237_
*6127 _1238_
*6128 _1239_
*6129 _1240_
*6130 _1241_
*6131 _1242_
*6132 _1243_
*6133 _1244_
*6134 _1245_
*6135 _1246_
*6136 _1247_
*6137 _1248_
*6138 _1249_
*6139 _1250_
*6140 _1251_
*6141 _1252_
*6142 _1253_
*6143 _1254_
*6144 _1255_
*6145 _1256_
*6146 _1257_
*6147 _1258_
*6148 _1259_
*6149 _1260_
*6150 _1261_
*6151 _1262_
*6152 _1263_
*6153 _1264_
*6154 _1265_
*6155 _1266_
*6156 _1267_
*6157 _1268_
*6158 _1269_
*6159 _1270_
*6160 _1271_
*6161 _1272_
*6162 _1273_
*6163 _1274_
*6164 _1275_
*6165 _1276_
*6166 _1277_
*6167 _1278_
*6168 _1279_
*6169 _1280_
*6170 _1281_
*6171 _1282_
*6172 _1283_
*6173 _1284_
*6174 _1285_
*6175 _1286_
*6176 _1287_
*6177 _1288_
*6178 _1289_
*6179 _1290_
*6180 _1291_
*6181 _1292_
*6182 _1293_
*6183 _1294_
*6184 _1295_
*6185 _1296_
*6186 _1297_
*6187 _1298_
*6188 _1299_
*6189 _1300_
*6190 _1301_
*6191 _1302_
*6192 _1303_
*6193 _1304_
*6194 _1305_
*6195 _1306_
*6196 _1307_
*6197 _1308_
*6198 _1309_
*6199 _1310_
*6200 _1311_
*6201 _1312_
*6202 _1313_
*6203 _1314_
*6204 _1315_
*6205 _1316_
*6206 _1317_
*6207 _1318_
*6208 _1319_
*6209 _1320_
*6210 _1321_
*6211 _1322_
*6212 _1323_
*6213 _1324_
*6214 _1325_
*6215 _1326_
*6216 _1327_
*6217 _1328_
*6218 _1329_
*6219 _1330_
*6220 _1331_
*6221 _1332_
*6222 _1333_
*6223 _1334_
*6224 _1335_
*6225 _1336_
*6226 _1337_
*6227 _1338_
*6228 _1339_
*6229 _1340_
*6230 _1341_
*6231 _1342_
*6232 _1343_
*6233 _1344_
*6234 _1345_
*6235 _1346_
*6236 _1347_
*6237 _1348_
*6238 _1349_
*6239 _1350_
*6240 _1351_
*6241 _1352_
*6242 _1353_
*6243 _1354_
*6244 _1355_
*6245 _1356_
*6246 _1357_
*6247 _1358_
*6248 _1359_
*6249 _1360_
*6250 _1361_
*6251 _1362_
*6252 _1363_
*6253 _1364_
*6254 _1365_
*6255 _1366_
*6256 _1367_
*6257 _1368_
*6258 _1369_
*6259 _1370_
*6260 _1371_
*6261 _1372_
*6262 _1373_
*6263 _1374_
*6264 _1375_
*6265 _1376_
*6266 _1377_
*6267 _1378_
*6268 _1379_
*6269 _1380_
*6270 _1381_
*6271 _1382_
*6272 _1383_
*6273 _1384_
*6274 _1385_
*6275 _1386_
*6276 _1387_
*6277 _1388_
*6278 _1389_
*6279 _1390_
*6280 _1391_
*6281 _1392_
*6282 _1393_
*6283 _1394_
*6284 _1395_
*6285 _1396_
*6286 _1397_
*6287 _1398_
*6288 _1399_
*6289 _1400_
*6290 _1401_
*6291 _1402_
*6292 _1403_
*6293 _1404_
*6294 _1405_
*6295 _1406_
*6296 _1407_
*6297 _1408_
*6298 _1409_
*6299 _1410_
*6300 _1411_
*6301 _1412_
*6302 _1413_
*6303 _1414_
*6304 _1415_
*6305 _1416_
*6306 _1417_
*6307 _1418_
*6308 _1419_
*6309 _1420_
*6310 _1421_
*6311 _1422_
*6312 _1423_
*6313 _1424_
*6314 _1425_
*6315 _1426_
*6316 _1427_
*6317 _1428_
*6318 _1429_
*6319 _1430_
*6320 _1431_
*6321 _1432_
*6322 _1433_
*6323 _1434_
*6324 _1435_
*6325 _1436_
*6326 _1437_
*6327 _1438_
*6328 _1439_
*6329 _1440_
*6330 _1441_
*6331 _1442_
*6332 _1443_
*6333 _1444_
*6334 _1445_
*6335 _1446_
*6336 _1447_
*6337 _1448_
*6338 _1449_
*6339 _1450_
*6340 _1451_
*6341 _1452_
*6342 _1453_
*6343 _1454_
*6344 _1455_
*6345 _1456_
*6346 _1457_
*6347 _1458_
*6348 _1459_
*6349 _1460_
*6350 _1461_
*6351 _1462_
*6352 _1463_
*6353 _1464_
*6354 _1465_
*6355 _1466_
*6356 _1467_
*6357 _1468_
*6358 _1469_
*6359 _1470_
*6360 _1471_
*6361 _1472_
*6362 _1473_
*6363 _1474_
*6364 _1475_
*6365 _1476_
*6366 _1477_
*6367 _1478_
*6368 _1479_
*6369 _1480_
*6370 _1481_
*6371 _1482_
*6372 _1483_
*6373 _1484_
*6374 _1485_
*6375 _1486_
*6376 _1487_
*6377 _1488_
*6378 _1489_
*6379 _1490_
*6380 _1491_
*6381 _1492_
*6382 _1493_
*6383 _1494_
*6384 _1495_
*6385 _1496_
*6386 _1497_
*6387 _1498_
*6388 _1499_
*6389 _1500_
*6390 _1501_
*6391 _1502_
*6392 _1503_
*6393 _1504_
*6394 _1505_
*6395 _1506_
*6396 _1507_
*6397 _1508_
*6398 _1509_
*6399 _1510_
*6400 _1511_
*6401 _1512_
*6402 _1513_
*6403 _1514_
*6404 _1515_
*6405 _1516_
*6406 _1517_
*6407 _1518_
*6408 _1519_
*6409 _1520_
*6410 _1521_
*6411 _1522_
*6412 _1523_
*6413 _1524_
*6414 _1525_
*6415 _1526_
*6416 _1527_
*6417 _1528_
*6418 _1529_
*6419 _1530_
*6420 _1531_
*6421 _1532_
*6422 _1533_
*6423 _1534_
*6424 _1535_
*6425 _1536_
*6426 _1537_
*6427 _1538_
*6428 _1539_
*6429 _1540_
*6430 _1541_
*6431 _1542_
*6432 _1543_
*6433 _1544_
*6434 _1545_
*6435 _1546_
*6436 _1547_
*6437 _1548_
*6438 _1549_
*6439 _1550_
*6440 _1551_
*6441 _1552_
*6442 _1553_
*6443 _1554_
*6444 _1555_
*6445 _1556_
*6446 _1557_
*6447 _1558_
*6448 _1559_
*6449 _1560_
*6450 _1561_
*6451 _1562_
*6452 _1563_
*6453 _1564_
*6454 _1565_
*6455 _1566_
*6456 _1567_
*6457 _1568_
*6458 _1569_
*6459 _1570_
*6460 _1571_
*6461 _1572_
*6462 _1573_
*6463 _1574_
*6464 _1575_
*6465 _1576_
*6466 _1577_
*6467 _1578_
*6468 _1579_
*6469 _1580_
*6470 _1581_
*6471 _1582_
*6472 _1583_
*6473 _1584_
*6474 _1585_
*6475 _1586_
*6476 _1587_
*6477 _1588_
*6478 _1589_
*6479 _1590_
*6480 _1591_
*6481 _1592_
*6482 _1593_
*6483 _1594_
*6484 _1595_
*6485 _1596_
*6486 _1597_
*6487 _1598_
*6488 _1599_
*6489 _1600_
*6490 _1601_
*6491 _1602_
*6492 _1603_
*6493 _1604_
*6494 _1605_
*6495 _1606_
*6496 _1607_
*6497 _1608_
*6498 _1609_
*6499 _1610_
*6500 _1611_
*6501 _1612_
*6502 _1613_
*6503 _1614_
*6504 _1615_
*6505 _1616_
*6506 _1617_
*6507 _1618_
*6508 _1619_
*6509 _1620_
*6510 _1621_
*6511 _1622_
*6512 _1623_
*6513 _1624_
*6514 _1625_
*6515 _1626_
*6516 _1627_
*6517 _1628_
*6518 _1629_
*6519 _1630_
*6520 _1631_
*6521 _1632_
*6522 _1633_
*6523 _1634_
*6524 _1635_
*6525 _1636_
*6526 _1637_
*6527 _1638_
*6528 _1639_
*6529 _1640_
*6530 _1641_
*6531 _1642_
*6532 _1643_
*6533 _1644_
*6534 _1645_
*6535 _1646_
*6536 _1647_
*6537 _1648_
*6538 _1649_
*6539 _1650_
*6540 _1651_
*6541 _1652_
*6542 _1653_
*6543 _1654_
*6544 _1655_
*6545 _1656_
*6546 _1657_
*6547 _1658_
*6548 _1659_
*6549 _1660_
*6550 _1661_
*6551 _1662_
*6552 _1663_
*6553 _1664_
*6554 _1665_
*6555 _1666_
*6556 _1667_
*6557 _1668_
*6558 _1669_
*6559 _1670_
*6560 _1671_
*6561 _1672_
*6562 _1673_
*6563 _1674_
*6564 _1675_
*6565 _1676_
*6566 _1677_
*6567 _1678_
*6568 _1679_
*6569 _1680_
*6570 _1681_
*6571 _1682_
*6572 _1683_
*6573 _1684_
*6574 _1685_
*6575 _1686_
*6576 _1687_
*6577 _1688_
*6578 _1689_
*6579 _1690_
*6580 _1691_
*6581 _1692_
*6582 _1693_
*6583 _1694_
*6584 _1695_
*6585 _1696_
*6586 _1697_
*6587 _1698_
*6588 _1699_
*6589 _1700_
*6590 _1701_
*6591 _1702_
*6592 _1703_
*6593 _1704_
*6594 _1705_
*6595 _1706_
*6596 _1707_
*6597 _1708_
*6598 _1709_
*6599 _1710_
*6600 _1711_
*6601 _1712_
*6602 _1713_
*6603 _1714_
*6604 _1715_
*6605 _1716_
*6606 _1717_
*6607 _1718_
*6608 _1719_
*6609 _1720_
*6610 _1721_
*6611 _1722_
*6612 _1723_
*6613 _1724_
*6614 _1725_
*6615 _1726_
*6616 _1727_
*6617 _1728_
*6618 _1729_
*6619 _1730_
*6620 _1731_
*6621 _1732_
*6622 _1733_
*6623 _1734_
*6624 _1735_
*6625 _1736_
*6626 _1737_
*6627 _1738_
*6628 _1739_
*6629 _1740_
*6630 _1741_
*6631 _1742_
*6632 _1743_
*6633 _1744_
*6634 _1745_
*6635 _1746_
*6636 _1747_
*6637 _1748_
*6638 _1749_
*6639 _1750_
*6640 _1751_
*6641 _1752_
*6642 _1753_
*6643 _1754_
*6644 _1755_
*6645 _1756_
*6646 _1757_
*6647 _1758_
*6648 _1759_
*6649 _1760_
*6650 _1761_
*6651 _1762_
*6652 _1763_
*6653 _1764_
*6654 _1765_
*6655 _1766_
*6656 _1767_
*6657 _1768_
*6658 _1769_
*6659 _1770_
*6660 _1771_
*6661 _1772_
*6662 _1773_
*6663 _1774_
*6664 _1775_
*6665 _1776_
*6666 _1777_
*6667 _1778_
*6668 _1779_
*6669 _1780_
*6670 _1781_
*6671 _1782_
*6672 _1783_
*6673 _1784_
*6674 _1785_
*6675 _1786_
*6676 _1787_
*6677 _1788_
*6678 _1789_
*6679 _1790_
*6680 _1791_
*6681 _1792_
*6682 _1793_
*6683 _1794_
*6684 _1795_
*6685 _1796_
*6686 _1797_
*6687 _1798_
*6688 _1799_
*6689 _1800_
*6690 _1801_
*6691 _1802_
*6692 _1803_
*6693 _1804_
*6694 _1805_
*6695 _1806_
*6696 _1807_
*6697 _1808_
*6698 _1809_
*6699 _1810_
*6700 _1811_
*6701 _1812_
*6702 _1813_
*6703 _1814_
*6704 _1815_
*6705 _1816_
*6706 _1817_
*6707 _1818_
*6708 _1819_
*6709 _1820_
*6710 _1821_
*6711 _1822_
*6712 _1823_
*6713 _1824_
*6714 _1825_
*6715 _1826_
*6716 _1827_
*6717 _1828_
*6718 _1829_
*6719 _1830_
*6720 _1831_
*6721 _1832_
*6722 _1833_
*6723 _1834_
*6724 _1835_
*6725 _1836_
*6726 _1837_
*6727 _1838_
*6728 _1839_
*6729 _1840_
*6730 _1841_
*6731 _1842_
*6732 _1843_
*6733 _1844_
*6734 _1845_
*6735 _1846_
*6736 _1847_
*6737 _1848_
*6738 _1849_
*6739 _1850_
*6740 _1851_
*6741 _1852_
*6742 _1853_
*6743 _1854_
*6744 _1855_
*6745 _1856_
*6746 _1857_
*6747 _1858_
*6748 _1859_
*6749 _1860_
*6750 _1861_
*6751 _1862_
*6752 _1863_
*6753 _1864_
*6754 _1865_
*6755 _1866_
*6756 _1867_
*6757 _1868_
*6758 _1869_
*6759 _1870_
*6760 _1871_
*6761 _1872_
*6762 _1873_
*6763 _1874_
*6764 _1875_
*6765 _1876_
*6766 _1877_
*6767 _1878_
*6768 _1879_
*6769 _1880_
*6770 _1881_
*6771 _1882_
*6772 _1883_
*6773 _1884_
*6774 _1885_
*6775 _1886_
*6776 _1887_
*6777 _1888_
*6778 _1889_
*6779 _1890_
*6780 _1891_
*6781 _1892_
*6782 _1893_
*6783 _1894_
*6784 _1895_
*6785 _1896_
*6786 _1897_
*6787 _1898_
*6788 _1899_
*6789 _1900_
*6790 _1901_
*6791 _1902_
*6792 _1903_
*6793 _1904_
*6794 _1905_
*6795 _1906_
*6796 _1907_
*6797 _1908_
*6798 _1909_
*6799 _1910_
*6800 _1911_
*6801 _1912_
*6802 _1913_
*6803 _1914_
*6804 _1915_
*6805 _1916_
*6806 _1917_
*6807 _1918_
*6808 _1919_
*6809 _1920_
*6810 _1921_
*6811 _1922_
*6812 _1923_
*6813 _1924_
*6814 _1925_
*6815 _1926_
*6816 _1927_
*6817 _1928_
*6818 _1929_
*6819 _1930_
*6820 _1931_
*6821 _1932_
*6822 _1933_
*6823 _1934_
*6824 _1935_
*6825 _1936_
*6826 _1937_
*6827 _1938_
*6828 _1939_
*6829 _1940_
*6830 _1941_
*6831 _1942_
*6832 _1943_
*6833 _1944_
*6834 _1945_
*6835 _1946_
*6836 _1947_
*6837 _1948_
*6838 _1949_
*6839 _1950_
*6840 _1951_
*6841 _1952_
*6842 _1953_
*6843 _1954_
*6844 _1955_
*6845 _1956_
*6846 _1957_
*6847 _1958_
*6848 _1959_
*6849 _1960_
*6850 _1961_
*6851 _1962_
*6852 _1963_
*6853 _1964_
*6854 _1965_
*6855 _1966_
*6856 _1967_
*6857 _1968_
*6858 _1969_
*6859 _1970_
*6860 _1971_
*6861 _1972_
*6862 _1973__6
*6863 _1974__7
*6864 _1975__8
*6865 _1976__9
*6866 _1977__10
*6867 _1978__11
*6868 _1979__12
*6869 _1980__13
*6870 _1981__14
*6871 _1982__15
*6872 _1983__16
*6873 _1984__17
*6874 _1985__18
*6875 _1986__19
*6876 _1987__20
*6877 _1988__21
*6878 _1989__22
*6879 _1990__23
*6880 _1991__24
*6881 _1992__25
*6882 _1993__26
*6883 _1994__27
*6884 _1995__28
*6885 _1996__29
*6886 _1997__30
*6887 _1998__31
*6888 _1999__32
*6889 _2000__33
*6890 _2001__34
*6891 _2002__35
*6892 _2003__36
*6893 _2004__37
*6894 _2005__38
*6895 _2006__39
*6896 _2007__40
*6897 _2008__41
*6898 _2009__42
*6899 _2010__43
*6900 _2011__44
*6901 _2012__45
*6902 _2013__46
*6903 _2014__47
*6904 _2015__48
*6905 _2016__49
*6906 _2017__50
*6907 _2018__51
*6908 _2019__52
*6909 _2020__53
*6910 _2021__54
*6911 _2022__55
*6912 _2023__56
*6913 _2024__57
*6914 _2025__58
*6915 _2026__59
*6916 _2027__60
*6917 _2028__61
*6918 _2029__62
*6919 _2030__63
*6920 _2031__64
*6921 _2032__65
*6922 _2033__66
*6923 _2034__67
*6924 _2035__68
*6925 _2036__69
*6926 _2037__70
*6927 _2038__71
*6928 _2039__72
*6929 _2040__73
*6930 _2041__74
*6931 _2042__75
*6932 _2043__76
*6933 _2044__77
*6934 _2045__78
*6935 _2046__79
*6936 _2047__80
*6937 _2048__81
*6938 _2049__82
*6939 _2050__83
*6940 _2051__84
*6941 _2052__85
*6942 _2053__86
*6943 _2054__87
*6944 _2055__88
*6945 _2056__89
*6946 _2057__90
*6947 _2058__91
*6948 _2059__92
*6949 _2060__93
*6950 _2061__94
*6951 _2062__95
*6952 _2063__96
*6953 _2064__97
*6954 _2065__98
*6955 _2066__99
*6956 _2067__100
*6957 _2068__101
*6958 _2069__102
*6959 _2070__103
*6960 _2071__104
*6961 _2072__105
*6962 _2073_
*6963 _2074_
*6964 _2075_
*6965 _2076_
*6966 _2077_
*6967 _2078_
*6968 _2079_
*6969 _2080_
*6970 _2081_
*6971 _2082_
*6972 _2083_
*6973 _2084_
*6974 _2085_
*6975 _2086_
*6976 _2087_
*6977 _2088_
*6978 _2089_
*6979 _2090_
*6980 _2091_
*6981 _2092_
*6982 _2093_
*6983 _2094_
*6984 _2095_
*6985 _2096_
*6986 _2097_
*6987 _2098_
*6988 _2099_
*6989 _2100_
*6990 _2101_
*6991 _2102_
*6992 _2103_
*6993 _2104_
*6994 _2105_
*6995 _2106_
*6996 _2107_
*6997 _2108_
*6998 _2109_
*6999 _2110_
*7000 _2111_
*7001 _2112_
*7002 _2113_
*7003 _2114_
*7004 _2115_
*7005 _2116_
*7006 _2117_
*7007 _2118_
*7008 _2119_
*7009 _2120_
*7010 _2121_
*7011 _2122_
*7012 _2123_
*7013 _2124_
*7014 _2125_
*7015 _2126_
*7016 _2127_
*7017 _2128_
*7018 _2129_
*7019 _2130_
*7020 _2131_
*7021 _2132_
*7022 _2133_
*7023 _2134_
*7024 _2135_
*7025 _2136_
*7026 _2137_
*7027 _2138_
*7028 _2139_
*7029 _2140_
*7030 _2141_
*7031 _2142_
*7032 _2143_
*7033 _2144_
*7034 _2145_
*7035 _2146_
*7036 _2147_
*7037 _2148_
*7038 _2149_
*7039 _2150_
*7040 _2151_
*7041 _2152_
*7042 _2153_
*7043 _2154_
*7044 _2155_
*7045 _2156_
*7046 _2157_
*7047 _2158_
*7048 _2159_
*7049 _2160_
*7050 _2161_
*7051 _2162_
*7052 _2163_
*7053 _2164_
*7054 _2165_
*7055 _2166_
*7056 _2167_
*7057 _2168_
*7058 _2169_
*7059 _2170_
*7060 _2171_
*7061 _2172_
*7062 _2173_
*7063 _2174_
*7064 _2175_
*7065 _2176_
*7066 _2177_
*7067 _2178_
*7068 _2179_
*7069 _2180_
*7070 clkbuf_0_wb_clk_i
*7071 clkbuf_1_0_0_wb_clk_i
*7072 clkbuf_1_1_0_wb_clk_i
*7073 clkbuf_2_0_0_wb_clk_i
*7074 clkbuf_2_1_0_wb_clk_i
*7075 clkbuf_2_2_0_wb_clk_i
*7076 clkbuf_2_3_0_wb_clk_i
*7077 clkbuf_3_0_0_wb_clk_i
*7078 clkbuf_3_1_0_wb_clk_i
*7079 clkbuf_3_2_0_wb_clk_i
*7080 clkbuf_3_3_0_wb_clk_i
*7081 clkbuf_3_4_0_wb_clk_i
*7082 clkbuf_3_5_0_wb_clk_i
*7083 clkbuf_3_6_0_wb_clk_i
*7084 clkbuf_3_7_0_wb_clk_i
*7085 clkbuf_4_0_0_wb_clk_i
*7086 clkbuf_4_10_0_wb_clk_i
*7087 clkbuf_4_11_0_wb_clk_i
*7088 clkbuf_4_12_0_wb_clk_i
*7089 clkbuf_4_13_0_wb_clk_i
*7090 clkbuf_4_14_0_wb_clk_i
*7091 clkbuf_4_15_0_wb_clk_i
*7092 clkbuf_4_1_0_wb_clk_i
*7093 clkbuf_4_2_0_wb_clk_i
*7094 clkbuf_4_3_0_wb_clk_i
*7095 clkbuf_4_4_0_wb_clk_i
*7096 clkbuf_4_5_0_wb_clk_i
*7097 clkbuf_4_6_0_wb_clk_i
*7098 clkbuf_4_7_0_wb_clk_i
*7099 clkbuf_4_8_0_wb_clk_i
*7100 clkbuf_4_9_0_wb_clk_i
*7101 hold1
*7102 hold2
*7103 input1
*7104 input2
*7105 input3
*7106 input4
*7107 input5
*PORTS
active I
io_in[0] I
io_in[10] I
io_in[11] I
io_in[12] I
io_in[13] I
io_in[14] I
io_in[15] I
io_in[16] I
io_in[17] I
io_in[18] I
io_in[19] I
io_in[1] I
io_in[20] I
io_in[21] I
io_in[22] I
io_in[23] I
io_in[24] I
io_in[25] I
io_in[26] I
io_in[27] I
io_in[28] I
io_in[29] I
io_in[2] I
io_in[30] I
io_in[31] I
io_in[32] I
io_in[33] I
io_in[34] I
io_in[35] I
io_in[36] I
io_in[37] I
io_in[3] I
io_in[4] I
io_in[5] I
io_in[6] I
io_in[7] I
io_in[8] I
io_in[9] I
io_oeb[0] O
io_oeb[10] O
io_oeb[11] O
io_oeb[12] O
io_oeb[13] O
io_oeb[14] O
io_oeb[15] O
io_oeb[16] O
io_oeb[17] O
io_oeb[18] O
io_oeb[19] O
io_oeb[1] O
io_oeb[20] O
io_oeb[21] O
io_oeb[22] O
io_oeb[23] O
io_oeb[24] O
io_oeb[25] O
io_oeb[26] O
io_oeb[27] O
io_oeb[28] O
io_oeb[29] O
io_oeb[2] O
io_oeb[30] O
io_oeb[31] O
io_oeb[32] O
io_oeb[33] O
io_oeb[34] O
io_oeb[35] O
io_oeb[36] O
io_oeb[37] O
io_oeb[3] O
io_oeb[4] O
io_oeb[5] O
io_oeb[6] O
io_oeb[7] O
io_oeb[8] O
io_oeb[9] O
io_out[0] O
io_out[10] O
io_out[11] O
io_out[12] O
io_out[13] O
io_out[14] O
io_out[15] O
io_out[16] O
io_out[17] O
io_out[18] O
io_out[19] O
io_out[1] O
io_out[20] O
io_out[21] O
io_out[22] O
io_out[23] O
io_out[24] O
io_out[25] O
io_out[26] O
io_out[27] O
io_out[28] O
io_out[29] O
io_out[2] O
io_out[30] O
io_out[31] O
io_out[32] O
io_out[33] O
io_out[34] O
io_out[35] O
io_out[36] O
io_out[37] O
io_out[3] O
io_out[4] O
io_out[5] O
io_out[6] O
io_out[7] O
io_out[8] O
io_out[9] O
la1_data_in[0] I
la1_data_in[10] I
la1_data_in[11] I
la1_data_in[12] I
la1_data_in[13] I
la1_data_in[14] I
la1_data_in[15] I
la1_data_in[16] I
la1_data_in[17] I
la1_data_in[18] I
la1_data_in[19] I
la1_data_in[1] I
la1_data_in[20] I
la1_data_in[21] I
la1_data_in[22] I
la1_data_in[23] I
la1_data_in[24] I
la1_data_in[25] I
la1_data_in[26] I
la1_data_in[27] I
la1_data_in[28] I
la1_data_in[29] I
la1_data_in[2] I
la1_data_in[30] I
la1_data_in[31] I
la1_data_in[3] I
la1_data_in[4] I
la1_data_in[5] I
la1_data_in[6] I
la1_data_in[7] I
la1_data_in[8] I
la1_data_in[9] I
la1_data_out[0] O
la1_data_out[10] O
la1_data_out[11] O
la1_data_out[12] O
la1_data_out[13] O
la1_data_out[14] O
la1_data_out[15] O
la1_data_out[16] O
la1_data_out[17] O
la1_data_out[18] O
la1_data_out[19] O
la1_data_out[1] O
la1_data_out[20] O
la1_data_out[21] O
la1_data_out[22] O
la1_data_out[23] O
la1_data_out[24] O
la1_data_out[25] O
la1_data_out[26] O
la1_data_out[27] O
la1_data_out[28] O
la1_data_out[29] O
la1_data_out[2] O
la1_data_out[30] O
la1_data_out[31] O
la1_data_out[3] O
la1_data_out[4] O
la1_data_out[5] O
la1_data_out[6] O
la1_data_out[7] O
la1_data_out[8] O
la1_data_out[9] O
la1_oenb[0] I
la1_oenb[10] I
la1_oenb[11] I
la1_oenb[12] I
la1_oenb[13] I
la1_oenb[14] I
la1_oenb[15] I
la1_oenb[16] I
la1_oenb[17] I
la1_oenb[18] I
la1_oenb[19] I
la1_oenb[1] I
la1_oenb[20] I
la1_oenb[21] I
la1_oenb[22] I
la1_oenb[23] I
la1_oenb[24] I
la1_oenb[25] I
la1_oenb[26] I
la1_oenb[27] I
la1_oenb[28] I
la1_oenb[29] I
la1_oenb[2] I
la1_oenb[30] I
la1_oenb[31] I
la1_oenb[3] I
la1_oenb[4] I
la1_oenb[5] I
la1_oenb[6] I
la1_oenb[7] I
la1_oenb[8] I
la1_oenb[9] I
wb_clk_i I
*D_NET *1 0.000826375
*CONN
*P active I
*I *7103:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 active 0.00028301
2 *7103:A 0.00028301
3 *7103:A io_oeb[21] 5.61403e-05
4 *7103:A io_oeb[30] 0
5 *7103:A io_out[32] 0.000100557
6 *7103:A la1_data_out[1] 0.000103659
*RES
1 active *7103:A 20.6304
*END
*D_NET *3 0.00189913
*CONN
*P io_in[10] I
*I *7104:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 io_in[10] 0.000816283
2 *7104:A 0.000816283
3 *7104:A io_oeb[24] 0
4 *7104:A *601:33 0.000266566
*RES
1 io_in[10] *7104:A 35.9603
*END
*D_NET *38 0.000643045
*CONN
*P io_in[8] I
*I *7105:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 io_in[8] 0.000277051
2 *7105:A 0.000277051
3 *7105:A io_oeb[29] 8.89435e-05
4 *7105:A *596:51 0
5 *7105:A *1127:8 0
*RES
1 io_in[8] *7105:A 18.5541
*END
*D_NET *39 0.000959815
*CONN
*P io_in[9] I
*I *7106:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 io_in[9] 0.000466616
2 *7106:A 0.000466616
3 *7106:A *601:29 2.65831e-05
*RES
1 io_in[9] *7106:A 26.3927
*END
*D_NET *40 0.00111827
*CONN
*P io_oeb[0] O
*I *7032:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[0] 0.000470968
2 *7032:Z 0.000470968
3 io_oeb[0] io_out[24] 0
4 io_oeb[0] *7032:TE_B 5.04829e-06
5 io_oeb[0] *607:29 0.000171288
*RES
1 *7032:Z io_oeb[0] 27.16
*END
*D_NET *41 0.00125332
*CONN
*P io_oeb[10] O
*I *7042:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[10] 0.00062666
2 *7042:Z 0.00062666
3 io_oeb[10] io_oeb[37] 0
4 io_oeb[10] io_out[9] 0
5 io_oeb[10] *606:14 0
6 io_oeb[10] *606:33 0
*RES
1 *7042:Z io_oeb[10] 20.98
*END
*D_NET *42 0.0027427
*CONN
*P io_oeb[11] O
*I *7043:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[11] 0.0012499
2 *7043:Z 0.0012499
3 io_oeb[11] io_out[10] 0.000182884
4 io_oeb[11] io_out[4] 0
5 io_oeb[11] *6153:A 6.00052e-05
*RES
1 *7043:Z io_oeb[11] 24.8177
*END
*D_NET *43 0.000796946
*CONN
*P io_oeb[12] O
*I *7044:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[12] 0.000320384
2 *7044:Z 0.000320384
3 io_oeb[12] io_oeb[13] 0.000103659
4 io_oeb[12] *597:65 5.25197e-05
*RES
1 *7044:Z io_oeb[12] 20.2151
*END
*D_NET *44 0.00249435
*CONN
*P io_oeb[13] O
*I *7045:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[13] 0.00119534
2 *7045:Z 0.00119534
3 io_oeb[13] la1_data_out[30] 0
4 io_oeb[12] io_oeb[13] 0.000103659
*RES
1 *7045:Z io_oeb[13] 22.7415
*END
*D_NET *45 0.00114018
*CONN
*P io_oeb[14] O
*I *7046:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[14] 0.000443302
2 *7046:Z 0.000443302
3 io_oeb[14] io_out[26] 0.000168035
4 io_oeb[14] la1_data_out[25] 6.61722e-05
5 io_oeb[14] *6987:A 1.93662e-05
6 io_oeb[14] *587:10 0
*RES
1 *7046:Z io_oeb[14] 19.7343
*END
*D_NET *46 0.00151546
*CONN
*P io_oeb[15] O
*I *7047:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[15] 0.000659513
2 *7047:Z 0.000659513
3 io_oeb[15] io_oeb[19] 0
4 io_oeb[15] io_oeb[7] 0
5 io_oeb[15] *5891:A 6.63327e-05
6 io_oeb[15] *5896:A 0
7 io_oeb[15] *593:23 0.000130104
8 io_oeb[15] *594:56 0
*RES
1 *7047:Z io_oeb[15] 32.7286
*END
*D_NET *47 0.00382487
*CONN
*P io_oeb[16] O
*I *7048:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[16] 0.00177595
2 *7048:Z 0.00177595
3 io_oeb[16] io_oeb[4] 0.0001438
4 io_oeb[16] io_out[29] 0
5 io_oeb[16] la1_data_out[28] 0.000129161
6 io_oeb[16] *6966:TE_B 0
*RES
1 *7048:Z io_oeb[16] 37.3542
*END
*D_NET *48 0.000778162
*CONN
*P io_oeb[17] O
*I *7049:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[17] 0.000389081
2 *7049:Z 0.000389081
3 io_oeb[17] la1_data_out[23] 0
4 io_oeb[17] *7049:TE_B 0
*RES
1 *7049:Z io_oeb[17] 24.4236
*END
*D_NET *49 0.000898637
*CONN
*P io_oeb[18] O
*I *7050:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[18] 0.000447718
2 *7050:Z 0.000447718
3 io_oeb[18] io_out[25] 0
4 io_oeb[18] *7050:TE_B 3.20069e-06
*RES
1 *7050:Z io_oeb[18] 26.0846
*END
*D_NET *50 0.00160903
*CONN
*P io_oeb[19] O
*I *7051:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[19] 0.000735816
2 *7051:Z 0.000735816
3 io_oeb[19] *5891:A 0
4 io_oeb[19] *7051:A 0
5 io_oeb[19] *7051:TE_B 0.000107114
6 io_oeb[19] *601:29 3.02812e-05
7 io_oeb[15] io_oeb[19] 0
*RES
1 *7051:Z io_oeb[19] 33.348
*END
*D_NET *51 0.00132133
*CONN
*P io_oeb[1] O
*I *7033:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[1] 0.000660666
2 *7033:Z 0.000660666
3 io_oeb[1] *5880:A 0
4 io_oeb[1] *7033:A 0
5 io_oeb[1] *587:40 0
*RES
1 *7033:Z io_oeb[1] 31.0676
*END
*D_NET *52 0.00339135
*CONN
*P io_oeb[20] O
*I *7052:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[20] 0.00123477
2 *7052:Z 0.00123477
3 io_oeb[20] io_out[23] 0
4 io_oeb[20] la1_data_out[6] 0.000266247
5 io_oeb[20] *7052:TE_B 0.000217923
6 io_oeb[20] *585:51 0.00016934
7 io_oeb[20] *588:52 7.92757e-06
8 io_oeb[20] *1191:13 0.000260374
*RES
1 *7052:Z io_oeb[20] 35.3408
*END
*D_NET *53 0.00300115
*CONN
*P io_oeb[21] O
*I *7053:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[21] 0.00101292
2 *7053:Z 0.00101292
3 io_oeb[21] io_oeb[30] 0
4 io_oeb[21] *601:27 8.32962e-05
5 io_oeb[21] *1097:11 0.000835879
6 *7103:A io_oeb[21] 5.61403e-05
*RES
1 *7053:Z io_oeb[21] 41.4616
*END
*D_NET *54 0.00247539
*CONN
*P io_oeb[22] O
*I *7054:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[22] 0.00088472
2 *7054:Z 0.00088472
3 io_oeb[22] io_oeb[8] 0
4 io_oeb[22] *5850:A 1.00114e-05
5 io_oeb[22] *6969:TE_B 6.91859e-05
6 io_oeb[22] *7046:A 0.000205332
7 io_oeb[22] *76:11 0.000273292
8 io_oeb[22] *177:11 0.000148129
9 io_oeb[22] *599:8 0
*RES
1 *7054:Z io_oeb[22] 39.739
*END
*D_NET *55 0.00147879
*CONN
*P io_oeb[23] O
*I *7055:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[23] 0.000673349
2 *7055:Z 0.000673349
3 io_oeb[23] io_oeb[24] 0
4 io_oeb[23] *7055:A 0
5 io_oeb[23] *7055:TE_B 0
6 io_oeb[23] *7056:TE_B 0.000132093
7 io_oeb[23] *593:29 0
*RES
1 *7055:Z io_oeb[23] 32.7286
*END
*D_NET *56 0.00142069
*CONN
*P io_oeb[24] O
*I *7056:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[24] 0.000710345
2 *7056:Z 0.000710345
3 io_oeb[24] la1_data_out[14] 0
4 io_oeb[23] io_oeb[24] 0
5 *7104:A io_oeb[24] 0
*RES
1 *7056:Z io_oeb[24] 41.525
*END
*D_NET *57 0.00645933
*CONN
*P io_oeb[25] O
*I *7057:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[25] 0.00048121
2 *7057:Z 0.00171206
3 *57:9 0.00219327
4 io_oeb[25] io_out[18] 0
5 io_oeb[25] *7036:A 4.15008e-05
6 *57:9 *5883:A 1.00846e-05
7 *57:9 *7023:A 2.41274e-06
8 *57:9 *7057:TE_B 0.0020188
*RES
1 *7057:Z *57:9 43.1914
2 *57:9 io_oeb[25] 12.7507
*END
*D_NET *58 0.00160954
*CONN
*P io_oeb[26] O
*I *7058:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[26] 0.000582023
2 *7058:Z 0.000582023
3 io_oeb[26] io_out[14] 0.000189888
4 io_oeb[26] io_out[35] 0.000150746
5 io_oeb[26] *83:8 4.97938e-05
6 io_oeb[26] *591:78 9.94664e-06
7 io_oeb[26] *600:45 4.51176e-05
*RES
1 *7058:Z io_oeb[26] 20.1167
*END
*D_NET *59 0.00131677
*CONN
*P io_oeb[27] O
*I *7059:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[27] 0.000588302
2 *7059:Z 0.000588302
3 io_oeb[27] *7059:TE_B 5.04829e-06
4 io_oeb[27] *594:59 7.92757e-06
5 io_oeb[27] *1116:8 0.000127194
*RES
1 *7059:Z io_oeb[27] 29.7147
*END
*D_NET *60 0.000778162
*CONN
*P io_oeb[28] O
*I *7060:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[28] 0.000389081
2 *7060:Z 0.000389081
3 io_oeb[28] io_oeb[31] 0
*RES
1 *7060:Z io_oeb[28] 24.4236
*END
*D_NET *61 0.00196455
*CONN
*P io_oeb[29] O
*I *7061:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[29] 0.000827429
2 *7061:Z 0.000827429
3 io_oeb[29] *83:8 0.000112657
4 io_oeb[29] *600:45 0.000108091
5 *7105:A io_oeb[29] 8.89435e-05
*RES
1 *7061:Z io_oeb[29] 21.3625
*END
*D_NET *62 0.0159058
*CONN
*P io_oeb[2] O
*I *7034:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[2] 0.00168399
2 *7034:Z 0
3 *62:5 0.00619172
4 *62:4 0.00450773
5 io_oeb[2] *5920:A 0.000243918
6 io_oeb[2] *6974:A 1.00846e-05
7 io_oeb[2] *6974:TE_B 0.000487686
8 io_oeb[2] *7014:TE_B 0
9 io_oeb[2] *600:50 2.81717e-05
10 io_oeb[2] *600:54 8.9023e-05
11 *62:5 io_out[25] 0.0004282
12 *62:5 *5926:A 0.000171473
13 *62:5 *6984:TE_B 2.65667e-05
14 *62:5 *6999:TE_B 6.92705e-05
15 *62:5 *7019:TE_B 0.000163912
16 *62:5 *584:30 0.000932254
17 *62:5 *600:54 0.000155987
18 *62:5 *600:58 0.000715829
*RES
1 *7034:Z *62:4 9.24915
2 *62:4 *62:5 119.131
3 *62:5 io_oeb[2] 39.8966
*END
*D_NET *63 0.00638681
*CONN
*P io_oeb[30] O
*I *7062:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[30] 0.000568471
2 *7062:Z 0.00195146
3 *63:13 0.00251993
4 io_oeb[30] la1_data_out[27] 0.000217096
5 io_oeb[30] *6963:TE_B 0.000121726
6 *63:13 *5870:A 7.48633e-05
7 *63:13 *5900:A 5.2472e-05
8 *63:13 *6963:TE_B 0.000118166
9 *63:13 *6980:TE_B 1.40502e-05
10 *63:13 *7062:A 0.000105797
11 *63:13 *7062:TE_B 0.000642782
12 io_oeb[21] io_oeb[30] 0
13 *7103:A io_oeb[30] 0
*RES
1 *7062:Z *63:13 46.7119
2 *63:13 io_oeb[30] 17.7337
*END
*D_NET *64 0.00193115
*CONN
*P io_oeb[31] O
*I *7063:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[31] 0.00092129
2 *7063:Z 0.00092129
3 io_oeb[31] io_out[13] 0
4 io_oeb[31] *5895:A 8.8567e-05
5 io_oeb[31] *7060:TE_B 0
6 io_oeb[31] *7063:A 0
7 io_oeb[31] *7063:TE_B 0
8 io_oeb[28] io_oeb[31] 0
*RES
1 *7063:Z io_oeb[31] 37.7116
*END
*D_NET *65 0.000404655
*CONN
*P io_oeb[32] O
*I *7064:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[32] 0.000169242
2 *7064:Z 0.000169242
3 io_oeb[32] io_out[19] 6.61722e-05
*RES
1 *7064:Z io_oeb[32] 18.1717
*END
*D_NET *66 0.00419429
*CONN
*P io_oeb[33] O
*I *7065:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[33] 0.00184749
2 *7065:Z 0.000249656
3 *66:7 0.00209715
4 io_oeb[33] *7023:A 0
5 io_oeb[33] *7027:A 0
6 io_oeb[33] *7107:A 0
*RES
1 *7065:Z *66:7 19.464
2 *66:7 io_oeb[33] 49.7081
*END
*D_NET *67 0.00114042
*CONN
*P io_oeb[34] O
*I *7066:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[34] 0.000570211
2 *7066:Z 0.000570211
*RES
1 *7066:Z io_oeb[34] 28.9913
*END
*D_NET *68 0.00115578
*CONN
*P io_oeb[35] O
*I *7067:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[35] 0.000577889
2 *7067:Z 0.000577889
3 io_oeb[35] *606:33 0
*RES
1 *7067:Z io_oeb[35] 19.7343
*END
*D_NET *69 0.000468972
*CONN
*P io_oeb[36] O
*I *7068:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[36] 0.0002014
2 *7068:Z 0.0002014
3 io_oeb[36] la1_data_out[20] 6.61722e-05
4 io_oeb[36] *6982:A 0
*RES
1 *7068:Z io_oeb[36] 19.0022
*END
*D_NET *70 0.00107955
*CONN
*P io_oeb[37] O
*I *7069:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[37] 0.000539777
2 *7069:Z 0.000539777
3 io_oeb[37] io_out[7] 0
4 io_oeb[10] io_oeb[37] 0
*RES
1 *7069:Z io_oeb[37] 19.319
*END
*D_NET *71 0.00106642
*CONN
*P io_oeb[3] O
*I *7035:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[3] 0.000507243
2 *7035:Z 0.000507243
3 io_oeb[3] *7032:TE_B 0
4 io_oeb[3] *7035:TE_B 0
5 io_oeb[3] *607:22 5.19349e-05
*RES
1 *7035:Z io_oeb[3] 27.3303
*END
*D_NET *72 0.00327179
*CONN
*P io_oeb[4] O
*I *7036:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[4] 0.00116681
2 *7036:Z 0.00116681
3 io_oeb[4] io_out[29] 0.000212371
4 io_oeb[4] la1_data_out[28] 0.000581988
5 io_oeb[16] io_oeb[4] 0.0001438
*RES
1 *7036:Z io_oeb[4] 36.8662
*END
*D_NET *73 0.00124301
*CONN
*P io_oeb[5] O
*I *7037:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[5] 0.000621505
2 *7037:Z 0.000621505
3 io_oeb[5] *587:10 0
4 io_oeb[5] *601:10 0
*RES
1 *7037:Z io_oeb[5] 20.1495
*END
*D_NET *74 0.00178615
*CONN
*P io_oeb[6] O
*I *7038:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[6] 0.000641984
2 *7038:Z 0.000641984
3 io_oeb[6] la1_data_out[16] 9.68092e-05
4 io_oeb[6] la1_data_out[24] 8.93551e-05
5 io_oeb[6] *5912:A 6.46135e-05
6 io_oeb[6] *6978:A 0.000123135
7 io_oeb[6] *6978:TE_B 0.000101133
8 io_oeb[6] *597:65 2.71397e-05
9 io_oeb[6] *605:48 0
*RES
1 *7038:Z io_oeb[6] 30.1812
*END
*D_NET *75 0.00129421
*CONN
*P io_oeb[7] O
*I *7039:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[7] 0.000606011
2 *7039:Z 0.000606011
3 io_oeb[7] *5896:A 2.6777e-05
4 io_oeb[7] *7039:A 0
5 io_oeb[7] *7047:TE_B 5.54078e-05
6 io_oeb[15] io_oeb[7] 0
*RES
1 *7039:Z io_oeb[7] 30.2693
*END
*D_NET *76 0.00531905
*CONN
*P io_oeb[8] O
*I *7040:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[8] 0.000637308
2 *7040:Z 0.000640597
3 *76:11 0.00127791
4 io_oeb[8] io_out[11] 0
5 io_oeb[8] la1_data_out[5] 5.41377e-05
6 io_oeb[8] *5850:A 0
7 io_oeb[8] *6969:A 0.000506785
8 io_oeb[8] *6969:TE_B 0
9 *76:11 *6969:A 0
10 *76:11 *7046:A 1.5254e-05
11 *76:11 *7054:TE_B 0.000118485
12 *76:11 *587:22 0.0004727
13 *76:11 *593:8 0.000586452
14 *76:11 *594:10 0.000736132
15 io_oeb[22] io_oeb[8] 0
16 io_oeb[22] *76:11 0.000273292
*RES
1 *7040:Z *76:11 45.9362
2 *76:11 io_oeb[8] 19.3947
*END
*D_NET *77 0.00142721
*CONN
*P io_oeb[9] O
*I *7041:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[9] 0.000640906
2 *7041:Z 0.000640906
3 io_oeb[9] *585:8 0.0001454
*RES
1 *7041:Z io_oeb[9] 31.0676
*END
*D_NET *78 0.0022975
*CONN
*P io_out[0] O
*I *6994:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[0] 0.00114875
2 *6994:Z 0.00114875
3 io_out[0] io_out[17] 0
4 io_out[0] la1_data_out[12] 0
5 io_out[0] la1_data_out[31] 0
*RES
1 *6994:Z io_out[0] 25.4494
*END
*D_NET *79 0.0025399
*CONN
*P io_out[10] O
*I *7004:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[10] 0.00077344
2 *7004:Z 0.00077344
3 io_out[10] io_out[16] 8.89435e-05
4 io_out[10] *83:8 0.000362939
5 io_out[10] *600:45 0.000358251
6 io_oeb[11] io_out[10] 0.000182884
*RES
1 *7004:Z io_out[10] 25.515
*END
*D_NET *80 0.0183407
*CONN
*P io_out[11] O
*I *7005:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[11] 0.000688537
2 *7005:Z 0
3 *80:9 0.00578538
4 *80:8 0.00509684
5 *80:6 0.00282923
6 *80:5 0.00282923
7 io_out[11] la1_data_out[15] 0.000174885
8 io_out[11] la1_data_out[25] 0
9 io_out[11] la1_data_out[5] 8.71352e-05
10 io_out[11] *6969:A 0
11 *80:6 io_out[28] 0.000142192
12 *80:6 *7022:A 0
13 *80:6 *7022:TE_B 3.77659e-05
14 *80:6 *1081:42 0
15 *80:6 *1081:51 0
16 *80:9 io_out[2] 7.48797e-05
17 *80:9 *6969:TE_B 0.000164241
18 *80:9 *7049:A 0.000430366
19 io_oeb[8] io_out[11] 0
*RES
1 *7005:Z *80:5 13.7491
2 *80:5 *80:6 71.5944
3 *80:6 *80:8 4.5
4 *80:8 *80:9 75.5949
5 *80:9 io_out[11] 13.5121
*END
*D_NET *81 0.0120341
*CONN
*P io_out[12] O
*I *7006:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[12] 0.00120204
2 *7006:Z 0
3 *81:9 0.00368774
4 *81:8 0.0024857
5 *81:6 0.00223288
6 *81:5 0.00223288
7 io_out[12] io_out[30] 0.000171138
8 io_out[12] *7040:A 2.17254e-05
9 io_out[12] *7040:TE_B 0
10 io_out[12] *593:8 0
11 *81:6 *7005:A 0
12 *81:6 *7005:TE_B 0
13 *81:6 *1337:8 0
*RES
1 *7006:Z *81:5 13.7491
2 *81:5 *81:6 56.23
3 *81:6 *81:8 4.5
4 *81:8 *81:9 57.8476
5 *81:9 io_out[12] 20.6112
*END
*D_NET *82 0.0114573
*CONN
*P io_out[13] O
*I *7007:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[13] 0.000646695
2 *7007:Z 0
3 *82:6 0.00416403
4 *82:5 0.00351733
5 io_out[13] *7060:A 0.000339738
6 io_out[13] *7060:TE_B 0.000336125
7 io_out[13] *587:40 0.000650989
8 io_out[13] *591:96 0.00147961
9 *82:6 *6114:A2 0
10 *82:6 *6990:A 3.31882e-05
11 *82:6 *719:14 0
12 *82:6 *756:22 0.000289617
13 io_oeb[31] io_out[13] 0
*RES
1 *7007:Z *82:5 13.7491
2 *82:5 *82:6 90.2807
3 *82:6 io_out[13] 41.1856
*END
*D_NET *83 0.0108476
*CONN
*P io_out[14] O
*I *7008:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[14] 0.000662344
2 *7008:Z 5.55311e-05
3 *83:8 0.0032493
4 *83:7 0.00264249
5 io_out[14] io_out[34] 0
6 *83:8 io_out[35] 0.000116689
7 *83:8 io_out[4] 0.000100851
8 *83:8 la1_data_out[19] 2.37478e-05
9 *83:8 *5944:A 3.31736e-05
10 *83:8 *6147:A 0.000143312
11 *83:8 *6150:A 0
12 *83:8 *6307:A2 0
13 *83:8 *6310:A2 0
14 *83:8 *6970:A 3.58185e-05
15 *83:8 *6979:A 5.3697e-05
16 *83:8 *7008:TE_B 0.000518462
17 *83:8 *7010:A 3.97254e-05
18 *83:8 *7010:TE_B 0.000289145
19 *83:8 *7011:TE_B 0
20 *83:8 *585:51 8.50308e-05
21 *83:8 *591:78 0.000312005
22 *83:8 *600:45 0.00177105
23 *83:8 *603:52 0
24 *83:8 *874:37 0
25 *83:8 *877:8 0
26 io_oeb[26] io_out[14] 0.000189888
27 io_oeb[26] *83:8 4.97938e-05
28 io_oeb[29] *83:8 0.000112657
29 io_out[10] *83:8 0.000362939
*RES
1 *7008:Z *83:7 15.0271
2 *83:7 *83:8 90.0731
3 *83:8 io_out[14] 5.65019
*END
*D_NET *84 0.00805565
*CONN
*P io_out[15] O
*I *7009:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[15] 0.00068179
2 *7009:Z 0
3 *84:6 0.00293155
4 *84:5 0.00224976
5 io_out[15] io_out[21] 6.30699e-05
6 *84:6 *7015:A 0.00015321
7 *84:6 *601:10 0.00197627
8 *84:6 *1097:14 0
*RES
1 *7009:Z *84:5 13.7491
2 *84:5 *84:6 74.7088
3 *84:6 io_out[15] 5.65019
*END
*D_NET *85 0.00125175
*CONN
*P io_out[16] O
*I *7010:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[16] 0.000372934
2 *7010:Z 0.000372934
3 io_out[16] la1_data_out[19] 0
4 io_out[16] *5957:A 0
5 io_out[16] *7004:A 0.000286572
6 io_out[16] *7043:A 3.20069e-06
7 io_out[16] *7043:TE_B 0.000127164
8 io_out[16] *596:55 0
9 io_out[10] io_out[16] 8.89435e-05
*RES
1 *7010:Z io_out[16] 23.1219
*END
*D_NET *86 0.011253
*CONN
*P io_out[17] O
*I *7011:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[17] 0.00101297
2 *7011:Z 0.000179799
3 *86:26 0.00277009
4 *86:8 0.00373212
5 *86:7 0.0021548
6 io_out[17] io_out[37] 0
7 io_out[17] la1_data_out[31] 0
8 io_out[17] *600:45 0
9 *86:8 *6307:A2 0
10 *86:8 *6307:B1 4.38203e-05
11 *86:8 *6970:A 0
12 *86:8 *6979:A 0
13 *86:26 io_out[37] 5.45945e-05
14 *86:26 *6226:A 0.000148159
15 *86:26 *6226:D_N 0.000186632
16 *86:26 *6301:B 0
17 *86:26 *6302:D 0
18 *86:26 *6303:B1 0
19 *86:26 *6307:A1 0
20 *86:26 *6307:A2 0
21 *86:26 *6307:B1 2.37478e-05
22 *86:26 *6308:B 0.000316025
23 *86:26 *6312:C 0.000151741
24 *86:26 *6315:A 0
25 *86:26 *6318:B1 0
26 *86:26 *6758:D 0
27 *86:26 *881:10 0
28 *86:26 *882:16 0
29 *86:26 *1294:28 6.2233e-05
30 *86:26 *1294:37 4.84276e-05
31 *86:26 *1297:8 0.000302982
32 *86:26 *1298:6 6.49002e-05
33 io_out[0] io_out[17] 0
*RES
1 *7011:Z *86:7 17.8002
2 *86:7 *86:8 49.3784
3 *86:8 *86:26 49.4394
4 *86:26 io_out[17] 26.0021
*END
*D_NET *87 0.0139423
*CONN
*P io_out[18] O
*I *7012:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[18] 0.00214151
2 *7012:Z 0.000431171
3 *87:8 0.00257268
4 io_out[18] io_out[29] 0
5 io_out[18] la1_data_out[4] 0
6 io_out[18] *5859:A 0
7 io_out[18] *5883:A 2.18741e-05
8 io_out[18] *7036:TE_B 4.3116e-06
9 io_out[18] *588:54 0.00202487
10 io_out[18] *591:78 0.000631499
11 io_out[18] *600:32 7.93991e-05
12 io_out[18] *607:32 0.000251197
13 io_out[18] *607:41 0.000452667
14 io_out[18] *607:43 0.00329602
15 io_out[18] *1197:13 8.3647e-05
16 *87:8 *7052:TE_B 0.000573699
17 *87:8 *585:51 9.5964e-05
18 *87:8 *588:46 0.000811151
19 *87:8 *588:52 0.000149643
20 *87:8 *588:54 0.000222834
21 *87:8 *600:32 9.81553e-05
22 io_oeb[25] io_out[18] 0
*RES
1 *7012:Z *87:8 34.9881
2 *87:8 io_out[18] 96.6438
*END
*D_NET *88 0.00125748
*CONN
*P io_out[19] O
*I *7013:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[19] 0.000583389
2 *7013:Z 0.000583389
3 io_out[19] *7013:TE_B 1.66626e-05
4 io_out[19] *601:10 7.86825e-06
5 io_oeb[32] io_out[19] 6.61722e-05
*RES
1 *7013:Z io_out[19] 19.472
*END
*D_NET *89 0.00113558
*CONN
*P io_out[1] O
*I *6995:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[1] 0.00056779
2 *6995:Z 0.00056779
3 io_out[1] *6995:A 0
*RES
1 *6995:Z io_out[1] 28.9913
*END
*D_NET *90 0.00112676
*CONN
*P io_out[20] O
*I *7014:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[20] 0.00056338
2 *7014:Z 0.00056338
*RES
1 *7014:Z io_out[20] 28.9913
*END
*D_NET *91 0.000507788
*CONN
*P io_out[21] O
*I *7015:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[21] 0.000222359
2 *7015:Z 0.000222359
3 io_out[21] *587:10 0
4 io_out[15] io_out[21] 6.30699e-05
*RES
1 *7015:Z io_out[21] 19.4174
*END
*D_NET *92 0.00102354
*CONN
*P io_out[22] O
*I *7016:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[22] 0.00051177
2 *7016:Z 0.00051177
*RES
1 *7016:Z io_out[22] 27.3303
*END
*D_NET *93 0.000666115
*CONN
*P io_out[23] O
*I *7017:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[23] 0.000282775
2 *7017:Z 0.000282775
3 io_out[23] *5957:A 0
4 io_out[23] *214:13 0.000100565
5 io_oeb[20] io_out[23] 0
*RES
1 *7017:Z io_out[23] 19.3846
*END
*D_NET *94 0.00103718
*CONN
*P io_out[24] O
*I *7018:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[24] 0.000518591
2 *7018:Z 0.000518591
3 io_out[24] *7032:A 0
4 io_oeb[0] io_out[24] 0
*RES
1 *7018:Z io_out[24] 27.3303
*END
*D_NET *95 0.00244359
*CONN
*P io_out[25] O
*I *7019:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[25] 0.000974247
2 *7019:Z 0.000974247
3 io_out[25] *7019:A 5.88662e-05
4 io_out[25] *7019:TE_B 8.03393e-06
5 io_out[25] *7050:TE_B 0
6 io_out[25] *601:43 0
7 io_oeb[18] io_out[25] 0
8 *62:5 io_out[25] 0.0004282
*RES
1 *7019:Z io_out[25] 46.5052
*END
*D_NET *96 0.00134075
*CONN
*P io_out[26] O
*I *7020:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[26] 0.000586359
2 *7020:Z 0.000586359
3 io_out[26] io_out[30] 0
4 io_out[26] *587:10 0
5 io_oeb[14] io_out[26] 0.000168035
*RES
1 *7020:Z io_out[26] 20.98
*END
*D_NET *97 0.00171493
*CONN
*P io_out[27] O
*I *7021:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[27] 0.000857467
2 *7021:Z 0.000857467
3 io_out[27] *7021:A 0
4 io_out[27] *7021:TE_B 0
5 io_out[27] *599:76 0
*RES
1 *7021:Z io_out[27] 35.6354
*END
*D_NET *98 0.00139492
*CONN
*P io_out[28] O
*I *7022:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[28] 0.000626363
2 *7022:Z 0.000626363
3 io_out[28] *7002:A 0
4 *80:6 io_out[28] 0.000142192
*RES
1 *7022:Z io_out[28] 31.0676
*END
*D_NET *99 0.00424221
*CONN
*P io_out[29] O
*I *7023:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[29] 0.00139178
2 *7023:Z 0.00139178
3 io_out[29] la1_data_out[4] 0.000436024
4 io_out[29] *5859:A 0.000534345
5 io_out[29] *5883:A 6.31665e-05
6 io_out[29] *6966:A 2.22923e-05
7 io_out[29] *6966:TE_B 0
8 io_out[29] *6988:TE_B 5.04734e-05
9 io_out[29] *588:54 6.21908e-05
10 io_out[29] *591:78 4.26659e-05
11 io_out[29] *1197:13 3.51113e-05
12 io_oeb[16] io_out[29] 0
13 io_oeb[4] io_out[29] 0.000212371
14 io_out[18] io_out[29] 0
*RES
1 *7023:Z io_out[29] 49.3972
*END
*D_NET *100 0.00106184
*CONN
*P io_out[2] O
*I *6996:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[2] 0.000488118
2 *6996:Z 0.000488118
3 io_out[2] la1_data_out[0] 0
4 io_out[2] la1_data_out[29] 0
5 io_out[2] *6996:TE_B 1.07248e-05
6 *80:9 io_out[2] 7.48797e-05
*RES
1 *6996:Z io_out[2] 27.7218
*END
*D_NET *101 0.00113143
*CONN
*P io_out[30] O
*I *7024:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[30] 0.000480147
2 *7024:Z 0.000480147
3 io_out[12] io_out[30] 0.000171138
4 io_out[26] io_out[30] 0
*RES
1 *7024:Z io_out[30] 19.319
*END
*D_NET *102 0.00115545
*CONN
*P io_out[31] O
*I *7025:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[31] 0.000577724
2 *7025:Z 0.000577724
3 io_out[31] io_out[9] 0
4 io_out[31] *587:10 0
5 io_out[31] *606:16 0
*RES
1 *7025:Z io_out[31] 20.5648
*END
*D_NET *103 0.00265774
*CONN
*P io_out[32] O
*I *7026:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[32] 0.000969882
2 *7026:Z 0.000969882
3 io_out[32] la1_data_out[1] 4.51062e-05
4 io_out[32] la1_data_out[27] 0.000292521
5 io_out[32] *6963:TE_B 6.7356e-05
6 io_out[32] *6989:A 1.78514e-05
7 io_out[32] *6989:TE_B 0.000129514
8 io_out[32] *7026:A 6.50727e-05
9 io_out[32] *601:16 0
10 *7103:A io_out[32] 0.000100557
*RES
1 *7026:Z io_out[32] 32.5405
*END
*D_NET *104 0.0017451
*CONN
*P io_out[33] O
*I *7027:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[33] 0.000835152
2 *7027:Z 0.000835152
3 io_out[33] la1_data_out[26] 0
4 io_out[33] *7107:A 0
5 io_out[33] *588:54 7.4794e-05
*RES
1 *7027:Z io_out[33] 23.4387
*END
*D_NET *105 0.00168489
*CONN
*P io_out[34] O
*I *7028:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[34] 0.000792408
2 *7028:Z 0.000792408
3 io_out[34] la1_data_out[21] 0
4 io_out[34] *6226:D_N 0
5 io_out[34] *6314:A1 3.93117e-06
6 io_out[34] *600:45 9.61451e-05
7 io_out[14] io_out[34] 0
*RES
1 *7028:Z io_out[34] 20.9472
*END
*D_NET *106 0.00198431
*CONN
*P io_out[35] O
*I *7029:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[35] 0.000746052
2 *7029:Z 0.000746052
3 io_out[35] la1_data_out[8] 0
4 io_out[35] *591:78 0.00011255
5 io_out[35] *600:45 0.000112225
6 io_oeb[26] io_out[35] 0.000150746
7 *83:8 io_out[35] 0.000116689
*RES
1 *7029:Z io_out[35] 21.3625
*END
*D_NET *107 0.00116089
*CONN
*P io_out[36] O
*I *7030:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[36] 0.000580447
2 *7030:Z 0.000580447
3 io_out[36] *7051:A 0
*RES
1 *7030:Z io_out[36] 28.9913
*END
*D_NET *108 0.00318556
*CONN
*P io_out[37] O
*I *7031:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[37] 0.00144476
2 *7031:Z 0.00144476
3 io_out[37] la1_data_out[12] 0.000204872
4 io_out[37] *595:35 1.94236e-05
5 io_out[37] *595:43 1.71492e-05
6 io_out[17] io_out[37] 0
7 *86:26 io_out[37] 5.45945e-05
*RES
1 *7031:Z io_out[37] 24.8806
*END
*D_NET *109 0.00126802
*CONN
*P io_out[3] O
*I *6997:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[3] 0.000604402
2 *6997:Z 0.000604402
3 io_out[3] *6997:TE_B 5.92192e-05
4 io_out[3] *587:10 0
5 io_out[3] *601:10 0
*RES
1 *6997:Z io_out[3] 20.5648
*END
*D_NET *110 0.00167806
*CONN
*P io_out[4] O
*I *6998:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[4] 0.000740533
2 *6998:Z 0.000740533
3 io_out[4] *600:45 9.61451e-05
4 io_oeb[11] io_out[4] 0
5 *83:8 io_out[4] 0.000100851
*RES
1 *6998:Z io_out[4] 20.9472
*END
*D_NET *111 0.00115404
*CONN
*P io_out[5] O
*I *6999:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[5] 0.000577022
2 *6999:Z 0.000577022
3 io_out[5] *6999:A 0
*RES
1 *6999:Z io_out[5] 28.9913
*END
*D_NET *112 0.00357232
*CONN
*P io_out[6] O
*I *7000:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[6] 0.000849491
2 *7000:Z 0.000630902
3 *112:11 0.00148039
4 io_out[6] la1_data_out[9] 0
5 io_out[6] *6993:A 0.000152795
6 io_out[6] *584:60 0
7 *112:11 *5852:A 5.75687e-05
8 *112:11 *7000:TE_B 0.000315161
9 *112:11 *585:45 0
10 *112:11 *585:47 8.60138e-05
*RES
1 *7000:Z *112:11 35.697
2 *112:11 io_out[6] 23.132
*END
*D_NET *113 0.00129386
*CONN
*P io_out[7] O
*I *7001:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[7] 0.000642616
2 *7001:Z 0.000642616
3 io_out[7] *7001:TE_B 8.62625e-06
4 io_out[7] *606:33 0
5 io_oeb[37] io_out[7] 0
*RES
1 *7001:Z io_out[7] 20.7177
*END
*D_NET *114 0.000778162
*CONN
*P io_out[8] O
*I *7002:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[8] 0.000389081
2 *7002:Z 0.000389081
3 io_out[8] *7002:TE_B 0
*RES
1 *7002:Z io_out[8] 24.4236
*END
*D_NET *115 0.00136655
*CONN
*P io_out[9] O
*I *7003:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[9] 0.000683276
2 *7003:Z 0.000683276
3 io_out[9] *587:10 0
4 io_out[9] *606:16 0
5 io_oeb[10] io_out[9] 0
6 io_out[31] io_out[9] 0
*RES
1 *7003:Z io_out[9] 22.2258
*END
*D_NET *116 0.00072664
*CONN
*P la1_data_in[0] I
*I *7107:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 la1_data_in[0] 0.000348314
2 *7107:A 0.000348314
3 *7107:A *597:65 3.00122e-05
4 io_oeb[33] *7107:A 0
5 io_out[33] *7107:A 0
*RES
1 la1_data_in[0] *7107:A 20.1386
*END
*D_NET *148 0.00164498
*CONN
*P la1_data_out[0] O
*I *6962:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[0] 0.000581046
2 *6962:Z 0.000581046
3 la1_data_out[0] *6996:A 0
4 la1_data_out[0] *6996:TE_B 0
5 la1_data_out[0] *585:11 9.91731e-05
6 la1_data_out[0] *599:15 0.000383717
7 io_out[2] la1_data_out[0] 0
*RES
1 *6962:Z la1_data_out[0] 31.3814
*END
*D_NET *149 0.00107457
*CONN
*P la1_data_out[10] O
*I *6972:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[10] 0.000410499
2 *6972:Z 0.000410499
3 la1_data_out[10] la1_data_out[30] 0.000108689
4 la1_data_out[10] *596:59 0.000100851
5 la1_data_out[10] *597:65 4.40325e-05
*RES
1 *6972:Z la1_data_out[10] 20.1058
*END
*D_NET *150 0.00133371
*CONN
*P la1_data_out[11] O
*I *6973:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[11] 0.000666856
2 *6973:Z 0.000666856
3 la1_data_out[11] *7035:A 0
*RES
1 *6973:Z la1_data_out[11] 31.0676
*END
*D_NET *151 0.00312968
*CONN
*P la1_data_out[12] O
*I *6974:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[12] 0.00125351
2 *6974:Z 0.00125351
3 la1_data_out[12] la1_data_out[31] 2.01503e-05
4 la1_data_out[12] *600:50 0.000397638
5 io_out[0] la1_data_out[12] 0
6 io_out[37] la1_data_out[12] 0.000204872
*RES
1 *6974:Z la1_data_out[12] 36.0302
*END
*D_NET *152 0.00142716
*CONN
*P la1_data_out[13] O
*I *6975:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[13] 0.000654488
2 *6975:Z 0.000654488
3 la1_data_out[13] la1_data_out[18] 0
4 la1_data_out[13] *6975:A 0.00011818
5 la1_data_out[13] *7053:TE_B 0
*RES
1 *6975:Z la1_data_out[13] 31.5884
*END
*D_NET *153 0.00140532
*CONN
*P la1_data_out[14] O
*I *6976:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[14] 0.000675348
2 *6976:Z 0.000675348
3 la1_data_out[14] *594:59 5.46286e-05
4 io_oeb[24] la1_data_out[14] 0
*RES
1 *6976:Z la1_data_out[14] 31.542
*END
*D_NET *154 0.00151779
*CONN
*P la1_data_out[15] O
*I *6977:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[15] 0.000671453
2 *6977:Z 0.000671453
3 la1_data_out[15] la1_data_out[5] 0
4 io_out[11] la1_data_out[15] 0.000174885
*RES
1 *6977:Z la1_data_out[15] 25.5478
*END
*D_NET *155 0.00169854
*CONN
*P la1_data_out[16] O
*I *6978:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[16] 0.00076583
2 *6978:Z 0.00076583
3 la1_data_out[16] la1_data_out[24] 0
4 la1_data_out[16] *7038:A 7.00732e-05
5 io_oeb[6] la1_data_out[16] 9.68092e-05
*RES
1 *6978:Z la1_data_out[16] 20.6085
*END
*D_NET *156 0.000817571
*CONN
*P la1_data_out[17] O
*I *6979:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[17] 0.000358503
2 *6979:Z 0.000358503
3 la1_data_out[17] la1_data_out[8] 0.000100565
4 la1_data_out[17] *596:51 0
*RES
1 *6979:Z la1_data_out[17] 21.0456
*END
*D_NET *157 0.00176125
*CONN
*P la1_data_out[18] O
*I *6980:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[18] 0.000837207
2 *6980:Z 0.000837207
3 la1_data_out[18] *5870:A 5.96936e-05
4 la1_data_out[18] *589:61 2.71397e-05
5 la1_data_out[13] la1_data_out[18] 0
*RES
1 *6980:Z la1_data_out[18] 35.6354
*END
*D_NET *158 0.00164406
*CONN
*P la1_data_out[19] O
*I *6981:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[19] 0.000800056
2 *6981:Z 0.000800056
3 la1_data_out[19] *6147:A 2.02035e-05
4 la1_data_out[19] *214:13 0
5 io_out[16] la1_data_out[19] 0
6 *83:8 la1_data_out[19] 2.37478e-05
*RES
1 *6981:Z la1_data_out[19] 19.7015
*END
*D_NET *159 0.00118766
*CONN
*P la1_data_out[1] O
*I *6963:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[1] 0.000519446
2 *6963:Z 0.000519446
3 io_out[32] la1_data_out[1] 4.51062e-05
4 *7103:A la1_data_out[1] 0.000103659
*RES
1 *6963:Z la1_data_out[1] 19.8107
*END
*D_NET *160 0.00186342
*CONN
*P la1_data_out[20] O
*I *6982:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[20] 0.000703391
2 *6982:Z 0.000703391
3 la1_data_out[20] la1_data_out[3] 0.000381549
4 la1_data_out[20] *5872:A 8.91699e-06
5 la1_data_out[20] *587:10 0
6 la1_data_out[20] *606:16 0
7 io_oeb[36] la1_data_out[20] 6.61722e-05
*RES
1 *6982:Z la1_data_out[20] 26.4548
*END
*D_NET *161 0.00151598
*CONN
*P la1_data_out[21] O
*I *6983:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[21] 0.000747888
2 *6983:Z 0.000747888
3 la1_data_out[21] *600:45 2.02035e-05
4 la1_data_out[21] *1298:6 0
5 io_out[34] la1_data_out[21] 0
*RES
1 *6983:Z la1_data_out[21] 19.7015
*END
*D_NET *162 0.00146574
*CONN
*P la1_data_out[22] O
*I *6984:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[22] 0.000731983
2 *6984:Z 0.000731983
3 la1_data_out[22] *6984:A 0
4 la1_data_out[22] *6984:TE_B 1.77537e-06
*RES
1 *6984:Z la1_data_out[22] 32.7286
*END
*D_NET *163 0.00105867
*CONN
*P la1_data_out[23] O
*I *6985:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[23] 0.000499646
2 *6985:Z 0.000499646
3 la1_data_out[23] *7049:TE_B 4.86511e-05
4 la1_data_out[23] *607:10 1.07248e-05
5 io_oeb[17] la1_data_out[23] 0
*RES
1 *6985:Z la1_data_out[23] 27.3303
*END
*D_NET *164 0.00204498
*CONN
*P la1_data_out[24] O
*I *6986:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[24] 0.000977814
2 *6986:Z 0.000977814
3 la1_data_out[24] *585:51 0
4 io_oeb[6] la1_data_out[24] 8.93551e-05
5 la1_data_out[16] la1_data_out[24] 0
*RES
1 *6986:Z la1_data_out[24] 22.9142
*END
*D_NET *165 0.000409359
*CONN
*P la1_data_out[25] O
*I *6987:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[25] 0.000167659
2 *6987:Z 0.000167659
3 la1_data_out[25] *6977:TE_B 7.86825e-06
4 io_oeb[14] la1_data_out[25] 6.61722e-05
5 io_out[11] la1_data_out[25] 0
*RES
1 *6987:Z la1_data_out[25] 18.1717
*END
*D_NET *166 0.000796548
*CONN
*P la1_data_out[26] O
*I *6988:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[26] 0.000325842
2 *6988:Z 0.000325842
3 la1_data_out[26] *7023:A 7.00732e-05
4 la1_data_out[26] *7023:TE_B 7.47905e-05
5 io_out[33] la1_data_out[26] 0
*RES
1 *6988:Z la1_data_out[26] 19.3846
*END
*D_NET *167 0.00254713
*CONN
*P la1_data_out[27] O
*I *6989:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[27] 0.000955161
2 *6989:Z 0.000955161
3 la1_data_out[27] *6963:TE_B 0.000127194
4 io_oeb[30] la1_data_out[27] 0.000217096
5 io_out[32] la1_data_out[27] 0.000292521
*RES
1 *6989:Z la1_data_out[27] 33.407
*END
*D_NET *168 0.00141902
*CONN
*P la1_data_out[28] O
*I *6990:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[28] 0.000353935
2 *6990:Z 0.000353935
3 io_oeb[16] la1_data_out[28] 0.000129161
4 io_oeb[4] la1_data_out[28] 0.000581988
*RES
1 *6990:Z la1_data_out[28] 27.3303
*END
*D_NET *169 0.00135527
*CONN
*P la1_data_out[29] O
*I *6991:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[29] 0.000675797
2 *6991:Z 0.000675797
3 la1_data_out[29] *6991:TE_B 3.67528e-06
4 la1_data_out[29] *6996:A 0
5 la1_data_out[29] *599:8 0
6 io_out[2] la1_data_out[29] 0
*RES
1 *6991:Z la1_data_out[29] 31.0676
*END
*D_NET *170 0.00102354
*CONN
*P la1_data_out[2] O
*I *6964:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[2] 0.00051177
2 *6964:Z 0.00051177
*RES
1 *6964:Z la1_data_out[2] 27.3303
*END
*D_NET *171 0.00187557
*CONN
*P la1_data_out[30] O
*I *6992:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[30] 0.000830502
2 *6992:Z 0.000830502
3 la1_data_out[30] *585:51 9.69453e-05
4 la1_data_out[30] *588:54 8.92789e-06
5 io_oeb[13] la1_data_out[30] 0
6 la1_data_out[10] la1_data_out[30] 0.000108689
*RES
1 *6992:Z la1_data_out[30] 23.4387
*END
*D_NET *172 0.00306049
*CONN
*P la1_data_out[31] O
*I *6993:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[31] 0.0014728
2 *6993:Z 0.0014728
3 la1_data_out[31] *5925:A 1.65078e-05
4 la1_data_out[31] *6971:A 0
5 la1_data_out[31] *6971:TE_B 4.27003e-05
6 la1_data_out[31] *600:45 3.55296e-05
7 io_out[0] la1_data_out[31] 0
8 io_out[17] la1_data_out[31] 0
9 la1_data_out[12] la1_data_out[31] 2.01503e-05
*RES
1 *6993:Z la1_data_out[31] 39.7865
*END
*D_NET *173 0.00150882
*CONN
*P la1_data_out[3] O
*I *6965:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[3] 0.000516592
2 *6965:Z 0.000516592
3 la1_data_out[3] *5872:A 9.4089e-05
4 la1_data_out[20] la1_data_out[3] 0.000381549
*RES
1 *6965:Z la1_data_out[3] 22.641
*END
*D_NET *174 0.00155343
*CONN
*P la1_data_out[4] O
*I *6966:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[4] 0.000558701
2 *6966:Z 0.000558701
3 la1_data_out[4] *6966:TE_B 0
4 io_out[18] la1_data_out[4] 0
5 io_out[29] la1_data_out[4] 0.000436024
*RES
1 *6966:Z la1_data_out[4] 31.0676
*END
*D_NET *175 0.00207977
*CONN
*P la1_data_out[5] O
*I *6967:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[5] 0.000759401
2 *6967:Z 0.000759401
3 la1_data_out[5] *6969:A 0
4 la1_data_out[5] *6969:TE_B 0
5 la1_data_out[5] *177:11 0.000419696
6 io_oeb[8] la1_data_out[5] 5.41377e-05
7 io_out[11] la1_data_out[5] 8.71352e-05
8 la1_data_out[15] la1_data_out[5] 0
*RES
1 *6967:Z la1_data_out[5] 41.1069
*END
*D_NET *176 0.00197413
*CONN
*P la1_data_out[6] O
*I *6968:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[6] 0.000831872
2 *6968:Z 0.000831872
3 la1_data_out[6] *585:51 4.41388e-05
4 io_oeb[20] la1_data_out[6] 0.000266247
*RES
1 *6968:Z la1_data_out[6] 21.3625
*END
*D_NET *177 0.00415337
*CONN
*P la1_data_out[7] O
*I *6969:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[7] 0.000485923
2 *6969:Z 0.000994542
3 *177:11 0.00148046
4 la1_data_out[7] *6977:A 0
5 la1_data_out[7] *6977:TE_B 0
6 *177:11 *5850:A 1.2954e-05
7 *177:11 *5881:A 0.000387915
8 *177:11 *6967:TE_B 1.41291e-05
9 *177:11 *6969:TE_B 0.000144546
10 *177:11 *6977:A 6.50727e-05
11 io_oeb[22] *177:11 0.000148129
12 la1_data_out[5] *177:11 0.000419696
*RES
1 *6969:Z *177:11 49.983
2 *177:11 la1_data_out[7] 13.1659
*END
*D_NET *178 0.000613697
*CONN
*P la1_data_out[8] O
*I *6970:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[8] 0.000256566
2 *6970:Z 0.000256566
3 la1_data_out[8] *596:51 0
4 io_out[35] la1_data_out[8] 0
5 la1_data_out[17] la1_data_out[8] 0.000100565
*RES
1 *6970:Z la1_data_out[8] 18.5541
*END
*D_NET *179 0.0011789
*CONN
*P la1_data_out[9] O
*I *6971:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[9] 0.000589452
2 *6971:Z 0.000589452
3 la1_data_out[9] *6971:A 0
4 la1_data_out[9] *6993:A 0
5 io_out[6] la1_data_out[9] 0
*RES
1 *6971:Z la1_data_out[9] 28.9913
*END
*D_NET *214 0.01346
*CONN
*P wb_clk_i I
*I *7070:A I *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 wb_clk_i 0.00137644
2 *7070:A 0
3 *214:28 0.00148994
4 *214:17 0.00262061
5 *214:13 0.0025071
6 *214:13 *6711:D 0.000104483
7 *214:13 *603:38 0.000137247
8 *214:13 *757:18 2.78666e-05
9 *214:17 *6017:A1 4.0752e-05
10 *214:17 *6124:A1 0.000364068
11 *214:17 *6124:B2 6.08467e-05
12 *214:17 *6132:B 0.000430366
13 *214:17 *6135:A1 4.31703e-05
14 *214:17 *6691:A 5.0715e-05
15 *214:17 *6691:C 3.07155e-05
16 *214:17 *6711:D 8.41339e-05
17 *214:17 *6725:D 7.09013e-05
18 *214:17 *603:30 0.000371284
19 *214:17 *603:38 0.00154074
20 *214:17 *748:10 1.03403e-05
21 *214:17 *1227:10 3.01592e-06
22 *214:28 *5987:B 0.000129573
23 *214:28 *6017:A1 0.000271058
24 *214:28 *6037:B1 0.000213739
25 *214:28 *603:30 0.000186525
26 *214:28 *609:33 0.000727034
27 *214:28 *609:44 1.43712e-05
28 *214:28 *615:20 0
29 *214:28 *626:8 0
30 *214:28 *631:10 0
31 *214:28 *635:8 0.000168023
32 *214:28 *637:8 3.0577e-05
33 *214:28 *1066:20 0
34 *214:28 *1220:33 0.000253772
35 io_out[23] *214:13 0.000100565
36 la1_data_out[19] *214:13 0
*RES
1 wb_clk_i *214:13 18.6472
2 *214:13 *214:17 49.5526
3 *214:17 *214:28 48.3188
4 *214:28 *7070:A 9.24915
*END
*D_NET *215 0.00216712
*CONN
*I *6724:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6134:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6724:D 0.000763197
2 *6134:X 0.000763197
3 *6724:D *6148:B 0
4 *6724:D *6713:CLK 0.000575651
5 *6724:D *6724:CLK 6.50727e-05
*RES
1 *6134:X *6724:D 46.4445
*END
*D_NET *216 0.00140671
*CONN
*I *6725:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6135:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6725:D 0.000614588
2 *6135:X 0.000614588
3 *6725:D *6154:B 0
4 *6725:D *6711:CLK 0.000106635
5 *6725:D *6711:D 0
6 *6725:D *743:8 0
7 *6725:D *749:8 0
8 *214:17 *6725:D 7.09013e-05
*RES
1 *6135:X *6725:D 40.4888
*END
*D_NET *217 0.000828026
*CONN
*I *6716:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6033:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6716:D 0.000229645
2 *6033:X 0.000229645
3 *6716:D *605:41 0.000359378
4 *6716:D *1094:39 0
5 *6716:D *1227:10 9.35753e-06
*RES
1 *6033:X *6716:D 34.4402
*END
*D_NET *218 0.00303712
*CONN
*I *6717:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6037:X O *D sky130_fd_sc_hd__a2bb2o_1
*CAP
1 *6717:D 0
2 *6037:X 0.000987617
3 *218:9 0.000987617
4 *218:9 *6038:A 9.28816e-05
5 *218:9 *6038:B 0
6 *218:9 *6718:CLK 9.35753e-06
7 *218:9 *6727:D 0.000191435
8 *218:9 *7097:A 8.47466e-05
9 *218:9 *219:11 0
10 *218:9 *577:11 0.000529249
11 *218:9 *635:12 5.04879e-05
12 *218:9 *1094:10 9.30041e-05
13 *218:9 *1219:42 1.07248e-05
*RES
1 *6037:X *218:9 47.5416
2 *218:9 *6717:D 9.24915
*END
*D_NET *219 0.00315313
*CONN
*I *6718:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6039:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6718:D 0
2 *6039:X 0.00108808
3 *219:11 0.00108808
4 *219:11 *6715:D 0.000525621
5 *219:11 *6718:CLK 0.000183153
6 *219:11 *6727:D 7.52822e-05
7 *219:11 *1094:10 0.000142192
8 *219:11 *1226:9 0
9 *219:11 *1228:7 5.0715e-05
10 *218:9 *219:11 0
*RES
1 *6039:X *219:11 48.9888
2 *219:11 *6718:D 9.24915
*END
*D_NET *220 0.000685645
*CONN
*I *6720:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6097:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6720:D 0.000254677
2 *6097:X 0.000254677
3 *6720:D *6119:A0 0
4 *6720:D *6720:CLK 0.000141816
5 *6720:D *1092:69 3.4475e-05
*RES
1 *6097:X *6720:D 34.6757
*END
*D_NET *221 0.000708022
*CONN
*I *6721:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6111:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6721:D 0.000186108
2 *6111:X 0.000186108
3 *6721:D *1092:66 0.000335805
*RES
1 *6111:X *6721:D 33.2962
*END
*D_NET *222 0.000244578
*CONN
*I *6722:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6120:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6722:D 0.000105549
2 *6120:X 0.000105549
3 *6722:D *6120:A 3.34802e-05
4 *6722:D *6706:S 0
5 *6722:D *1092:69 0
*RES
1 *6120:X *6722:D 30.1079
*END
*D_NET *223 0.000599323
*CONN
*I *6711:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6176:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6711:D 0.0001919
2 *6176:X 0.0001919
3 *6711:D *6711:CLK 2.69064e-05
4 *6725:D *6711:D 0
5 *214:13 *6711:D 0.000104483
6 *214:17 *6711:D 8.41339e-05
*RES
1 *6176:X *6711:D 32.6398
*END
*D_NET *224 0.000645405
*CONN
*I *6712:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6177:Y O *D sky130_fd_sc_hd__nor3b_1
*CAP
1 *6712:D 0.000293708
2 *6177:Y 0.000293708
3 *6712:D *6131:B 0
4 *6712:D *602:61 0
5 *6712:D *747:8 3.92275e-05
6 *6712:D *1085:69 1.87611e-05
*RES
1 *6177:Y *6712:D 34.4293
*END
*D_NET *225 0.000511627
*CONN
*I *6713:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6178:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *6713:D 0.000255814
2 *6178:X 0.000255814
*RES
1 *6178:X *6713:D 24.0262
*END
*D_NET *226 0.00137894
*CONN
*I *6719:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6179:Y O *D sky130_fd_sc_hd__nor3b_1
*CAP
1 *6719:D 0.000473083
2 *6179:Y 0.000473083
3 *6719:D *6127:A 0
4 *6719:D *6135:A1 0
5 *6719:D *602:61 0.000290433
6 *6719:D *743:8 0
7 *6719:D *748:10 0.000123582
8 *6719:D *1094:76 1.87611e-05
*RES
1 *6179:Y *6719:D 40.658
*END
*D_NET *227 0.000518054
*CONN
*I *6723:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6181:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6723:D 0.000259027
2 *6181:X 0.000259027
3 *6723:D *6851:CLK 0
4 *6723:D *1092:16 0
*RES
1 *6181:X *6723:D 33.43
*END
*D_NET *228 0.00060256
*CONN
*I *6726:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6183:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6726:D 0.000189082
2 *6183:X 0.000189082
3 *6726:D *6726:CLK 0.000224395
*RES
1 *6183:X *6726:D 23.4958
*END
*D_NET *229 0.0016421
*CONN
*I *6037:A1_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6727:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6035:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *6037:A1_N 0
2 *6727:D 0.000362108
3 *6035:Y 0.000106268
4 *229:6 0.000468376
5 *6727:D *6039:A2 4.77123e-05
6 *6727:D *6715:D 0
7 *6727:D *605:41 0.000276239
8 *6727:D *1219:42 5.25577e-05
9 *229:6 *6039:A2 3.67528e-06
10 *229:6 *644:13 8.62625e-06
11 *229:6 *650:8 0
12 *229:6 *1219:42 4.98193e-05
13 *218:9 *6727:D 0.000191435
14 *219:11 *6727:D 7.52822e-05
*RES
1 *6035:Y *229:6 16.4116
2 *229:6 *6727:D 26.0517
3 *229:6 *6037:A1_N 13.7491
*END
*D_NET *230 0.00021285
*CONN
*I *6728:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6193:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6728:D 7.39579e-05
2 *6193:X 7.39579e-05
3 *6728:D *6193:A 4.61732e-05
4 *6728:D *6728:CLK 1.87611e-05
*RES
1 *6193:X *6728:D 29.5533
*END
*D_NET *231 0.000283254
*CONN
*I *6729:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6196:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6729:D 9.64667e-05
2 *6196:Y 9.64667e-05
3 *6729:D *6196:B1 7.15593e-05
4 *6729:D *590:35 1.87611e-05
*RES
1 *6196:Y *6729:D 30.3838
*END
*D_NET *232 0.000463653
*CONN
*I *6730:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6199:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6730:D 0.000231827
2 *6199:X 0.000231827
3 *6730:D *6197:A1 0
4 *6730:D *6197:A2 0
5 *6730:D *6730:CLK 0
6 *6730:D *1320:8 0
*RES
1 *6199:X *6730:D 32.8754
*END
*D_NET *233 0.000300457
*CONN
*I *6731:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6201:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6731:D 9.45756e-05
2 *6201:Y 9.45756e-05
3 *6731:D *6201:A 0.000101133
4 *6731:D *6731:CLK 1.0173e-05
*RES
1 *6201:Y *6731:D 30.1079
*END
*D_NET *234 0.000903557
*CONN
*I *6732:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6203:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6732:D 0.000319213
2 *6203:Y 0.000319213
3 *6732:D *6159:A2 0
4 *6732:D *6203:B1 0.000101148
5 *6732:D *6731:CLK 7.12382e-05
6 *6732:D *792:15 0
7 *6732:D *1081:37 9.27451e-05
*RES
1 *6203:Y *6732:D 37.0307
*END
*D_NET *235 0.000503152
*CONN
*I *6733:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6207:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6733:D 0.00019159
2 *6207:X 0.00019159
3 *6733:D *6733:CLK 0.000119972
*RES
1 *6207:X *6733:D 23.4958
*END
*D_NET *236 0.00137411
*CONN
*I *6734:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6210:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6734:D 0.000470324
2 *6210:Y 0.000470324
3 *6734:D *6208:A 0
4 *6734:D *6209:B1 0.00012316
5 *6734:D *6734:CLK 0.000106635
6 *6734:D *798:30 5.51483e-06
7 *6734:D *801:5 5.56461e-05
8 *6734:D *801:13 9.72423e-05
9 *6734:D *1325:15 3.07997e-05
10 *6734:D *1326:34 1.44611e-05
*RES
1 *6210:Y *6734:D 37.0331
*END
*D_NET *237 0.000437367
*CONN
*I *6735:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6215:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6735:D 0.000143161
2 *6215:X 0.000143161
3 *6735:D *6345:B 2.13584e-05
4 *6735:D *6728:CLK 3.20069e-06
5 *6735:D *768:20 6.50727e-05
6 *6735:D *1088:12 6.14128e-05
7 *6735:D *1325:8 0
*RES
1 *6215:X *6735:D 31.1072
*END
*D_NET *238 0.000386828
*CONN
*I *6736:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6220:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6736:D 0.000128224
2 *6220:X 0.000128224
3 *6736:D *769:11 1.87611e-05
4 *6736:D *792:47 4.15008e-05
5 *6736:D *810:11 1.84293e-05
6 *6736:D *1081:42 4.80148e-05
7 *6736:D *1081:51 3.67528e-06
*RES
1 *6220:X *6736:D 31.1072
*END
*D_NET *239 0.000344474
*CONN
*I *6737:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6222:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6737:D 0.000151487
2 *6222:X 0.000151487
3 *6737:D *6222:A2 4.15008e-05
*RES
1 *6222:X *6737:D 31.3182
*END
*D_NET *240 0.000763577
*CONN
*I *6738:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6240:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6738:D 0.000220533
2 *6240:X 0.000220533
3 *6738:D *6233:C 9.71182e-06
4 *6738:D *6240:A 7.50722e-05
5 *6738:D *6244:C 6.80025e-05
6 *6738:D *6740:CLK 0.000157124
7 *6738:D *1086:21 1.2601e-05
*RES
1 *6240:X *6738:D 34.4293
*END
*D_NET *241 0.000528881
*CONN
*I *6739:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6245:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6739:D 0.000178408
2 *6245:X 0.000178408
3 *6739:D *6739:CLK 3.67528e-06
4 *6739:D *588:33 1.87611e-05
5 *6739:D *830:67 0.000149628
6 *6739:D *1273:6 0
*RES
1 *6245:X *6739:D 31.6618
*END
*D_NET *242 0.000579114
*CONN
*I *6740:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6250:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6740:D 0.000161642
2 *6250:X 0.000161642
3 *6740:D *6250:A 7.93468e-05
4 *6740:D *6740:CLK 0.000176483
*RES
1 *6250:X *6740:D 32.4629
*END
*D_NET *243 0.000463424
*CONN
*I *6741:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6255:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6741:D 0.000184913
2 *6255:X 0.000184913
3 *6741:D *6255:A 9.35979e-05
*RES
1 *6255:X *6741:D 31.7717
*END
*D_NET *244 0.000735649
*CONN
*I *6742:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6258:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6742:D 0.000185424
2 *6258:Y 0.000185424
3 *6742:D *6254:A_N 1.65078e-05
4 *6742:D *6258:B1 6.45085e-05
5 *6742:D *6330:A 6.07015e-05
6 *6742:D *596:22 0.000223083
*RES
1 *6258:Y *6742:D 33.848
*END
*D_NET *245 0.00070403
*CONN
*I *6743:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6262:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6743:D 0.000228916
2 *6262:X 0.000228916
3 *6743:D *591:59 4.95605e-05
4 *6743:D *841:15 0
5 *6743:D *1080:8 0.000196638
*RES
1 *6262:X *6743:D 34.1562
*END
*D_NET *246 0.000917755
*CONN
*I *6744:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6264:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6744:D 0.000142934
2 *6264:X 0.000142934
3 *6744:D *6744:CLK 1.09551e-05
4 *6744:D *1086:5 0.000135103
5 *6744:D *1086:7 5.98122e-05
6 *6744:D *1086:12 1.44237e-06
7 *6744:D *1307:5 7.32188e-05
8 *6744:D *1307:19 0.000351355
*RES
1 *6264:X *6744:D 26.5039
*END
*D_NET *247 0.000462127
*CONN
*I *6745:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6269:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6745:D 0.000197013
2 *6269:X 0.000197013
3 *6745:D *827:18 6.81008e-05
4 *6745:D *1086:21 0
*RES
1 *6269:X *6745:D 32.6023
*END
*D_NET *248 0.000611809
*CONN
*I *6746:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6273:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6746:D 0.0002453
2 *6273:Y 0.0002453
3 *6746:D *6746:CLK 1.41531e-05
4 *6746:D *6747:CLK 0.000107056
*RES
1 *6273:Y *6746:D 33.8508
*END
*D_NET *249 0.000462439
*CONN
*I *6747:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6276:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6747:D 0.000150291
2 *6276:Y 0.000150291
3 *6747:D *6273:A 7.44658e-05
4 *6747:D *6276:A 0
5 *6747:D *6276:B 0
6 *6747:D *7076:A 4.40253e-05
7 *6747:D *589:15 4.33655e-05
8 *6747:D *854:6 0
*RES
1 *6276:Y *6747:D 32.0476
*END
*D_NET *250 0.00108271
*CONN
*I *6748:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6280:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6748:D 0.000409808
2 *6280:Y 0.000409808
3 *6748:D *823:11 0.000263099
4 *6748:D *1286:8 0
5 *6748:D *1286:23 0
*RES
1 *6280:Y *6748:D 37.62
*END
*D_NET *251 0.000743133
*CONN
*I *6749:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6283:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6749:D 0.000281292
2 *6283:Y 0.000281292
3 *6749:D *6283:B1 1.2954e-05
4 *6749:D *7083:A 0.000149628
5 *6749:D *1085:24 0
6 *6749:D *1287:8 1.79672e-05
*RES
1 *6283:Y *6749:D 33.8803
*END
*D_NET *252 0.000694428
*CONN
*I *6750:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6287:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6750:D 0.000347214
2 *6287:X 0.000347214
3 *6750:D *1085:8 0
4 *6750:D *1085:24 0
5 *6750:D *1288:8 0
*RES
1 *6287:X *6750:D 35.9243
*END
*D_NET *253 0.000855533
*CONN
*I *6751:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6291:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6751:D 0.000346395
2 *6291:Y 0.000346395
3 *6751:D *6284:B 1.53125e-05
4 *6751:D *6291:B 0.000104731
5 *6751:D *1288:8 4.27003e-05
*RES
1 *6291:Y *6751:D 35.654
*END
*D_NET *254 0.000414015
*CONN
*I *6752:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6294:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6752:D 0.000207007
2 *6294:Y 0.000207007
3 *6752:D *6293:B1 0
4 *6752:D *1080:8 0
*RES
1 *6294:Y *6752:D 32.0824
*END
*D_NET *255 0.000813605
*CONN
*I *6753:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6298:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6753:D 0.000397422
2 *6298:X 0.000397422
3 *6753:D *1085:24 1.87611e-05
*RES
1 *6298:X *6753:D 34.0196
*END
*D_NET *256 0.000451041
*CONN
*I *6754:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6300:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6754:D 0.000143207
2 *6300:X 0.000143207
3 *6754:D *6300:B1 0.000151726
4 *6754:D *6307:B1 3.18826e-06
5 *6754:D *1292:7 9.71182e-06
*RES
1 *6300:X *6754:D 31.1072
*END
*D_NET *257 0.000498294
*CONN
*I *6755:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6305:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6755:D 0.000162655
2 *6305:X 0.000162655
3 *6755:D *6228:C_N 0.000125695
4 *6755:D *6305:A 0
5 *6755:D *841:22 2.85274e-05
6 *6755:D *1087:43 1.87611e-05
*RES
1 *6305:X *6755:D 31.2466
*END
*D_NET *258 0.00140506
*CONN
*I *6756:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6308:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6756:D 0.000363839
2 *6308:Y 0.000363839
3 *6756:D *6307:A1 8.52802e-05
4 *6756:D *6308:B 0.000341237
5 *6756:D *1294:17 0.000184399
6 *6756:D *1294:28 6.64609e-05
*RES
1 *6308:Y *6756:D 38.6973
*END
*D_NET *259 0.00113815
*CONN
*I *6757:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6311:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6757:D 0.000355876
2 *6311:Y 0.000355876
3 *6757:D *6226:D_N 0
4 *6757:D *6757:CLK 0.000113968
5 *6757:D *596:44 0.000220183
6 *6757:D *841:43 2.47705e-05
7 *6757:D *879:9 6.74811e-05
*RES
1 *6311:Y *6757:D 35.7182
*END
*D_NET *260 0.00048154
*CONN
*I *6758:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6315:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6758:D 0.000190204
2 *6315:Y 0.000190204
3 *6758:D *6315:A 0.000101133
4 *86:26 *6758:D 0
*RES
1 *6315:Y *6758:D 31.7717
*END
*D_NET *261 0.000559666
*CONN
*I *6759:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6318:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6759:D 0.000151177
2 *6318:Y 0.000151177
3 *6759:D *6318:A1 0.000104731
4 *6759:D *6318:A2 2.5386e-05
5 *6759:D *6318:B1 0.000127194
*RES
1 *6318:Y *6759:D 32.2512
*END
*D_NET *262 0.000768725
*CONN
*I *6760:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6322:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6760:D 0.000166823
2 *6322:X 0.000166823
3 *6760:D *6760:CLK 0.000334808
4 *6760:D *1299:8 0.000100271
*RES
1 *6322:X *6760:D 24.0504
*END
*D_NET *263 0.000602638
*CONN
*I *6761:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6325:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6761:D 0.00023381
2 *6325:Y 0.00023381
3 *6761:D *6227:D 6.50727e-05
4 *6761:D *6304:B 4.61732e-05
5 *6761:D *6758:CLK 2.41274e-06
6 *6761:D *596:44 2.13584e-05
7 *6761:D *1087:65 0
*RES
1 *6325:Y *6761:D 31.9405
*END
*D_NET *264 0.000620776
*CONN
*I *6762:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6327:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6762:D 0.000190211
2 *6327:Y 0.000190211
3 *6762:D *6327:A1 1.42919e-05
4 *6762:D *6327:A2 2.65667e-05
5 *6762:D *6327:B1 0.000151726
6 *6762:D *602:61 0
7 *6762:D *1087:83 2.94869e-05
8 *6762:D *1301:8 1.82832e-05
*RES
1 *6327:Y *6762:D 33.2874
*END
*D_NET *265 0.000445117
*CONN
*I *6763:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6331:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6763:D 0.000193256
2 *6331:X 0.000193256
3 *6763:D *6331:A 0
4 *6763:D *6742:CLK 1.2601e-05
5 *6763:D *588:43 6.91561e-06
6 *6763:D *1087:94 3.90891e-05
*RES
1 *6331:X *6763:D 31.6618
*END
*D_NET *266 0.00120096
*CONN
*I *6764:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6335:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6764:D 0.000294007
2 *6335:X 0.000294007
3 *6764:D *6333:A 0
4 *6764:D *6345:A 0.000247231
5 *6764:D *902:20 0.000101133
6 *6764:D *1091:26 7.50872e-05
7 *6764:D *1091:81 0.000189496
*RES
1 *6335:X *6764:D 36.9208
*END
*D_NET *267 0.000762903
*CONN
*I *6765:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6337:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6765:D 0.000230396
2 *6337:X 0.000230396
3 *6765:D *6333:A 7.90212e-05
4 *6765:D *6345:A 0
5 *6765:D *6764:CLK 0
6 *6765:D *895:8 5.22654e-06
7 *6765:D *902:7 0.000183145
8 *6765:D *1091:81 3.47181e-05
*RES
1 *6337:X *6765:D 35.2005
*END
*D_NET *268 0.000830171
*CONN
*I *6766:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6339:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6766:D 0.000277595
2 *6339:X 0.000277595
3 *6766:D *6343:A 3.93159e-05
4 *6766:D *6730:CLK 4.23733e-05
5 *6766:D *6766:CLK 8.52229e-05
6 *6766:D *1091:28 1.2693e-05
7 *6766:D *1091:30 7.52258e-05
8 *6766:D *1091:46 2.01503e-05
*RES
1 *6339:X *6766:D 35.7495
*END
*D_NET *269 0.000530268
*CONN
*I *6767:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6341:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6767:D 0.000265134
2 *6341:X 0.000265134
3 *6767:D *6341:A 0
4 *6767:D *1091:26 0
5 *6767:D *1149:12 0
*RES
1 *6341:X *6767:D 32.5962
*END
*D_NET *270 0.000300456
*CONN
*I *6768:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6343:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6768:D 9.20143e-05
2 *6343:X 9.20143e-05
3 *6768:D *6343:A 4.61732e-05
4 *6768:D *6853:CLK 7.02539e-05
5 *6768:D *1149:12 0
*RES
1 *6343:X *6768:D 30.1079
*END
*D_NET *271 0.000235702
*CONN
*I *6769:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6346:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6769:D 8.40937e-05
2 *6346:X 8.40937e-05
3 *6769:D *6346:A 4.87539e-05
4 *6769:D *1091:17 1.87611e-05
5 *6769:D *1091:26 0
6 *6769:D *1091:81 0
*RES
1 *6346:X *6769:D 29.5533
*END
*D_NET *272 0.000270767
*CONN
*I *6770:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6350:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6770:D 0.00011818
2 *6350:X 0.00011818
3 *6770:D *597:39 0
4 *6770:D *902:21 0
5 *6770:D *1090:20 3.1218e-05
6 *6770:D *1345:7 3.18826e-06
*RES
1 *6350:X *6770:D 30.3838
*END
*D_NET *273 0.00216804
*CONN
*I *6771:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6353:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6771:D 0.000570447
2 *6353:X 0.000570447
3 *6771:D *6040:A2 6.94589e-05
4 *6771:D *6040:A3 0.00018643
5 *6771:D *362:8 0
6 *6771:D *363:11 0.000226591
7 *6771:D *593:40 1.60502e-06
8 *6771:D *665:8 0
9 *6771:D *665:17 0
10 *6771:D *1351:10 7.14746e-05
11 *6771:D *1351:23 6.79599e-05
12 *6771:D *1351:32 0.000155355
13 *6771:D *1352:8 0.000141533
14 *6771:D *1352:21 8.01687e-05
15 *6771:D *1354:19 2.65667e-05
*RES
1 *6353:X *6771:D 45.2258
*END
*D_NET *274 0.00367886
*CONN
*I *6772:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6357:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6772:D 7.70482e-05
2 *6357:X 0.00115728
3 *274:8 0.00123433
4 *6772:D *6772:CLK 6.50727e-05
5 *6772:D *7094:A 6.50727e-05
6 *274:8 *6352:C 0.000449329
7 *274:8 *6354:B 0
8 *274:8 *6357:A1 0
9 *274:8 *6370:A 0
10 *274:8 *6375:A 0
11 *274:8 *6439:D 0
12 *274:8 *6777:D 0
13 *274:8 *6778:D 0
14 *274:8 *6782:D 1.84293e-05
15 *274:8 *593:40 0
16 *274:8 *803:39 0
17 *274:8 *907:24 8.96665e-05
18 *274:8 *1074:8 0.000522638
19 *274:8 *1354:19 0
*RES
1 *6357:X *274:8 47.0332
2 *274:8 *6772:D 16.0158
*END
*D_NET *275 0.00286791
*CONN
*I *6773:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6360:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6773:D 0
2 *6360:Y 0.00102848
3 *275:11 0.00102848
4 *275:11 *6350:A 0
5 *275:11 *6353:A 0.000149445
6 *275:11 *6360:A2 0
7 *275:11 *6771:CLK 7.2401e-05
8 *275:11 *6773:CLK 6.50727e-05
9 *275:11 *6779:D 3.77659e-05
10 *275:11 *597:39 0
11 *275:11 *902:21 0
12 *275:11 *907:24 0.00021285
13 *275:11 *913:31 2.41483e-05
14 *275:11 *1067:13 0
15 *275:11 *1350:9 0.000148144
16 *275:11 *1353:9 0.000101133
*RES
1 *6360:Y *275:11 46.1888
2 *275:11 *6773:D 9.24915
*END
*D_NET *276 0.00050823
*CONN
*I *6774:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6364:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6774:D 0.000249259
2 *6364:X 0.000249259
3 *6774:D *6774:CLK 9.71182e-06
4 *6774:D *354:10 0
5 *6774:D *905:8 0
*RES
1 *6364:X *6774:D 32.2164
*END
*D_NET *277 0.000504079
*CONN
*I *6775:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6366:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6775:D 0.000186937
2 *6366:X 0.000186937
3 *6775:D *6775:CLK 6.50727e-05
4 *6775:D *603:30 6.51321e-05
*RES
1 *6366:X *6775:D 23.4958
*END
*D_NET *278 0.000406413
*CONN
*I *6776:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6368:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6776:D 0.000151126
2 *6368:X 0.000151126
3 *6776:D *6776:CLK 3.90891e-05
4 *6776:D *905:13 0
5 *6776:D *905:46 6.50727e-05
*RES
1 *6368:X *6776:D 31.1072
*END
*D_NET *279 0.000845736
*CONN
*I *6777:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6370:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6777:D 0.000198647
2 *6370:X 0.000198647
3 *6777:D *6439:D 2.22923e-05
4 *6777:D *6778:D 2.65667e-05
5 *6777:D *605:41 0.000399583
6 *274:8 *6777:D 0
*RES
1 *6370:X *6777:D 33.749
*END
*D_NET *280 0.00282772
*CONN
*I *6778:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6372:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6778:D 0.00052364
2 *6372:X 0.00052364
3 *6778:D *6372:B1 0.000430366
4 *6778:D *6374:A_N 0.000413252
5 *6778:D *6374:B 8.14875e-05
6 *6778:D *6375:A 0.000309574
7 *6778:D *6439:D 0.00014936
8 *6778:D *6778:CLK 0.000103827
9 *6778:D *6780:D 2.67906e-05
10 *6778:D *605:39 0.000107535
11 *6778:D *605:41 0.00013168
12 *6777:D *6778:D 2.65667e-05
13 *274:8 *6778:D 0
*RES
1 *6372:X *6778:D 49.0894
*END
*D_NET *281 0.00201999
*CONN
*I *6779:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6375:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6779:D 0.000814116
2 *6375:X 0.000814116
3 *6779:D *600:23 1.87611e-05
4 *6779:D *604:41 0.000229559
5 *6779:D *1067:13 3.42931e-05
6 *6779:D *1350:9 6.73186e-05
7 *6779:D *1351:7 4.05943e-06
8 *275:11 *6779:D 3.77659e-05
*RES
1 *6375:X *6779:D 41.3688
*END
*D_NET *282 0.00195316
*CONN
*I *6780:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6379:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6780:D 0.000766703
2 *6379:X 0.000766703
3 *6780:D *6778:CLK 2.50591e-05
4 *6780:D *6779:CLK 2.23124e-05
5 *6780:D *597:39 0
6 *6780:D *600:23 2.85566e-05
7 *6780:D *895:30 0.000294211
8 *6780:D *1088:54 4.05943e-06
9 *6780:D *1354:19 1.87611e-05
10 *6778:D *6780:D 2.67906e-05
*RES
1 *6379:X *6780:D 41.9178
*END
*D_NET *283 0.00130234
*CONN
*I *6781:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6382:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6781:D 0.000389851
2 *6382:Y 0.000389851
3 *6781:D *6382:B1_N 0.000365048
4 *6781:D *6781:CLK 0.00015759
*RES
1 *6382:Y *6781:D 28.4872
*END
*D_NET *284 0.00335173
*CONN
*I *6782:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6385:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6782:D 0.000501396
2 *6385:X 0.000501396
3 *6782:D *6142:A_N 0.00104186
4 *6782:D *6357:A1 0.000111722
5 *6782:D *6357:B1 8.90486e-05
6 *6782:D *6360:A1 2.85139e-05
7 *6782:D *6385:A1 0.000118166
8 *6782:D *6385:B1 8.58411e-05
9 *6782:D *6782:CLK 6.08467e-05
10 *6782:D *7085:A 0.000217937
11 *6782:D *755:9 6.94802e-05
12 *6782:D *1231:9 0.000507093
13 *274:8 *6782:D 1.84293e-05
*RES
1 *6385:X *6782:D 40.9236
*END
*D_NET *285 0.00240955
*CONN
*I *6783:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6387:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6783:D 0.000695874
2 *6387:X 0.000695874
3 *6783:D *6141:C 0.000175584
4 *6783:D *6358:A1 5.61124e-05
5 *6783:D *6358:A2 6.11359e-06
6 *6783:D *6360:A2 0.000619251
7 *6783:D *6387:B1 7.34948e-06
8 *6783:D *6783:CLK 0.000153393
*RES
1 *6387:X *6783:D 37.3367
*END
*D_NET *286 0.000536652
*CONN
*I *6784:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6396:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6784:D 0.000142483
2 *6396:X 0.000142483
3 *6784:D *6396:A1 0.000148129
4 *6784:D *936:33 0
5 *6784:D *1089:32 2.44829e-05
6 *6784:D *1089:39 3.63743e-05
7 *6784:D *1089:68 4.27003e-05
*RES
1 *6396:X *6784:D 32.0771
*END
*D_NET *287 0.00200177
*CONN
*I *6785:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6398:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6785:D 0.000524247
2 *6398:X 0.000524247
3 *6785:D *6397:B 0.000910579
4 *6785:D *6398:A3 4.27003e-05
*RES
1 *6398:X *6785:D 42.3092
*END
*D_NET *288 0.000605678
*CONN
*I *6786:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6402:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6786:D 9.23456e-05
2 *6402:X 9.23456e-05
3 *6786:D *6365:A 7.50872e-05
4 *6786:D *6388:A 4.18817e-05
5 *6786:D *6402:A3 0.000116986
6 *6786:D *947:42 1.70077e-05
7 *6786:D *1089:20 0.000170025
*RES
1 *6402:X *6786:D 31.6324
*END
*D_NET *289 0.000672178
*CONN
*I *6787:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6408:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6787:D 0.000206699
2 *6408:X 0.000206699
3 *6787:D *6169:A2 0
4 *6787:D *6408:A1 0.000168313
5 *6787:D *774:24 9.04668e-05
*RES
1 *6408:X *6787:D 31.9405
*END
*D_NET *290 0.0016605
*CONN
*I *6788:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6411:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6788:D 0.000690206
2 *6411:X 0.000690206
3 *6788:D *6788:CLK 6.60955e-05
4 *6788:D *774:9 2.65831e-05
5 *6788:D *1332:8 0.000187413
*RES
1 *6411:X *6788:D 35.9141
*END
*D_NET *291 0.00111817
*CONN
*I *6789:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6415:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6789:D 0.000156754
2 *6415:X 0.000156754
3 *6789:D *6413:C 0.000122098
4 *6789:D *6414:B 0
5 *6789:D *6789:CLK 0.000541776
6 *6789:D *602:48 0.000140786
*RES
1 *6415:X *6789:D 35.5146
*END
*D_NET *292 0.000653234
*CONN
*I *6790:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6419:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6790:D 0.000189971
2 *6419:X 0.000189971
3 *6790:D *6419:A3 0.000170592
4 *6790:D *6790:CLK 1.30951e-05
5 *6790:D *604:33 3.18826e-06
6 *6790:D *605:33 6.50586e-05
7 *6790:D *951:8 0
8 *6790:D *951:20 2.13584e-05
*RES
1 *6419:X *6790:D 33.2568
*END
*D_NET *293 0.000363014
*CONN
*I *6791:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6422:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6791:D 0.000137491
2 *6422:X 0.000137491
3 *6791:D *6421:B2 6.92705e-05
4 *6791:D *954:9 0
5 *6791:D *1335:5 1.87611e-05
*RES
1 *6422:X *6791:D 30.2767
*END
*D_NET *294 0.000835504
*CONN
*I *6792:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6428:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6792:D 6.41275e-05
2 *6428:X 6.41275e-05
3 *6792:D *6424:A2 7.98425e-06
4 *6792:D *6428:A2 6.92705e-05
5 *6792:D *6428:C1 0.000228263
6 *6792:D *779:9 0.000401731
*RES
1 *6428:X *6792:D 22.917
*END
*D_NET *295 0.000560795
*CONN
*I *6793:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6430:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6793:D 0.000184922
2 *6430:X 0.000184922
3 *6793:D *6426:A2 2.54424e-05
4 *6793:D *6430:A2 7.14746e-05
5 *6793:D *6430:B1 6.08467e-05
6 *6793:D *792:47 0
7 *6793:D *936:8 0
8 *6793:D *1081:51 3.31882e-05
*RES
1 *6430:X *6793:D 32.0416
*END
*D_NET *296 0.000449689
*CONN
*I *6794:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6446:Y O *D sky130_fd_sc_hd__o211ai_1
*CAP
1 *6794:D 0.000131228
2 *6446:Y 0.000131228
3 *6794:D *6446:B1 0.000164172
4 *6794:D *6446:C1 4.30017e-06
5 *6794:D *6841:CLK 1.87611e-05
6 *6794:D *947:26 0
*RES
1 *6446:Y *6794:D 31.1072
*END
*D_NET *297 0.000263079
*CONN
*I *6795:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6451:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *6795:D 8.33089e-05
2 *6451:Y 8.33089e-05
3 *6795:D *938:22 4.47713e-05
4 *6795:D *1089:88 1.2601e-05
5 *6795:D *1089:98 3.90891e-05
*RES
1 *6451:Y *6795:D 30.3838
*END
*D_NET *298 0.000483599
*CONN
*I *6796:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6457:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6796:D 0.000241799
2 *6457:Y 0.000241799
3 *6796:D *6451:A 0
*RES
1 *6457:Y *6796:D 33.1569
*END
*D_NET *299 0.00133356
*CONN
*I *6797:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6461:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *6797:D 0.000565891
2 *6461:X 0.000565891
3 *6797:D *1252:5 0.000111145
4 *6797:D *1252:23 1.41291e-05
5 *6797:D *1252:31 2.99978e-05
6 *6797:D *1253:18 4.65017e-05
*RES
1 *6461:X *6797:D 32.0318
*END
*D_NET *300 0.000678941
*CONN
*I *6798:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6463:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6798:D 0.000167692
2 *6463:X 0.000167692
3 *6798:D *6463:A 2.18741e-05
4 *6798:D *6798:CLK 2.13584e-05
5 *6798:D *606:47 0.000122098
6 *6798:D *1095:47 0.000178228
*RES
1 *6463:X *6798:D 32.3558
*END
*D_NET *301 0.000982353
*CONN
*I *6799:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6482:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6799:D 0.000310506
2 *6482:X 0.000310506
3 *6799:D *6482:A1 2.55493e-05
4 *6799:D *371:29 0
5 *6799:D *589:12 0.000335791
*RES
1 *6482:X *6799:D 36.203
*END
*D_NET *302 0.000824862
*CONN
*I *6800:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6489:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6800:D 0.000180765
2 *6489:X 0.000180765
3 *6800:D *6489:A 0.000463332
*RES
1 *6489:X *6800:D 24.0504
*END
*D_NET *303 0.000976408
*CONN
*I *6801:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6493:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6801:D 0.000416792
2 *6493:X 0.000416792
3 *6801:D *354:52 0.000124063
4 *6801:D *1095:9 1.87611e-05
*RES
1 *6493:X *6801:D 35.4047
*END
*D_NET *304 0.00115256
*CONN
*I *6802:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6496:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *6802:D 0.000573262
2 *6496:X 0.000573262
3 *6802:D *606:47 6.03796e-06
4 *6802:D *606:51 0
*RES
1 *6496:X *6802:D 37.0685
*END
*D_NET *305 0.00099195
*CONN
*I *6803:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6498:X O *D sky130_fd_sc_hd__o311a_1
*CAP
1 *6803:D 0.000407654
2 *6498:X 0.000407654
3 *6803:D *391:19 0.000148129
4 *6803:D *1258:7 2.85139e-05
*RES
1 *6498:X *6803:D 38.1483
*END
*D_NET *306 0.000568794
*CONN
*I *6804:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6509:Y O *D sky130_fd_sc_hd__o211ai_1
*CAP
1 *6804:D 0.000207777
2 *6509:Y 0.000207777
3 *6804:D *371:29 0.00015324
4 *6804:D *1082:57 0
*RES
1 *6509:Y *6804:D 33.1569
*END
*D_NET *307 0.000568333
*CONN
*I *6805:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6513:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *6805:D 0.000130926
2 *6513:Y 0.000130926
3 *6805:D *6513:B 0.00015324
4 *6805:D *371:29 0.00015324
*RES
1 *6513:Y *6805:D 32.0476
*END
*D_NET *308 0.000254424
*CONN
*I *6806:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6517:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6806:D 0.000105862
2 *6517:Y 0.000105862
3 *6806:D *6568:A 4.27003e-05
4 *6806:D *1263:13 0
*RES
1 *6517:Y *6806:D 30.1079
*END
*D_NET *309 0.000396772
*CONN
*I *6807:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6521:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *6807:D 0.000198386
2 *6521:X 0.000198386
3 *6807:D *1082:45 0
4 *6807:D *1262:8 0
*RES
1 *6521:X *6807:D 32.0476
*END
*D_NET *310 0.000321984
*CONN
*I *6808:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6523:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6808:D 0.000111938
2 *6523:X 0.000111938
3 *6808:D *6523:A 7.93468e-05
4 *6808:D *1082:38 1.87611e-05
*RES
1 *6523:X *6808:D 30.7991
*END
*D_NET *311 0.00036764
*CONN
*I *6809:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6533:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6809:D 0.000173902
2 *6533:X 0.000173902
3 *6809:D *354:52 0
4 *6809:D *947:19 6.67095e-06
5 *6809:D *1138:9 1.31657e-05
*RES
1 *6533:X *6809:D 31.1072
*END
*D_NET *312 0.0012011
*CONN
*I *6810:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6537:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6810:D 0.000525264
2 *6537:X 0.000525264
3 *6810:D *6487:A2 5.06914e-05
4 *6810:D *6487:B1 8.62625e-06
5 *6810:D *6488:B 0
6 *6810:D *6506:B1 0
7 *6810:D *6535:A3 0
8 *6810:D *6536:B 4.47578e-05
9 *6810:D *6537:A 0
10 *6810:D *589:15 4.64935e-05
*RES
1 *6537:X *6810:D 38.898
*END
*D_NET *313 0.000471994
*CONN
*I *6811:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6543:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6811:D 0.000169095
2 *6543:X 0.000169095
3 *6811:D *414:16 9.60216e-05
4 *6811:D *591:49 1.87611e-05
5 *6811:D *597:33 1.90218e-05
*RES
1 *6543:X *6811:D 30.8313
*END
*D_NET *314 0.000401985
*CONN
*I *6812:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6548:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6812:D 0.000119333
2 *6548:X 0.000119333
3 *6812:D *6533:A2 3.14978e-05
4 *6812:D *456:11 7.15593e-05
5 *6812:D *593:31 4.15008e-05
6 *6812:D *947:19 1.87611e-05
*RES
1 *6548:X *6812:D 31.1072
*END
*D_NET *315 0.000400637
*CONN
*I *6813:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6551:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6813:D 7.15917e-05
2 *6551:X 7.15917e-05
3 *6813:D *371:8 9.60216e-05
4 *6813:D *400:15 6.54102e-05
5 *6813:D *441:16 9.60216e-05
*RES
1 *6551:X *6813:D 30.1079
*END
*D_NET *316 0.000487057
*CONN
*I *6814:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6560:Y O *D sky130_fd_sc_hd__o211ai_1
*CAP
1 *6814:D 0.000166061
2 *6560:Y 0.000166061
3 *6814:D *6447:A 7.15593e-05
4 *6814:D *591:49 6.46135e-05
5 *6814:D *596:22 1.87611e-05
*RES
1 *6560:Y *6814:D 31.6618
*END
*D_NET *317 0.000427249
*CONN
*I *6815:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6564:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *6815:D 0.000183741
2 *6564:Y 0.000183741
3 *6815:D *6815:CLK 3.18826e-06
4 *6815:D *597:33 0
5 *6815:D *1083:26 1.79672e-05
6 *6815:D *1083:41 3.86121e-05
7 *6815:D *1270:8 0
*RES
1 *6564:Y *6815:D 32.0476
*END
*D_NET *318 0.000285106
*CONN
*I *6816:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6568:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6816:D 0.000131578
2 *6568:Y 0.000131578
3 *6816:D *371:24 3.18826e-06
4 *6816:D *593:31 0
5 *6816:D *904:60 1.87611e-05
6 *6816:D *1082:24 0
7 *6816:D *1082:38 0
*RES
1 *6568:Y *6816:D 30.3838
*END
*D_NET *319 0.000499556
*CONN
*I *6817:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6572:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *6817:D 0.000110318
2 *6572:X 0.000110318
3 *6817:D *6573:B 0
4 *6817:D *1083:47 0.000125695
5 *6817:D *1116:8 0.000153225
*RES
1 *6572:X *6817:D 31.3182
*END
*D_NET *320 0.000298883
*CONN
*I *6818:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6574:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6818:D 9.29645e-05
2 *6574:X 9.29645e-05
3 *6818:D *6574:A 4.27003e-05
4 *6818:D *6739:CLK 7.02539e-05
5 *6818:D *1272:10 0
*RES
1 *6574:X *6818:D 30.1079
*END
*D_NET *321 0.000216961
*CONN
*I *6819:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6586:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6819:D 6.25603e-05
2 *6586:X 6.25603e-05
3 *6819:D *6819:CLK 1.87611e-05
4 *6819:D *419:14 4.61732e-05
5 *6819:D *947:76 2.69064e-05
*RES
1 *6586:X *6819:D 29.5533
*END
*D_NET *322 0.000266258
*CONN
*I *6820:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6590:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6820:D 4.87271e-05
2 *6590:X 4.87271e-05
3 *6820:D *6590:A 0.000104731
4 *6820:D *403:22 2.13584e-05
5 *6820:D *902:46 4.27148e-05
*RES
1 *6590:X *6820:D 29.5533
*END
*D_NET *323 0.00128612
*CONN
*I *6821:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6596:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6821:D 0.000566445
2 *6596:X 0.000566445
3 *6821:D *6237:A 0.000153225
4 *6821:D *6591:A 0
5 *6821:D *6595:C 0
6 *6821:D *479:24 0
7 *6821:D *1086:46 0
*RES
1 *6596:X *6821:D 38.5902
*END
*D_NET *324 0.00167916
*CONN
*I *6822:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6601:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6822:D 0.000159939
2 *6601:X 0.000159939
3 *6822:D *6601:A 0.000146645
4 *6822:D *6822:CLK 0.000459915
5 *6822:D *367:41 0.000143047
6 *6822:D *830:9 0.000609672
*RES
1 *6601:X *6822:D 36.8643
*END
*D_NET *325 0.00095843
*CONN
*I *6823:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6603:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6823:D 0.00045515
2 *6603:X 0.00045515
3 *6823:D *1277:19 2.68928e-05
4 *6823:D *1278:9 2.12377e-05
*RES
1 *6603:X *6823:D 37.8724
*END
*D_NET *326 0.000697347
*CONN
*I *6824:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6615:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6824:D 0.000113778
2 *6615:X 0.000113778
3 *6824:D *1238:16 0.000469791
*RES
1 *6615:X *6824:D 23.4716
*END
*D_NET *327 0.000868856
*CONN
*I *6825:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6620:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6825:D 0.000291807
2 *6620:X 0.000291807
3 *6825:D *6825:CLK 6.50586e-05
4 *6825:D *1084:54 0
5 *6825:D *1084:55 0.000220183
*RES
1 *6620:X *6825:D 35.3934
*END
*D_NET *328 0.000277951
*CONN
*I *6826:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6625:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6826:D 9.38155e-05
2 *6625:X 9.38155e-05
3 *6826:D *6018:A 0
4 *6826:D *6625:A 7.15593e-05
5 *6826:D *6826:CLK 1.87611e-05
*RES
1 *6625:X *6826:D 30.3838
*END
*D_NET *329 0.000989343
*CONN
*I *6827:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6628:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6827:D 0.000376013
2 *6628:X 0.000376013
3 *6827:D *6627:A 0.000171288
4 *6827:D *6628:B1 6.60291e-05
*RES
1 *6628:X *6827:D 27.3539
*END
*D_NET *330 0.000913569
*CONN
*I *6828:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6634:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6828:D 0.000456784
2 *6634:X 0.000456784
*RES
1 *6634:X *6828:D 28.1496
*END
*D_NET *331 0.000727547
*CONN
*I *6829:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6638:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6829:D 0.00033344
2 *6638:X 0.00033344
3 *6829:D *6638:A 1.79672e-05
4 *6829:D *613:18 4.27003e-05
5 *6829:D *1029:8 0
*RES
1 *6638:X *6829:D 35.0994
*END
*D_NET *332 0.000925783
*CONN
*I *6830:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6640:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6830:D 0.000280465
2 *6640:X 0.000280465
3 *6830:D *6639:B1_N 0.000123582
4 *6830:D *6640:A1 4.3116e-06
5 *6830:D *6640:B1 7.50872e-05
6 *6830:D *6693:B 0
7 *6830:D *6842:CLK 3.20069e-06
8 *6830:D *1084:22 8.64658e-05
9 *6830:D *1243:19 7.22058e-05
*RES
1 *6640:X *6830:D 34.9839
*END
*D_NET *333 0.000858529
*CONN
*I *6831:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6649:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6831:D 0.00022186
2 *6649:X 0.00022186
3 *6831:D *6832:CLK 0.000151146
4 *6831:D *366:8 0.000182869
5 *6831:D *902:28 8.07939e-05
*RES
1 *6649:X *6831:D 34.4402
*END
*D_NET *334 0.00124619
*CONN
*I *6832:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6653:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6832:D 0.000468626
2 *6653:X 0.000468626
3 *6832:D *6010:A 2.39519e-05
4 *6832:D *6644:A 0
5 *6832:D *6650:B 3.04973e-05
6 *6832:D *530:18 0
7 *6832:D *1245:11 3.18826e-06
8 *6832:D *1245:21 2.40299e-05
9 *6832:D *1245:35 7.08723e-06
10 *6832:D *1246:34 0.000220183
*RES
1 *6653:X *6832:D 37.7569
*END
*D_NET *335 0.000586119
*CONN
*I *6833:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6657:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6833:D 0.000170837
2 *6657:X 0.000170837
3 *6833:D *6585:B 0
4 *6833:D *6600:B 0
5 *6833:D *6646:A 2.13584e-05
6 *6833:D *6656:A 0.000179286
7 *6833:D *6656:B 4.37999e-05
8 *6833:D *6658:A 0
*RES
1 *6657:X *6833:D 32.8754
*END
*D_NET *336 0.00135695
*CONN
*I *6834:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6660:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6834:D 0.00035945
2 *6660:X 0.00035945
3 *6834:D *6480:A 2.13584e-05
4 *6834:D *6660:A 0
5 *6834:D *530:15 3.18826e-06
6 *6834:D *535:14 0.000144531
7 *6834:D *813:34 0.000418241
8 *6834:D *813:48 5.07314e-05
*RES
1 *6660:X *6834:D 38.3059
*END
*D_NET *337 0.000455425
*CONN
*I *6835:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6667:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6835:D 0.000122948
2 *6667:X 0.000122948
3 *6835:D *6835:CLK 1.87611e-05
4 *6835:D *550:7 6.50727e-05
5 *6835:D *597:33 0
6 *6835:D *640:16 0.000125695
*RES
1 *6667:X *6835:D 30.692
*END
*D_NET *338 0.00158062
*CONN
*I *6836:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6671:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6836:D 0.000382963
2 *6671:X 0.000382963
3 *6836:D *6670:B 0
4 *6836:D *6671:A 0.000281301
5 *6836:D *6672:B1_N 3.92275e-05
6 *6836:D *6673:A2 6.50727e-05
7 *6836:D *6837:CLK 0.000200251
8 *6836:D *551:14 0
9 *6836:D *593:31 0
10 *6836:D *1095:93 2.24484e-05
11 *6836:D *1313:6 0
12 *6836:D *1313:27 0.000206392
*RES
1 *6671:X *6836:D 40.2101
*END
*D_NET *339 0.0011093
*CONN
*I *6837:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6673:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6837:D 0.00029799
2 *6673:X 0.00029799
3 *6837:D *6673:A1 0
4 *6837:D *6673:B1 4.3317e-05
5 *6837:D *6837:CLK 0.000322889
6 *6837:D *354:10 0.000147112
7 *6837:D *1313:6 0
*RES
1 *6673:X *6837:D 36.6182
*END
*D_NET *340 0.00147789
*CONN
*I *6838:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6677:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6838:D 0.000137253
2 *6677:X 0.000137253
3 *6838:D *813:21 0
4 *6838:D *907:20 2.22923e-05
5 *6838:D *1281:19 0.00058844
6 *6838:D *1317:50 0.000592652
*RES
1 *6677:X *6838:D 35.654
*END
*D_NET *341 0.000521879
*CONN
*I *6839:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6680:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6839:D 0.000184061
2 *6680:Y 0.000184061
3 *6839:D *6680:B1 7.86847e-05
4 *6839:D *1090:118 7.50722e-05
*RES
1 *6680:Y *6839:D 31.899
*END
*D_NET *342 0.000816563
*CONN
*I *6840:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6684:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6840:D 0.000191267
2 *6684:X 0.000191267
3 *6840:D *6684:A2 2.14467e-05
4 *6840:D *6684:B1 0.000113374
5 *6840:D *6686:A2 0.000155082
6 *6840:D *6686:B2 2.77625e-06
7 *6840:D *6840:CLK 1.87611e-05
8 *6840:D *560:13 0.000116908
9 *6840:D *1317:30 5.68237e-06
*RES
1 *6684:X *6840:D 33.1033
*END
*D_NET *343 0.000782586
*CONN
*I *6841:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6688:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6841:D 0.000318121
2 *6688:X 0.000318121
3 *6841:D *904:34 0.000139672
4 *6841:D *947:42 0
5 *6841:D *1249:6 0
6 *6841:D *1281:19 6.67095e-06
*RES
1 *6688:X *6841:D 34.9839
*END
*D_NET *344 0.00107902
*CONN
*I *6842:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6690:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6842:D 0.000347236
2 *6690:Y 0.000347236
3 *6842:D *6689:A1 5.19205e-05
4 *6842:D *6693:B 0
5 *6842:D *6842:CLK 1.07248e-05
6 *6842:D *1218:35 0.000321905
7 *6842:D *1235:30 0
*RES
1 *6690:Y *6842:D 34.8146
*END
*D_NET *345 0.000376701
*CONN
*I *6843:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6694:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6843:D 0.00014518
2 *6694:X 0.00014518
3 *6843:D *6005:A0 1.87611e-05
4 *6843:D *6124:A1 6.3657e-05
5 *6843:D *6125:B 3.92179e-06
*RES
1 *6694:X *6843:D 30.2767
*END
*D_NET *346 0.00170474
*CONN
*I *6844:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6696:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6844:D 0.00038427
2 *6696:X 0.00038427
3 *6844:D *6695:B1_N 2.42273e-05
4 *6844:D *6696:A1 0.000171273
5 *6844:D *6696:B1 6.49003e-05
6 *6844:D *1094:39 0.000310676
7 *6844:D *1094:47 0.000144937
8 *6844:D *1219:42 0.000220183
9 *6844:D *1227:10 0
*RES
1 *6696:X *6844:D 40.4805
*END
*D_NET *347 0.000922498
*CONN
*I *6859:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6701:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6859:D 0.000338436
2 *6701:X 0.000338436
3 *6859:D *595:63 2.54424e-05
4 *6859:D *1085:33 0.000220183
*RES
1 *6701:X *6859:D 34.26
*END
*D_NET *348 0.000211169
*CONN
*I *6860:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6703:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6860:D 9.46038e-05
2 *6703:X 9.46038e-05
3 *6860:D *6609:A 0
4 *6860:D *6860:CLK 1.87611e-05
5 *6860:D *573:9 3.20069e-06
6 *6860:D *1085:37 0
*RES
1 *6703:X *6860:D 29.5533
*END
*D_NET *349 0.000327679
*CONN
*I *6861:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6710:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6861:D 0.000149097
2 *6710:X 0.000149097
3 *6861:D *6861:CLK 1.87611e-05
4 *6861:D *694:8 0
5 *6861:D *1091:119 1.07248e-05
*RES
1 *6710:X *6861:D 30.7991
*END
*D_NET *350 0.00403131
*CONN
*I *6424:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6426:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6429:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6423:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6424:A2 0.000432707
2 *6426:A3 5.42733e-05
3 *6429:A3 0.000143729
4 *6423:X 0.000344636
5 *350:12 0.000486189
6 *350:8 0.00106553
7 *6424:A2 *6428:C1 0.000121573
8 *6424:A2 *354:15 3.82228e-05
9 *6424:A2 *354:18 0.000143047
10 *6424:A2 *928:26 0.000107496
11 *6424:A2 *933:6 0.000139435
12 *6426:A3 *6426:A2 0.000211464
13 *6426:A3 *6428:B1 0.000154145
14 *6426:A3 *779:9 4.82966e-05
15 *6429:A3 *6426:A2 0.000160384
16 *6429:A3 *788:25 0
17 *6429:A3 *788:38 0
18 *6429:A3 *1337:21 2.16355e-05
19 *350:8 *6137:B 2.12377e-05
20 *350:8 *6421:A1 0
21 *350:8 *6421:A2 0.000143047
22 *350:8 *6422:C1 0
23 *350:8 *926:35 0
24 *350:8 *936:33 0
25 *350:12 *6421:A2 0
26 *350:12 *6426:A2 2.09495e-05
27 *350:12 *6428:B1 1.5006e-05
28 *350:12 *6428:C1 0.000128091
29 *350:12 *936:15 7.77309e-06
30 *350:12 *936:33 1.44611e-05
31 *6792:D *6424:A2 7.98425e-06
*RES
1 *6423:X *350:8 26.3099
2 *350:8 *350:12 9.96776
3 *350:12 *6429:A3 17.5503
4 *350:12 *6426:A3 16.691
5 *350:8 *6424:A2 27.3499
*END
*D_NET *351 0.00195953
*CONN
*I *6428:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6424:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6428:A2 0.000572784
2 *6424:Y 0.000572784
3 *6428:A2 *6421:A2 5.47736e-05
4 *6428:A2 *6424:B1_N 2.65831e-05
5 *6428:A2 *6428:A1 6.92705e-05
6 *6428:A2 *6428:B1 3.75603e-05
7 *6428:A2 *6428:C1 0.000153476
8 *6428:A2 *779:9 0.000185459
9 *6428:A2 *788:38 3.20069e-06
10 *6428:A2 *792:47 3.22726e-05
11 *6428:A2 *928:15 7.6719e-06
12 *6428:A2 *928:26 0.00017442
13 *6792:D *6428:A2 6.92705e-05
*RES
1 *6424:Y *6428:A2 40.6983
*END
*D_NET *352 0.00342016
*CONN
*I *6430:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6426:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6425:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6430:A2 0.000131924
2 *6426:A2 0.00052234
3 *6425:Y 0.000190023
4 *352:5 0.000844286
5 *6426:A2 *6426:A1 0.000217923
6 *6426:A2 *779:9 1.41291e-05
7 *6426:A2 *788:25 0
8 *6426:A2 *936:8 0.000130777
9 *6426:A2 *936:15 0.000210962
10 *6426:A2 *1081:61 1.61631e-05
11 *6430:A2 *6430:A1 6.42018e-05
12 *6430:A2 *6430:B1 0.000173535
13 *6430:A2 *788:25 7.46735e-05
14 *6430:A2 *1337:21 2.50768e-05
15 *352:5 *1081:61 0.000314433
16 *6426:A3 *6426:A2 0.000211464
17 *6429:A3 *6426:A2 0.000160384
18 *6793:D *6426:A2 2.54424e-05
19 *6793:D *6430:A2 7.14746e-05
20 *350:12 *6426:A2 2.09495e-05
*RES
1 *6425:Y *352:5 12.7456
2 *352:5 *6426:A2 31.7811
3 *352:5 *6430:A2 23.4623
*END
*D_NET *353 0.000986985
*CONN
*I *6428:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6426:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6428:B1 0.000127615
2 *6426:X 0.000127615
3 *6428:B1 *6428:C1 6.04524e-05
4 *6428:B1 *6429:A1 0
5 *6428:B1 *779:9 0.000368554
6 *6428:B1 *928:26 0
7 *6428:B1 *936:15 7.14746e-05
8 *6428:B1 *936:33 2.4562e-05
9 *6426:A3 *6428:B1 0.000154145
10 *6428:A2 *6428:B1 3.75603e-05
11 *350:12 *6428:B1 1.5006e-05
*RES
1 *6426:X *6428:B1 33.96
*END
*D_NET *354 0.0214375
*CONN
*I *6533:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6482:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6493:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6428:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6430:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6427:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6533:C1 3.10404e-05
2 *6482:C1 0.00106206
3 *6493:C1 7.53108e-05
4 *6428:C1 0.000165785
5 *6430:C1 0.000112816
6 *6427:X 0.000119127
7 *354:52 0.00178337
8 *354:45 0.00118565
9 *354:18 0.000367887
10 *354:15 0.00206716
11 *354:10 0.00325233
12 *354:7 0.00127445
13 *354:5 0.000627734
14 *6428:C1 *6428:A1 6.92705e-05
15 *6428:C1 *779:9 9.82896e-06
16 *6430:C1 *6425:A 3.20069e-06
17 *6430:C1 *788:25 1.43983e-05
18 *6430:C1 *933:6 5.93461e-05
19 *6430:C1 *1081:61 0.000160384
20 *6430:C1 *1337:21 2.16355e-05
21 *6482:C1 *6482:A2 3.01683e-06
22 *6482:C1 *6486:B 0.00014642
23 *6482:C1 *384:31 1.84293e-05
24 *6482:C1 *384:42 2.39581e-05
25 *6482:C1 *404:5 0.000530123
26 *6482:C1 *1095:21 3.42931e-05
27 *6482:C1 *1095:27 0.000104965
28 *6493:C1 *6493:A1 7.98425e-06
29 *6493:C1 *6493:A2 7.92757e-06
30 *6493:C1 *6493:B1 0.000102416
31 *6493:C1 *409:25 0.000264586
32 *6533:C1 *6533:B1 5.22654e-06
33 *6533:C1 *938:45 0
34 *354:5 *6427:A 5.0715e-05
35 *354:5 *1127:19 2.41783e-05
36 *354:10 *6363:B 0.000174205
37 *354:10 *6365:B 2.08194e-05
38 *354:10 *6400:B1 0
39 *354:10 *6673:B1 0
40 *354:10 *6687:B 0.000102348
41 *354:10 *6776:CLK 0
42 *354:10 *904:21 7.22572e-05
43 *354:10 *913:8 0.000405599
44 *354:10 *913:18 0.000121132
45 *354:10 *913:58 0.00024873
46 *354:10 *915:17 0.00039841
47 *354:10 *915:26 0.00131333
48 *354:10 *1090:82 0
49 *354:10 *1313:6 0
50 *354:10 *1313:27 0
51 *354:10 *1330:43 0.000337639
52 *354:15 *6138:B 1.92172e-05
53 *354:15 *6169:A1 2.7961e-05
54 *354:15 *6374:A_N 0
55 *354:15 *6374:B 0
56 *354:15 *6776:CLK 0
57 *354:15 *751:25 0.000565951
58 *354:15 *773:16 4.2391e-05
59 *354:15 *911:10 0
60 *354:15 *913:18 0.000731292
61 *354:15 *913:31 3.99466e-05
62 *354:15 *928:9 1.41291e-05
63 *354:15 *928:15 0.000276363
64 *354:15 *928:26 1.5613e-05
65 *354:18 *6791:CLK 0.000245732
66 *354:18 *933:6 5.50867e-05
67 *354:18 *1081:61 2.4562e-05
68 *354:45 *6550:A 0
69 *354:45 *915:26 0
70 *354:45 *915:35 0
71 *354:45 *938:45 0
72 *354:45 *1095:93 0
73 *354:45 *1127:19 3.54999e-05
74 *354:45 *1313:27 0
75 *354:52 *6533:B1 0.000532846
76 *354:52 *6813:CLK 0
77 *354:52 *409:25 0.00068513
78 *6424:A2 *6428:C1 0.000121573
79 *6424:A2 *354:15 3.82228e-05
80 *6424:A2 *354:18 0.000143047
81 *6428:A2 *6428:C1 0.000153476
82 *6428:B1 *6428:C1 6.04524e-05
83 *6774:D *354:10 0
84 *6792:D *6428:C1 0.000228263
85 *6801:D *354:52 0.000124063
86 *6809:D *354:52 0
87 *6837:D *354:10 0.000147112
88 *350:12 *6428:C1 0.000128091
*RES
1 *6427:X *354:5 12.191
2 *354:5 *354:7 4.5
3 *354:7 *354:10 49.6959
4 *354:10 *354:15 46.8821
5 *354:15 *354:18 9.23876
6 *354:18 *6430:C1 17.5503
7 *354:18 *6428:C1 22.454
8 *354:5 *354:45 16.4014
9 *354:45 *354:52 25.7407
10 *354:52 *6493:C1 12.7697
11 *354:52 *6482:C1 36.7675
12 *354:45 *6533:C1 14.9583
*END
*D_NET *355 0.00107644
*CONN
*I *6430:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6429:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6430:B1 0.000312861
2 *6429:X 0.000312861
3 *6430:B1 *6430:A1 3.37419e-07
4 *6430:B1 *788:25 0.000131849
5 *6430:B1 *792:47 2.57071e-05
6 *6430:B1 *1337:21 5.84396e-05
7 *6430:A2 *6430:B1 0.000173535
8 *6793:D *6430:B1 6.08467e-05
*RES
1 *6429:X *6430:B1 35.8912
*END
*D_NET *356 0.00428517
*CONN
*I *6445:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6453:A I *D sky130_fd_sc_hd__inv_2
*I *6446:A1 I *D sky130_fd_sc_hd__o211ai_1
*I *6449:B I *D sky130_fd_sc_hd__or2_1
*I *6448:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6431:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6445:B1 0
2 *6453:A 0.000201917
3 *6446:A1 0.000231219
4 *6449:B 0
5 *6448:A1 0.00012387
6 *6431:X 0.000202598
7 *356:27 0.000433137
8 *356:11 0.000295175
9 *356:7 0.000198692
10 *356:5 0.000229985
11 *6446:A1 *6446:A2 0.000151741
12 *6446:A1 *6446:B1 2.5386e-05
13 *6446:A1 *369:14 2.24484e-05
14 *6446:A1 *369:16 0.000156869
15 *6446:A1 *947:26 0
16 *6448:A1 *6449:A 0.00011818
17 *6448:A1 *1250:17 3.87866e-05
18 *6453:A *6456:A2 0.000266832
19 *6453:A *6456:B2 3.31882e-05
20 *6453:A *369:14 0.000196638
21 *6453:A *373:8 4.37999e-05
22 *356:5 *6445:A2 0.000106215
23 *356:5 *938:11 0.000845133
24 *356:7 *6445:A2 6.50727e-05
25 *356:7 *938:11 0.000111722
26 *356:11 *6449:A 0.000122378
27 *356:11 *938:11 6.41884e-05
*RES
1 *6431:X *356:5 18.2916
2 *356:5 *356:7 1.278
3 *356:7 *356:11 5.03966
4 *356:11 *6448:A1 22.1896
5 *356:11 *6449:B 9.24915
6 *356:7 *356:27 4.5
7 *356:27 *6446:A1 20.9794
8 *356:27 *6453:A 20.7386
9 *356:5 *6445:B1 9.24915
*END
*D_NET *357 0.00254377
*CONN
*I *6443:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6455:B I *D sky130_fd_sc_hd__nor3_1
*I *6445:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6432:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6443:A1 0.000178902
2 *6455:B 2.92268e-05
3 *6445:A1 0.000314238
4 *6432:Y 0.000437318
5 *357:8 0.000421104
6 *357:7 0.000693859
7 *6443:A1 *6443:A2 6.50727e-05
8 *6443:A1 *368:10 5.78011e-05
9 *6443:A1 *369:10 0
10 *6443:A1 *377:8 0
11 *6443:A1 *377:33 0
12 *6443:A1 *947:26 6.33884e-05
13 *6445:A1 *369:12 0
14 *6445:A1 *369:14 0
15 *6445:A1 *947:26 0.00011038
16 *6455:B *6455:C 2.65667e-05
17 *357:7 *6432:A 4.30017e-06
18 *357:7 *369:10 1.41976e-05
19 *357:7 *1127:41 0.000103764
20 *357:8 *369:10 0
21 *357:8 *369:12 0
22 *357:8 *947:26 2.36494e-05
*RES
1 *6432:Y *357:7 20.5732
2 *357:7 *357:8 1.832
3 *357:8 *6445:A1 21.3947
4 *357:8 *6455:B 14.4725
5 *357:7 *6443:A1 18.7961
*END
*D_NET *358 0.00263214
*CONN
*I *6487:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6434:D I *D sky130_fd_sc_hd__nor4_2
*I *6433:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6487:B2 4.13566e-05
2 *6434:D 0.000311909
3 *6433:X 0.000346021
4 *358:9 0.000699287
5 *6434:D *386:8 2.24484e-05
6 *6434:D *386:10 0.000161981
7 *6434:D *386:12 0.000329013
8 *6434:D *386:16 8.88627e-05
9 *6434:D *947:68 0
10 *6487:B2 *6481:B 0.000115615
11 *6487:B2 *6487:A1 0.000224395
12 *6487:B2 *384:31 1.15389e-05
13 *358:9 *6433:A 3.00073e-05
14 *358:9 *6464:A 0
15 *358:9 *6466:A 0.000110495
16 *358:9 *6481:B 4.58003e-05
17 *358:9 *384:31 5.0715e-05
18 *358:9 *386:8 4.26935e-05
*RES
1 *6433:X *358:9 28.4184
2 *358:9 *6434:D 28.8014
3 *358:9 *6487:B2 12.191
*END
*D_NET *359 0.00907152
*CONN
*I *6435:B1 I *D sky130_fd_sc_hd__o31a_1
*I *6604:B I *D sky130_fd_sc_hd__and3_1
*I *6434:Y O *D sky130_fd_sc_hd__nor4_2
*CAP
1 *6435:B1 0
2 *6604:B 0.00103288
3 *6434:Y 0.000726825
4 *359:19 0.00235013
5 *359:10 0.00204407
6 *6604:B *6011:A 0.00011818
7 *6604:B *6666:A_N 0.000315555
8 *6604:B *6667:A1 2.16355e-05
9 *6604:B *6667:A2 0.000271058
10 *6604:B *6670:B 0.000171273
11 *6604:B *6837:CLK 0.000252944
12 *6604:B *366:8 4.27148e-05
13 *6604:B *550:7 3.14873e-05
14 *6604:B *550:13 8.22648e-05
15 *6604:B *640:5 0.000291278
16 *6604:B *902:28 0.000101148
17 *359:10 *6434:C 1.61631e-05
18 *359:10 *6467:A1 0.000247231
19 *359:10 *6474:A2 0
20 *359:10 *6475:B1 0
21 *359:10 *6476:A2 0
22 *359:10 *6478:A2 0
23 *359:10 *6478:A3 9.14201e-05
24 *359:10 *375:18 0.00033611
25 *359:10 *377:33 0
26 *359:10 *386:16 0
27 *359:10 *947:68 0
28 *359:19 *6435:A1 0.000103881
29 *359:19 *6435:A2 1.41291e-05
30 *359:19 *6435:A3 3.14978e-05
31 *359:19 *6443:A2 0.000152239
32 *359:19 *6443:B1 9.90116e-05
33 *359:19 *6837:CLK 0.000122447
34 *359:19 *368:10 3.93679e-06
*RES
1 *6434:Y *359:10 38.2451
2 *359:10 *359:19 23.2692
3 *359:19 *6604:B 47.9801
4 *359:10 *6435:B1 9.24915
*END
*D_NET *360 0.000389887
*CONN
*I *6443:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6435:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *6443:A2 6.1123e-05
2 *6435:X 6.1123e-05
3 *6443:A2 *368:10 5.03285e-05
4 *6443:A1 *6443:A2 6.50727e-05
5 *359:19 *6443:A2 0.000152239
*RES
1 *6435:X *6443:A2 21.9947
*END
*D_NET *361 0.00226232
*CONN
*I *6483:A I *D sky130_fd_sc_hd__or3_2
*I *6441:A I *D sky130_fd_sc_hd__nor3_2
*I *6436:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6483:A 0.000164279
2 *6441:A 3.98869e-05
3 *6436:X 0.000291011
4 *361:9 0.000495177
5 *6441:A *6441:B 0.000164829
6 *6441:A *6441:C 6.50586e-05
7 *6483:A *6483:B 2.65667e-05
8 *6483:A *6483:C 8.13567e-05
9 *6483:A *813:21 0
10 *6483:A *907:20 8.01597e-05
11 *361:9 *5979:B 7.86847e-05
12 *361:9 *6441:B 0.000160617
13 *361:9 *609:14 7.86847e-05
14 *361:9 *612:11 0.000220628
15 *361:9 *910:9 8.40003e-05
16 *361:9 *1343:45 0.000217587
17 *361:9 *1344:19 1.37925e-05
*RES
1 *6436:X *361:9 29.3939
2 *361:9 *6441:A 11.0817
3 *361:9 *6483:A 23.0201
*END
*D_NET *362 0.00699728
*CONN
*I *6440:A I *D sky130_fd_sc_hd__or3_1
*I *6437:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6440:A 0.000166745
2 *6437:X 0.000143027
3 *362:8 0.00225557
4 *362:7 0.00223186
5 *6440:A *5979:A 0.000406794
6 *6440:A *5982:A 1.92336e-05
7 *6440:A *363:11 6.08467e-05
8 *362:7 *6437:A 6.08167e-05
9 *362:8 *5990:A 3.20069e-06
10 *362:8 *6042:A 5.62332e-05
11 *362:8 *6044:A 7.58595e-05
12 *362:8 *6047:A 6.71192e-05
13 *362:8 *6052:B 4.80597e-05
14 *362:8 *6074:B 0.00016554
15 *362:8 *6075:A 1.65078e-05
16 *362:8 *6075:B_N 6.80719e-05
17 *362:8 *6438:B 0
18 *362:8 *363:11 0
19 *362:8 *665:8 0.000531296
20 *362:8 *665:17 0.00056752
21 *362:8 *693:11 0
22 *362:8 *694:8 3.89332e-06
23 *362:8 *1066:10 0
24 *362:8 *1091:15 0
25 *362:8 *1091:105 0
26 *362:8 *1091:119 0
27 *362:8 *1149:12 0
28 *362:8 *1149:30 0
29 *362:8 *1231:9 4.90829e-05
30 *362:8 *1344:6 0
31 *362:8 *1355:8 0
32 *6771:D *362:8 0
*RES
1 *6437:X *362:7 16.1364
2 *362:7 *362:8 59.1368
3 *362:8 *6440:A 18.9094
*END
*D_NET *363 0.00451197
*CONN
*I *6440:B I *D sky130_fd_sc_hd__or3_1
*I *6438:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6440:B 0
2 *6438:X 0
3 *363:11 0.00141159
4 *363:5 0.00141159
5 *363:11 *5979:A 5.05252e-05
6 *363:11 *5982:A 9.2346e-06
7 *363:11 *6040:A3 0.000174206
8 *363:11 *6040:B1 6.5742e-05
9 *363:11 *6041:A 0.000221185
10 *363:11 *6438:A 8.3647e-05
11 *363:11 *6438:B 0.000148144
12 *363:11 *665:17 0
13 *363:11 *813:21 0
14 *363:11 *1149:30 7.56859e-06
15 *363:11 *1231:9 0
16 *363:11 *1346:8 6.16881e-05
17 *363:11 *1352:8 0.000146645
18 *363:11 *1352:21 7.30564e-05
19 *363:11 *1355:8 0.000325416
20 *363:11 *1356:8 3.42931e-05
21 *6440:A *363:11 6.08467e-05
22 *6771:D *363:11 0.000226591
23 *362:8 *363:11 0
*RES
1 *6438:X *363:5 13.7491
2 *363:5 *363:11 45.8373
3 *363:11 *6440:B 9.24915
*END
*D_NET *364 0.0013009
*CONN
*I *6440:C I *D sky130_fd_sc_hd__or3_1
*I *6439:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6440:C 0.000504393
2 *6439:X 0.000504393
3 *6440:C *6439:C 0
4 *6440:C *905:27 0.000292115
*RES
1 *6439:X *6440:C 32.5804
*END
*D_NET *365 0.00171789
*CONN
*I *6483:C I *D sky130_fd_sc_hd__or3_2
*I *6441:C I *D sky130_fd_sc_hd__nor3_2
*I *6440:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6483:C 0.000168322
2 *6441:C 0.000112981
3 *6440:X 0.000286474
4 *365:6 0.000567777
5 *6441:C *6441:B 7.24449e-05
6 *6441:C *910:9 2.20702e-05
7 *6483:C *5974:A 0
8 *6483:C *813:21 0
9 *365:6 *5977:A 0.000118485
10 *365:6 *5977:C 2.21765e-05
11 *365:6 *5979:A 0.000115374
12 *365:6 *813:21 0
13 *365:6 *907:20 0
14 *365:6 *1345:10 0
15 *365:6 *1346:8 8.53663e-05
16 *6441:A *6441:C 6.50586e-05
17 *6483:A *6483:C 8.13567e-05
*RES
1 *6440:X *365:6 22.6404
2 *365:6 *6441:C 17.2456
3 *365:6 *6483:C 18.129
*END
*D_NET *366 0.00774915
*CONN
*I *6604:C I *D sky130_fd_sc_hd__and3_1
*I *6442:A I *D sky130_fd_sc_hd__buf_2
*I *6642:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6480:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6441:Y O *D sky130_fd_sc_hd__nor3_2
*CAP
1 *6604:C 5.95036e-05
2 *6442:A 0
3 *6642:A2 9.56863e-05
4 *6480:A 0.000577055
5 *6441:Y 4.73602e-05
6 *366:26 0.00023315
7 *366:10 0.00079848
8 *366:8 0.00116981
9 *366:7 0.00107371
10 *6480:A *6660:A 0.000104731
11 *6480:A *367:41 0.000148144
12 *6480:A *530:15 9.79123e-05
13 *6480:A *535:14 0
14 *6480:A *555:6 0
15 *6604:C *902:28 8.10016e-06
16 *6604:C *1127:17 7.43087e-05
17 *6642:A2 *6642:A3 0.000175485
18 *6642:A2 *6642:B1 0.000277488
19 *6642:A2 *367:7 1.03434e-05
20 *6642:A2 *367:17 0.000103444
21 *366:7 *910:9 0.000167076
22 *366:8 *6026:A1 0.000733113
23 *366:8 *402:8 0.000581638
24 *366:8 *628:28 9.20398e-05
25 *366:8 *628:37 8.61936e-05
26 *366:8 *895:46 0
27 *366:8 *895:57 0
28 *366:8 *902:21 0.000247278
29 *366:8 *902:28 0.000199962
30 *366:8 *910:8 0
31 *366:8 *910:22 0
32 *366:10 *402:8 5.50663e-05
33 *366:10 *902:28 2.75427e-05
34 *366:26 *367:17 6.50586e-05
35 *366:26 *402:8 0.000107279
36 *366:26 *535:11 3.98327e-05
37 *366:26 *902:28 4.54077e-05
38 *6604:B *366:8 4.27148e-05
39 *6831:D *366:8 0.000182869
40 *6834:D *6480:A 2.13584e-05
*RES
1 *6441:Y *366:7 15.5817
2 *366:7 *366:8 39.8276
3 *366:8 *366:10 2.45487
4 *366:10 *6480:A 36.9428
5 *366:10 *366:26 9.5469
6 *366:26 *6642:A2 14.4335
7 *366:26 *6442:A 9.24915
8 *366:8 *6604:C 15.6804
*END
*D_NET *367 0.01468
*CONN
*I *6530:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6583:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6556:A I *D sky130_fd_sc_hd__nand2_1
*I *6477:B I *D sky130_fd_sc_hd__nand2_1
*I *6443:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6442:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6530:C1 0.00106021
2 *6583:C1 0.000231901
3 *6556:A 0
4 *6477:B 0
5 *6443:B1 0.000186048
6 *6442:X 5.4307e-05
7 *367:48 0.00130475
8 *367:41 0.00141646
9 *367:18 0.000377479
10 *367:17 0.00164648
11 *367:7 0.00291317
12 *6443:B1 *6477:A 5.22654e-06
13 *6443:B1 *368:10 4.30017e-06
14 *6443:B1 *947:26 0
15 *6443:B1 *1127:38 0
16 *6443:B1 *1249:16 7.2401e-05
17 *6530:C1 *6530:B1 4.80635e-06
18 *6530:C1 *6555:A1 0.000123597
19 *6530:C1 *6555:B1 6.50727e-05
20 *6530:C1 *6556:B 6.83132e-05
21 *6530:C1 *6580:B 0.000175485
22 *6530:C1 *6581:C1 0.00010454
23 *6530:C1 *7087:A 0.000132219
24 *6530:C1 *414:17 0.000156756
25 *6530:C1 *461:6 9.60216e-05
26 *6583:C1 *6583:A2 4.09426e-06
27 *6583:C1 *6583:B1 0.000132185
28 *367:7 *535:11 1.41976e-05
29 *367:17 *6475:B2 1.65872e-05
30 *367:17 *6475:C1 0.000113968
31 *367:17 *6550:A 0.00105478
32 *367:17 *6642:A3 0.000171273
33 *367:17 *6642:B1 3.92134e-05
34 *367:17 *456:11 4.82966e-05
35 *367:18 *6470:B2 4.47892e-05
36 *367:18 *6475:C1 5.53934e-05
37 *367:18 *6477:A 4.22197e-05
38 *367:18 *388:8 0.000221185
39 *367:18 *1127:38 0
40 *367:41 *6555:A1 0.000339753
41 *367:41 *6556:B 5.22654e-06
42 *367:41 *6601:A 0.000174342
43 *367:41 *6647:B1 1.65872e-05
44 *367:41 *6659:A 0.000111708
45 *367:41 *6659:C 0.00019945
46 *367:41 *6660:A 8.15868e-05
47 *367:41 *6674:A1 0
48 *367:41 *6674:A2 0
49 *367:41 *6833:CLK 0.000464113
50 *367:41 *463:13 0
51 *367:41 *535:11 1.5613e-05
52 *367:41 *555:6 0.000454574
53 *367:41 *830:24 0
54 *367:41 *1116:32 5.68225e-06
55 *367:41 *1274:32 0
56 *367:41 *1275:27 0
57 *367:48 *6555:A1 5.92192e-05
58 *367:48 *6556:B 2.5386e-05
59 *6480:A *367:41 0.000148144
60 *6642:A2 *367:7 1.03434e-05
61 *6642:A2 *367:17 0.000103444
62 *6822:D *367:41 0.000143047
63 *359:19 *6443:B1 9.90116e-05
64 *366:26 *367:17 6.50586e-05
*RES
1 *6442:X *367:7 10.9612
2 *367:7 *367:17 44.6726
3 *367:17 *367:18 6.39977
4 *367:18 *6443:B1 19.6294
5 *367:18 *6477:B 13.7491
6 *367:7 *367:41 49.0876
7 *367:41 *6556:A 13.7491
8 *367:41 *367:48 1.00149
9 *367:48 *6583:C1 19.898
10 *367:48 *6530:C1 40.4472
*END
*D_NET *368 0.00151323
*CONN
*I *6444:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6450:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6443:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6444:A 0
2 *6450:B1 0.000182713
3 *6443:Y 0.000347362
4 *368:10 0.000530075
5 *6450:B1 *6450:A2 6.50586e-05
6 *6450:B1 *6459:B1 4.81015e-05
7 *6450:B1 *369:10 6.80895e-05
8 *6450:B1 *1127:41 0.000103234
9 *368:10 *377:8 2.22198e-05
10 *368:10 *377:33 3.00073e-05
11 *6443:A1 *368:10 5.78011e-05
12 *6443:A2 *368:10 5.03285e-05
13 *6443:B1 *368:10 4.30017e-06
14 *359:19 *368:10 3.93679e-06
*RES
1 *6443:Y *368:10 24.5474
2 *368:10 *6450:B1 15.0122
3 *368:10 *6444:A 9.24915
*END
*D_NET *369 0.00408302
*CONN
*I *6458:B I *D sky130_fd_sc_hd__or3_1
*I *6455:C I *D sky130_fd_sc_hd__nor3_1
*I *6445:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6448:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6446:A2 I *D sky130_fd_sc_hd__o211ai_1
*I *6444:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6458:B 0.000162374
2 *6455:C 4.29562e-05
3 *6445:A2 5.4574e-05
4 *6448:A2 0.000202916
5 *6446:A2 5.65135e-05
6 *6444:X 0.000166416
7 *369:16 0.000329869
8 *369:14 0.000351904
9 *369:12 0.000316348
10 *369:10 0.000375292
11 *6446:A2 *6446:B1 0.000151741
12 *6448:A2 *6794:CLK 1.09551e-05
13 *6448:A2 *6841:CLK 1.65872e-05
14 *6448:A2 *1089:98 0.000243901
15 *6455:C *6455:A 6.50727e-05
16 *6455:C *6460:B1 0.000220183
17 *6458:B *6455:A 2.16355e-05
18 *6458:B *6458:C 1.43848e-05
19 *6458:B *6460:B1 1.28832e-05
20 *369:10 *377:8 9.25069e-05
21 *369:10 *1127:41 3.83429e-05
22 *369:12 *6456:B2 2.24484e-05
23 *369:12 *377:8 5.56367e-05
24 *369:14 *6456:B2 0.000129161
25 *369:16 *6446:B1 0.000120584
26 *369:16 *947:26 0
27 *6443:A1 *369:10 0
28 *6445:A1 *369:12 0
29 *6445:A1 *369:14 0
30 *6446:A1 *6446:A2 0.000151741
31 *6446:A1 *369:14 2.24484e-05
32 *6446:A1 *369:16 0.000156869
33 *6450:B1 *369:10 6.80895e-05
34 *6453:A *369:14 0.000196638
35 *6455:B *6455:C 2.65667e-05
36 *356:5 *6445:A2 0.000106215
37 *356:7 *6445:A2 6.50727e-05
38 *357:7 *369:10 1.41976e-05
39 *357:8 *369:10 0
40 *357:8 *369:12 0
*RES
1 *6444:X *369:10 18.5471
2 *369:10 *369:12 1.41674
3 *369:12 *369:14 6.81502
4 *369:14 *369:16 3.07775
5 *369:16 *6446:A2 16.4116
6 *369:16 *6448:A2 20.5973
7 *369:14 *6445:A2 15.5817
8 *369:12 *6455:C 16.1364
9 *369:10 *6458:B 16.1364
*END
*D_NET *370 0.000793581
*CONN
*I *6446:B1 I *D sky130_fd_sc_hd__o211ai_1
*I *6445:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6446:B1 0.000165849
2 *6445:Y 0.000165849
3 *6446:B1 *947:26 0
4 *6446:A1 *6446:B1 2.5386e-05
5 *6446:A2 *6446:B1 0.000151741
6 *6794:D *6446:B1 0.000164172
7 *369:16 *6446:B1 0.000120584
*RES
1 *6445:Y *6446:B1 33.791
*END
*D_NET *371 0.0254409
*CONN
*I *6564:A I *D sky130_fd_sc_hd__nand3_1
*I *6513:A I *D sky130_fd_sc_hd__nand3_1
*I *6496:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6451:A I *D sky130_fd_sc_hd__nand3_1
*I *6684:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6447:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6564:A 0.000581931
2 *6513:A 2.06324e-05
3 *6496:C1 0.00026453
4 *6451:A 0.000699684
5 *6684:C1 3.76438e-05
6 *6447:X 4.51842e-05
7 *371:39 0.00181364
8 *371:29 0.00256381
9 *371:24 0.00298722
10 *371:17 0.00250117
11 *371:8 0.00239494
12 *371:7 0.00254045
13 *6451:A *6451:C 0
14 *6451:A *6457:B 2.51446e-05
15 *6451:A *6460:A1 0
16 *6451:A *6460:A2 0
17 *6451:A *6461:A2 0
18 *6451:A *6461:B1_N 5.22071e-05
19 *6451:A *6462:A 0
20 *6451:A *374:10 0
21 *6451:A *374:12 0
22 *6451:A *382:8 6.80864e-05
23 *6451:A *938:22 9.14346e-05
24 *6451:A *1089:98 0
25 *6496:C1 *408:20 5.44803e-05
26 *6496:C1 *409:33 0.000232958
27 *6564:A *591:49 0
28 *6564:A *593:31 0
29 *6684:C1 *6684:A2 0.000275256
30 *6684:C1 *555:21 0.000115615
31 *371:7 *6568:B 6.08467e-05
32 *371:8 *6447:A 0.00107687
33 *371:8 *6526:A1 6.02988e-05
34 *371:8 *6529:B2 3.07997e-05
35 *371:8 *6533:A2 0.000146507
36 *371:8 *6540:A1 9.2346e-06
37 *371:8 *6542:A 4.75495e-05
38 *371:8 *6542:B 0.000195139
39 *371:8 *6545:A 0
40 *371:8 *6547:C 0
41 *371:8 *6548:A 0.00014998
42 *371:8 *6551:A1 3.93117e-06
43 *371:8 *6667:A2 3.94365e-05
44 *371:8 *6667:B1 0.000318788
45 *371:8 *6669:A1 8.81696e-05
46 *371:8 *403:22 5.41377e-05
47 *371:8 *403:31 0.000139435
48 *371:8 *414:16 0
49 *371:8 *441:13 9.64083e-05
50 *371:8 *441:16 0.000103821
51 *371:8 *441:18 9.36391e-05
52 *371:8 *548:29 2.68626e-05
53 *371:8 *561:6 0.000148159
54 *371:8 *593:31 0
55 *371:8 *640:25 0.000102359
56 *371:8 *1266:6 2.82537e-05
57 *371:8 *1312:6 3.51249e-05
58 *371:8 *1312:8 1.3028e-05
59 *371:17 *6447:A 5.05252e-05
60 *371:17 *591:49 0
61 *371:17 *593:31 0
62 *371:24 *6513:C 0.000755455
63 *371:24 *6520:A1 0.00036952
64 *371:24 *6520:A2 5.0715e-05
65 *371:24 *6522:A 0.000203882
66 *371:24 *6816:CLK 7.48797e-05
67 *371:24 *432:5 6.85944e-05
68 *371:24 *904:60 0.000215206
69 *371:29 *6482:A1 4.3116e-06
70 *371:29 *6482:B1 0.000148129
71 *371:29 *6510:A2 0
72 *371:29 *6513:B 7.41058e-05
73 *371:29 *399:12 1.17425e-05
74 *371:29 *947:68 0.00145283
75 *371:29 *1082:52 0
76 *371:29 *1082:57 0
77 *371:29 *1082:61 0
78 *371:29 *1260:8 0
79 *371:29 *1260:13 0
80 *371:39 *6462:B 0
81 *371:39 *6465:A 1.32509e-05
82 *371:39 *6476:A1 0
83 *371:39 *6476:A2 0
84 *371:39 *6494:A 1.92172e-05
85 *371:39 *6495:A2 0.000203833
86 *371:39 *6496:A2 0.000271058
87 *371:39 *6497:A2 2.94204e-05
88 *371:39 *6498:A2 7.3515e-05
89 *371:39 *6498:B1 7.56859e-06
90 *371:39 *385:17 0
91 *371:39 *391:19 0
92 *371:39 *398:15 5.82465e-05
93 *371:39 *399:12 1.47102e-05
94 *371:39 *408:20 0.000120584
95 *371:39 *947:68 0.000118485
96 *371:39 *1252:10 0.00012706
97 *371:39 *1253:24 1.18938e-05
98 *371:39 *1258:19 5.16463e-05
99 *6796:D *6451:A 0
100 *6799:D *371:29 0
101 *6804:D *371:29 0.00015324
102 *6805:D *371:29 0.00015324
103 *6813:D *371:8 9.60216e-05
104 *6816:D *371:24 3.18826e-06
*RES
1 *6447:X *371:7 14.4725
2 *371:7 *371:8 72.4249
3 *371:8 *6684:C1 16.691
4 *371:7 *371:17 3.493
5 *371:17 *371:24 42.4301
6 *371:24 *371:29 44.0128
7 *371:29 *371:39 37.7413
8 *371:39 *6451:A 32.3011
9 *371:29 *6496:C1 19.1264
10 *371:24 *6513:A 9.82786
11 *371:17 *6564:A 27.1151
*END
*D_NET *372 0.000694685
*CONN
*I *6451:B I *D sky130_fd_sc_hd__nand3_1
*I *6448:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6451:B 0.000249595
2 *6448:Y 0.000249595
3 *6451:B *6451:C 0.000147325
4 *6451:B *1089:98 4.81714e-05
*RES
1 *6448:Y *6451:B 25.2018
*END
*D_NET *373 0.00144496
*CONN
*I *6450:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6458:C I *D sky130_fd_sc_hd__or3_1
*I *6449:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6450:A2 0.000113507
2 *6458:C 1.59894e-05
3 *6449:X 0.000207108
4 *373:8 0.000336604
5 *6450:A2 *6456:B2 1.44611e-05
6 *6450:A2 *375:18 6.51725e-05
7 *6450:A2 *377:8 7.8406e-05
8 *6450:A2 *1127:41 6.50586e-05
9 *6458:C *6460:B1 6.50586e-05
10 *373:8 *6456:A3 0.000122083
11 *373:8 *6456:B2 0.000127151
12 *373:8 *375:8 3.18679e-05
13 *373:8 *375:18 9.98029e-06
14 *373:8 *938:11 6.92705e-05
15 *6450:B1 *6450:A2 6.50586e-05
16 *6453:A *373:8 4.37999e-05
17 *6458:B *6458:C 1.43848e-05
*RES
1 *6449:X *373:8 20.0418
2 *373:8 *6458:C 14.4725
3 *373:8 *6450:A2 18.3808
*END
*D_NET *374 0.00290884
*CONN
*I *6460:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6456:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6451:C I *D sky130_fd_sc_hd__nand3_1
*I *6450:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6460:A1 2.94272e-05
2 *6456:B1 0.000111181
3 *6451:C 0.0003013
4 *6450:X 0.000257824
5 *374:12 0.000506477
6 *374:10 0.000381248
7 *6451:C *6457:B 0
8 *6451:C *375:8 0
9 *6451:C *938:22 0
10 *6451:C *1089:98 0.000326398
11 *6451:C *1250:17 0.000169078
12 *6451:C *1281:24 7.14746e-05
13 *6456:B1 *6456:B2 4.35719e-05
14 *6456:B1 *6457:B 4.65017e-05
15 *6456:B1 *1251:8 0.00011818
16 *6460:A1 *6460:B1 6.50727e-05
17 *6460:A1 *6461:A2 1.03403e-05
18 *374:10 *6454:A 1.03403e-05
19 *374:10 *6459:B1 1.31657e-05
20 *374:10 *6460:A2 9.96342e-05
21 *374:10 *377:7 5.383e-06
22 *374:10 *1251:8 1.9101e-05
23 *374:10 *1251:17 0.000135905
24 *374:12 *1251:8 3.99133e-05
25 *6451:A *6451:C 0
26 *6451:A *6460:A1 0
27 *6451:A *374:10 0
28 *6451:A *374:12 0
29 *6451:B *6451:C 0.000147325
*RES
1 *6450:X *374:10 19.7393
2 *374:10 *374:12 2.45487
3 *374:12 *6451:C 24.4758
4 *374:12 *6456:B1 17.5229
5 *374:10 *6460:A1 14.8512
*END
*D_NET *375 0.00544513
*CONN
*I *6474:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6467:B1 I *D sky130_fd_sc_hd__o22a_1
*I *6456:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6452:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6474:B1 0.000625108
2 *6467:B1 3.28063e-05
3 *6456:A1 0
4 *6452:Y 0.000373882
5 *375:18 0.00141944
6 *375:8 0.00113541
7 *6467:B1 *6474:B2 1.09551e-05
8 *6474:B1 *6470:A1 0.000324166
9 *6474:B1 *6474:A1 0.00030434
10 *6474:B1 *6474:A2 1.65872e-05
11 *6474:B1 *6474:B2 5.16442e-05
12 *375:8 *6456:A3 1.79196e-05
13 *375:8 *938:11 0.000436825
14 *375:18 *6456:A3 4.61732e-05
15 *375:18 *6459:A1 0.000148129
16 *375:18 *6459:A2 7.77309e-06
17 *375:18 *6459:B1 0
18 *375:18 *6474:B2 1.43848e-05
19 *375:18 *377:8 0
20 *375:18 *377:33 0
21 *375:18 *1251:8 0
22 *375:18 *1251:17 0
23 *375:18 *1251:29 0
24 *375:18 *1253:24 3.6455e-05
25 *6450:A2 *375:18 6.51725e-05
26 *6451:C *375:8 0
27 *359:10 *375:18 0.00033611
28 *373:8 *375:8 3.18679e-05
29 *373:8 *375:18 9.98029e-06
*RES
1 *6452:Y *375:8 21.4325
2 *375:8 *6456:A1 13.7491
3 *375:8 *375:18 23.1165
4 *375:18 *6467:B1 10.2378
5 *375:18 *6474:B1 19.9795
*END
*D_NET *376 0.000730814
*CONN
*I *6456:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6453:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6456:A2 0.00019834
2 *6453:Y 0.00019834
3 *6456:A2 *6456:B2 6.73022e-05
4 *6453:A *6456:A2 0.000266832
*RES
1 *6453:Y *6456:A2 23.6585
*END
*D_NET *377 0.00662929
*CONN
*I *6470:A2 I *D sky130_fd_sc_hd__o22a_1
*I *6474:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6455:A I *D sky130_fd_sc_hd__nor3_1
*I *6456:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6454:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6470:A2 0
2 *6474:A2 0.000179283
3 *6455:A 4.0316e-05
4 *6456:B2 0.000216382
5 *6454:Y 0.000671067
6 *377:33 0.000551344
7 *377:8 0.000383871
8 *377:7 0.0011703
9 *6455:A *6460:B1 1.65872e-05
10 *6456:B2 *6457:B 0.000161298
11 *6474:A2 *6470:A1 0.000461487
12 *6474:A2 *6474:A1 0.0002646
13 *6474:A2 *6474:B2 0.000623463
14 *6474:A2 *6475:B1 0.000190042
15 *6474:A2 *947:26 1.56625e-05
16 *377:7 *6454:A 0.000175584
17 *377:7 *6459:B1 0.000271058
18 *377:7 *6462:B 7.34948e-06
19 *377:7 *1127:41 0.000224381
20 *377:33 *6470:B1 0.000122378
21 *377:33 *6478:A2 9.19886e-06
22 *377:33 *947:26 0
23 *377:33 *1249:16 4.88955e-05
24 *6443:A1 *377:8 0
25 *6443:A1 *377:33 0
26 *6450:A2 *6456:B2 1.44611e-05
27 *6450:A2 *377:8 7.8406e-05
28 *6453:A *6456:B2 3.31882e-05
29 *6455:C *6455:A 6.50727e-05
30 *6456:A2 *6456:B2 6.73022e-05
31 *6456:B1 *6456:B2 4.35719e-05
32 *6458:B *6455:A 2.16355e-05
33 *6474:B1 *6474:A2 1.65872e-05
34 *359:10 *6474:A2 0
35 *359:10 *377:33 0
36 *368:10 *377:8 2.22198e-05
37 *368:10 *377:33 3.00073e-05
38 *369:10 *377:8 9.25069e-05
39 *369:12 *6456:B2 2.24484e-05
40 *369:12 *377:8 5.56367e-05
41 *369:14 *6456:B2 0.000129161
42 *373:8 *6456:B2 0.000127151
43 *374:10 *377:7 5.383e-06
44 *375:18 *377:8 0
45 *375:18 *377:33 0
*RES
1 *6454:Y *377:7 25.01
2 *377:7 *377:8 4.32351
3 *377:8 *6456:B2 22.6122
4 *377:8 *6455:A 15.0271
5 *377:7 *377:33 12.5958
6 *377:33 *6474:A2 28.9814
7 *377:33 *6470:A2 9.24915
*END
*D_NET *378 0.00123592
*CONN
*I *6456:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6455:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *6456:A3 0.000318249
2 *6455:Y 0.000318249
3 *6456:A3 *6460:B1 0.000413252
4 *373:8 *6456:A3 0.000122083
5 *375:8 *6456:A3 1.79196e-05
6 *375:18 *6456:A3 4.61732e-05
*RES
1 *6455:Y *6456:A3 34.3512
*END
*D_NET *379 0.00216711
*CONN
*I *6457:B I *D sky130_fd_sc_hd__nand2_1
*I *6456:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6457:B 0.000772482
2 *6456:X 0.000772482
3 *6457:B *6457:A 0.000139764
4 *6457:B *938:11 1.65872e-05
5 *6457:B *938:22 5.56367e-05
6 *6457:B *1251:8 1.59204e-05
7 *6457:B *1281:25 0.000161298
8 *6451:A *6457:B 2.51446e-05
9 *6451:C *6457:B 0
10 *6456:B1 *6457:B 4.65017e-05
11 *6456:B2 *6457:B 0.000161298
*RES
1 *6456:X *6457:B 43.8089
*END
*D_NET *380 0.00128003
*CONN
*I *6461:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *6458:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6461:A2 0.000444962
2 *6458:X 0.000444962
3 *6461:A2 *6458:A 5.67857e-05
4 *6461:A2 *6460:A2 0.000310109
5 *6461:A2 *6460:B1 1.287e-05
6 *6461:A2 *6461:B1_N 0
7 *6461:A2 *382:8 0
8 *6451:A *6461:A2 0
9 *6460:A1 *6461:A2 1.03403e-05
*RES
1 *6458:X *6461:A2 37.1186
*END
*D_NET *381 0.00118983
*CONN
*I *6460:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6459:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6460:A2 0.000309002
2 *6459:X 0.000309002
3 *6460:A2 *6459:B1 0
4 *6460:A2 *1251:17 3.46062e-05
5 *6460:A2 *1251:29 8.04608e-05
6 *6460:A2 *1252:10 4.3116e-06
7 *6460:A2 *1253:24 4.27003e-05
8 *6451:A *6460:A2 0
9 *6461:A2 *6460:A2 0.000310109
10 *374:10 *6460:A2 9.96342e-05
*RES
1 *6459:X *6460:A2 37.6676
*END
*D_NET *382 0.00124274
*CONN
*I *6461:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *6462:B I *D sky130_fd_sc_hd__and2_1
*I *6460:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6461:B1_N 7.83867e-05
2 *6462:B 0.000300094
3 *6460:X 0.000179069
4 *382:8 0.000557549
5 *6451:A *6461:B1_N 5.22071e-05
6 *6451:A *382:8 6.80864e-05
7 *6461:A2 *6461:B1_N 0
8 *6461:A2 *382:8 0
9 *371:39 *6462:B 0
10 *377:7 *6462:B 7.34948e-06
*RES
1 *6460:X *382:8 17.6896
2 *382:8 *6462:B 20.7514
3 *382:8 *6461:B1_N 15.9964
*END
*D_NET *383 0.00111735
*CONN
*I *6463:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6462:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6463:A 0.000458875
2 *6462:X 0.000458875
3 *6463:A *6462:A 0
4 *6463:A *6796:CLK 5.88662e-05
5 *6463:A *606:47 0
6 *6463:A *1095:47 0.000118858
7 *6463:A *1253:8 0
8 *6798:D *6463:A 2.18741e-05
*RES
1 *6462:X *6463:A 38.7768
*END
*D_NET *384 0.00495324
*CONN
*I *6490:C I *D sky130_fd_sc_hd__and3_1
*I *6469:A I *D sky130_fd_sc_hd__inv_2
*I *6485:B I *D sky130_fd_sc_hd__and2_1
*I *6481:A I *D sky130_fd_sc_hd__nand2_1
*I *6482:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6464:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6490:C 1.65675e-05
2 *6469:A 0.000307904
3 *6485:B 2.06324e-05
4 *6481:A 8.48638e-05
5 *6482:A1 0.000113411
6 *6464:X 2.06324e-05
7 *384:42 0.00068211
8 *384:31 0.000647774
9 *384:7 0.000369158
10 *384:5 0.000461018
11 *6469:A *6478:B1 0
12 *6469:A *386:16 0
13 *6469:A *388:25 0
14 *6469:A *398:37 0
15 *6469:A *947:22 0.000222752
16 *6469:A *947:26 5.56367e-05
17 *6469:A *947:52 2.16355e-05
18 *6469:A *1138:9 0.000428134
19 *6481:A *6481:B 9.63981e-05
20 *6481:A *6482:B1 4.81714e-05
21 *6482:A1 *6482:B1 5.82465e-05
22 *6482:A1 *399:12 3.31733e-05
23 *6490:C *6434:C 2.23105e-05
24 *384:7 *6481:B 6.64478e-05
25 *384:7 *6482:B1 0.000113968
26 *384:31 *6481:B 4.03749e-05
27 *384:31 *6487:A1 6.08467e-05
28 *384:31 *386:10 0.000148144
29 *384:31 *386:12 1.07248e-05
30 *384:31 *400:31 0
31 *384:31 *1095:27 6.50727e-05
32 *384:42 *6486:A 0.000221231
33 *384:42 *6493:A2 0
34 *384:42 *386:12 0
35 *384:42 *388:36 0
36 *384:42 *398:37 0
37 *384:42 *404:5 4.56667e-05
38 *384:42 *408:8 5.82465e-05
39 *384:42 *1095:21 0
40 *384:42 *1095:27 0.000277488
41 *6482:C1 *384:31 1.84293e-05
42 *6482:C1 *384:42 2.39581e-05
43 *6487:B2 *384:31 1.15389e-05
44 *6799:D *6482:A1 2.55493e-05
45 *358:9 *384:31 5.0715e-05
46 *371:29 *6482:A1 4.3116e-06
*RES
1 *6464:X *384:5 9.82786
2 *384:5 *384:7 4.60562
3 *384:7 *6482:A1 21.3269
4 *384:7 *6481:A 12.625
5 *384:5 *384:31 16.9915
6 *384:31 *6485:B 9.82786
7 *384:31 *384:42 15.5026
8 *384:42 *6469:A 24.3393
9 *384:42 *6490:C 14.0144
*END
*D_NET *385 0.0034349
*CONN
*I *6475:A1 I *D sky130_fd_sc_hd__a221o_1
*I *6467:A1 I *D sky130_fd_sc_hd__o22a_1
*I *6498:A1 I *D sky130_fd_sc_hd__o311a_1
*I *6465:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6475:A1 0.000148155
2 *6467:A1 8.14546e-05
3 *6498:A1 0.00043267
4 *6465:Y 0
5 *385:17 0.000606496
6 *385:4 0.000809557
7 *6467:A1 *6475:A2 0.000127194
8 *6467:A1 *386:16 3.54002e-05
9 *6475:A1 *6475:A2 6.10877e-05
10 *6475:A1 *6476:B1 0.000152878
11 *6498:A1 *6498:A2 6.73022e-05
12 *6498:A1 *6498:C1 6.49003e-05
13 *6498:A1 *398:15 0.000160617
14 *6498:A1 *1138:9 1.71154e-05
15 *385:17 *6465:A 2.652e-05
16 *385:17 *6476:A1 4.56667e-05
17 *385:17 *6476:A2 0.000171288
18 *385:17 *6476:B1 0.000160617
19 *385:17 *6478:A3 0
20 *385:17 *947:68 1.87469e-05
21 *359:10 *6467:A1 0.000247231
22 *371:39 *385:17 0
*RES
1 *6465:Y *385:4 9.24915
2 *385:4 *6498:A1 16.9171
3 *385:4 *385:17 18.6231
4 *385:17 *6467:A1 22.5727
5 *385:17 *6475:A1 12.7456
*END
*D_NET *386 0.00610034
*CONN
*I *6487:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6485:A I *D sky130_fd_sc_hd__and2_1
*I *6490:B I *D sky130_fd_sc_hd__and3_1
*I *6474:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6467:B2 I *D sky130_fd_sc_hd__o22a_1
*I *6466:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6487:A1 0.000123119
2 *6485:A 0
3 *6490:B 0.000268541
4 *6474:B2 0.000441316
5 *6467:B2 0
6 *6466:X 0.000160496
7 *386:16 0.000935188
8 *386:12 0.000949524
9 *386:10 0.000248035
10 *386:8 0.000344538
11 *6474:B2 *6474:A1 2.33638e-05
12 *6474:B2 *6478:A1 2.65667e-05
13 *6487:A1 *6481:B 2.39581e-05
14 *6487:A1 *6487:B1 3.14978e-05
15 *6490:B *6434:C 5.96914e-05
16 *386:8 *6506:B1 0
17 *386:8 *400:31 0
18 *386:8 *589:12 2.65667e-05
19 *386:12 *6486:A 0
20 *386:12 *398:37 4.15008e-05
21 *386:16 *6475:A2 5.19205e-05
22 *386:16 *6478:A2 0.000402616
23 *386:16 *398:37 0.000116942
24 *6434:D *386:8 2.24484e-05
25 *6434:D *386:10 0.000161981
26 *6434:D *386:12 0.000329013
27 *6434:D *386:16 8.88627e-05
28 *6467:A1 *386:16 3.54002e-05
29 *6467:B1 *6474:B2 1.09551e-05
30 *6469:A *386:16 0
31 *6474:A2 *6474:B2 0.000623463
32 *6474:B1 *6474:B2 5.16442e-05
33 *6487:B2 *6487:A1 0.000224395
34 *358:9 *386:8 4.26935e-05
35 *359:10 *386:16 0
36 *375:18 *6474:B2 1.43848e-05
37 *384:31 *6487:A1 6.08467e-05
38 *384:31 *386:10 0.000148144
39 *384:31 *386:12 1.07248e-05
40 *384:42 *386:12 0
*RES
1 *6466:X *386:8 17.9655
2 *386:8 *386:10 3.07775
3 *386:10 *386:12 5.98452
4 *386:12 *386:16 19.2048
5 *386:16 *6467:B2 9.24915
6 *386:16 *6474:B2 22.222
7 *386:12 *6490:B 17.8002
8 *386:10 *6485:A 13.7491
9 *386:8 *6487:A1 18.3789
*END
*D_NET *387 0.000939887
*CONN
*I *6478:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6467:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *6478:A1 0.0001473
2 *6467:X 0.0001473
3 *6478:A1 *6478:A2 0.00030861
4 *6478:A1 *6478:B1 0.000310109
5 *6474:B2 *6478:A1 2.65667e-05
*RES
1 *6467:X *6478:A1 33.791
*END
*D_NET *388 0.00519597
*CONN
*I *6493:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6492:B2 I *D sky130_fd_sc_hd__a2bb2o_1
*I *6490:A I *D sky130_fd_sc_hd__and3_1
*I *6474:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6470:A1 I *D sky130_fd_sc_hd__o22a_1
*I *6468:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6493:A1 0.000173749
2 *6492:B2 0.000417314
3 *6490:A 4.46724e-05
4 *6474:A1 4.03564e-05
5 *6470:A1 0.00011127
6 *6468:X 0
7 *388:36 0.000700341
8 *388:25 0.000270717
9 *388:8 0.000560966
10 *388:5 0.000526106
11 *6470:A1 *947:26 5.33121e-05
12 *6490:A *6434:C 0.000171273
13 *6492:B2 *6486:A 1.19856e-05
14 *6492:B2 *6493:B1 0.000118245
15 *6492:B2 *408:13 9.24029e-05
16 *6492:B2 *409:25 1.75155e-06
17 *6493:A1 *6493:A2 0.000129224
18 *6493:A1 *6493:B1 3.63758e-05
19 *388:8 *6468:A 5.22654e-06
20 *388:8 *6475:C1 5.66868e-06
21 *388:8 *389:6 0
22 *388:8 *947:22 0
23 *388:8 *947:26 0
24 *388:25 *6468:A 6.3152e-05
25 *388:25 *6809:CLK 4.3116e-06
26 *388:25 *947:22 0
27 *388:36 *6809:CLK 9.35753e-06
28 *388:36 *1095:21 4.10689e-05
29 *6469:A *388:25 0
30 *6474:A2 *6470:A1 0.000461487
31 *6474:A2 *6474:A1 0.0002646
32 *6474:B1 *6470:A1 0.000324166
33 *6474:B1 *6474:A1 0.00030434
34 *6474:B2 *6474:A1 2.33638e-05
35 *6493:C1 *6493:A1 7.98425e-06
36 *367:18 *388:8 0.000221185
37 *384:42 *388:36 0
*RES
1 *6468:X *388:5 13.7491
2 *388:5 *388:8 15.0523
3 *388:8 *6470:A1 24.4081
4 *388:8 *6474:A1 12.7456
5 *388:5 *388:25 3.07775
6 *388:25 *6490:A 15.5817
7 *388:25 *388:36 7.57775
8 *388:36 *6492:B2 16.5072
9 *388:36 *6493:A1 13.0349
*END
*D_NET *389 0.0030204
*CONN
*I *6475:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6470:B2 I *D sky130_fd_sc_hd__o22a_1
*I *6469:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6475:B2 0.000224794
2 *6470:B2 0.000424072
3 *6469:Y 7.84651e-05
4 *389:6 0.000727331
5 *6470:B2 *6470:B1 3.75217e-05
6 *6470:B2 *6475:C1 0.000148129
7 *6470:B2 *6477:A 0.000213725
8 *6470:B2 *6478:B1 0.000411006
9 *6470:B2 *938:10 0
10 *6470:B2 *1127:38 2.95757e-05
11 *6470:B2 *1249:16 0.000240485
12 *6475:B2 *6475:A2 0
13 *6475:B2 *6475:C1 0.000423922
14 *367:17 *6475:B2 1.65872e-05
15 *367:18 *6470:B2 4.47892e-05
16 *388:8 *389:6 0
*RES
1 *6469:Y *389:6 15.5811
2 *389:6 *6470:B2 28.5215
3 *389:6 *6475:B2 20.2175
*END
*D_NET *390 0.00143719
*CONN
*I *6478:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6470:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *6478:A2 0.000312825
2 *6470:X 0.000312825
3 *6478:A2 *6478:B1 9.11135e-05
4 *6478:A1 *6478:A2 0.00030861
5 *359:10 *6478:A2 0
6 *377:33 *6478:A2 9.19886e-06
7 *386:16 *6478:A2 0.000402616
*RES
1 *6470:X *6478:A2 37.5282
*END
*D_NET *391 0.00434322
*CONN
*I *6495:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6496:A1 I *D sky130_fd_sc_hd__o221a_1
*I *6497:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6473:A I *D sky130_fd_sc_hd__or2_1
*I *6472:A I *D sky130_fd_sc_hd__nand2_1
*I *6471:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6495:A1 0
2 *6496:A1 0.000107135
3 *6497:A1 0.000171659
4 *6473:A 0.000118104
5 *6472:A 0
6 *6471:X 0.000305068
7 *391:31 0.000383523
8 *391:19 0.000597169
9 *391:13 0.000791751
10 *391:9 0.000513025
11 *6473:A *6472:B 0.000271044
12 *6473:A *1253:24 6.50727e-05
13 *6496:A1 *6479:A 7.58595e-05
14 *6497:A1 *6497:A2 1.43983e-05
15 *6497:A1 *1257:10 0.000404561
16 *391:9 *398:15 8.65522e-05
17 *391:9 *1258:7 3.99086e-06
18 *391:13 *6479:A 2.16355e-05
19 *391:13 *398:15 1.34424e-05
20 *391:13 *1258:7 5.81185e-06
21 *391:19 *6472:B 5.3103e-05
22 *391:19 *6476:A1 3.90891e-05
23 *391:19 *6479:A 2.71397e-05
24 *391:19 *6496:B1 1.41761e-05
25 *391:19 *6498:A3 3.4475e-05
26 *391:19 *6498:B1 0
27 *391:31 *6479:A 7.73065e-05
28 *6803:D *391:19 0.000148129
29 *371:39 *391:19 0
*RES
1 *6471:X *391:9 16.1214
2 *391:9 *391:13 7.44181
3 *391:13 *391:19 18.4335
4 *391:19 *6472:A 9.24915
5 *391:19 *6473:A 13.3002
6 *391:13 *391:31 3.07775
7 *391:31 *6497:A1 18.9335
8 *391:31 *6496:A1 16.8269
9 *391:9 *6495:A1 9.24915
*END
*D_NET *392 0.00106426
*CONN
*I *6476:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6472:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6476:A1 0.00040411
2 *6472:Y 0.00040411
3 *6476:A1 *6476:A2 0.000171288
4 *371:39 *6476:A1 0
5 *385:17 *6476:A1 4.56667e-05
6 *391:19 *6476:A1 3.90891e-05
*RES
1 *6472:Y *6476:A1 38.2575
*END
*D_NET *393 0.000606497
*CONN
*I *6476:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6473:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6476:A2 0.000131961
2 *6473:X 0.000131961
3 *6476:A2 *6478:A3 0
4 *6476:A1 *6476:A2 0.000171288
5 *359:10 *6476:A2 0
6 *371:39 *6476:A2 0
7 *385:17 *6476:A2 0.000171288
*RES
1 *6473:X *6476:A2 31.9934
*END
*D_NET *394 0.00108662
*CONN
*I *6475:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6474:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6475:C1 0.000169769
2 *6474:X 0.000169769
3 *6470:B2 *6475:C1 0.000148129
4 *6475:B2 *6475:C1 0.000423922
5 *367:17 *6475:C1 0.000113968
6 *367:18 *6475:C1 5.53934e-05
7 *388:8 *6475:C1 5.66868e-06
*RES
1 *6474:X *6475:C1 34.7664
*END
*D_NET *395 0.000642187
*CONN
*I *6476:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6475:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6476:B1 0.000120022
2 *6475:X 0.000120022
3 *6476:B1 *6475:A2 8.86485e-05
4 *6475:A1 *6476:B1 0.000152878
5 *385:17 *6476:B1 0.000160617
*RES
1 *6475:X *6476:B1 22.9833
*END
*D_NET *396 0.00107924
*CONN
*I *6478:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6476:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6478:A3 0.000188798
2 *6476:Y 0.000188798
3 *6478:A3 *947:52 0.000290275
4 *6478:A3 *1138:9 0.000319954
5 *6476:A2 *6478:A3 0
6 *359:10 *6478:A3 9.14201e-05
7 *385:17 *6478:A3 0
*RES
1 *6476:Y *6478:A3 34.903
*END
*D_NET *397 0.00213502
*CONN
*I *6478:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6477:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6478:B1 0.000493328
2 *6477:Y 0.000493328
3 *6478:B1 *6475:B1 0.00033614
4 *6478:B1 *947:26 0
5 *6469:A *6478:B1 0
6 *6470:B2 *6478:B1 0.000411006
7 *6478:A1 *6478:B1 0.000310109
8 *6478:A2 *6478:B1 9.11135e-05
*RES
1 *6477:Y *6478:B1 41.4104
*END
*D_NET *398 0.00622508
*CONN
*I *6486:A I *D sky130_fd_sc_hd__nor2_1
*I *6492:A2_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6495:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6479:A I *D sky130_fd_sc_hd__inv_2
*I *6478:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6486:A 0.000194053
2 *6492:A2_N 0
3 *6495:B1 0
4 *6479:A 0.000320945
5 *6478:X 0
6 *398:37 0.000686027
7 *398:15 0.000747626
8 *398:4 0.000918655
9 *6479:A *6496:B1 0.000254038
10 *6479:A *399:12 0
11 *6479:A *409:33 0
12 *6479:A *1258:7 1.19721e-05
13 *6486:A *6486:B 6.08467e-05
14 *6486:A *404:5 0.000426154
15 *6486:A *408:13 4.88955e-05
16 *6486:A *409:25 5.0715e-05
17 *6486:A *1095:27 0.000185642
18 *398:15 *6465:A 9.24241e-05
19 *398:15 *6498:C1 0.0002646
20 *398:15 *947:52 0.000494443
21 *398:15 *1258:7 0.000119727
22 *398:15 *1258:19 4.70104e-05
23 *398:37 *408:8 5.82465e-05
24 *398:37 *408:13 6.50727e-05
25 *398:37 *409:25 2.65831e-05
26 *398:37 *947:52 0.000238947
27 *6469:A *398:37 0
28 *6492:B2 *6486:A 1.19856e-05
29 *6496:A1 *6479:A 7.58595e-05
30 *6498:A1 *398:15 0.000160617
31 *371:39 *398:15 5.82465e-05
32 *384:42 *6486:A 0.000221231
33 *384:42 *398:37 0
34 *386:12 *6486:A 0
35 *386:12 *398:37 4.15008e-05
36 *386:16 *398:37 0.000116942
37 *391:9 *398:15 8.65522e-05
38 *391:13 *6479:A 2.16355e-05
39 *391:13 *398:15 1.34424e-05
40 *391:19 *6479:A 2.71397e-05
41 *391:31 *6479:A 7.73065e-05
*RES
1 *6478:X *398:4 9.24915
2 *398:4 *398:15 24.2496
3 *398:15 *6479:A 28.6943
4 *398:15 *6495:B1 9.24915
5 *398:4 *398:37 21.9717
6 *398:37 *6492:A2_N 9.24915
7 *398:37 *6486:A 27.7651
*END
*D_NET *399 0.00283283
*CONN
*I *6482:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6494:A I *D sky130_fd_sc_hd__and2_1
*I *6497:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6479:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6482:A2 5.62486e-05
2 *6494:A 7.43554e-05
3 *6497:A2 0.000294694
4 *6479:Y 0.00011016
5 *399:12 0.000550841
6 *399:5 0.0003482
7 *6482:A2 *1095:27 0.000111722
8 *6494:A *6496:A2 0.00011818
9 *6494:A *408:13 0.000103983
10 *6494:A *408:20 0.000213725
11 *6497:A2 *6434:A 4.66492e-05
12 *6497:A2 *6471:A 8.62625e-06
13 *6497:A2 *6497:B1 6.50586e-05
14 *6497:A2 *408:20 0
15 *6497:A2 *409:33 8.62625e-06
16 *6497:A2 *1257:10 8.77993e-05
17 *6497:A2 *1258:19 2.95757e-05
18 *399:5 *1095:27 0.000436811
19 *399:12 *409:33 4.18989e-05
20 *6479:A *399:12 0
21 *6482:A1 *399:12 3.31733e-05
22 *6482:C1 *6482:A2 3.01683e-06
23 *6497:A1 *6497:A2 1.43983e-05
24 *371:29 *399:12 1.17425e-05
25 *371:39 *6494:A 1.92172e-05
26 *371:39 *6497:A2 2.94204e-05
27 *371:39 *399:12 1.47102e-05
*RES
1 *6479:Y *399:5 13.8548
2 *399:5 *399:12 9.31204
3 *399:12 *6497:A2 21.5271
4 *399:12 *6494:A 17.2456
5 *399:5 *6482:A2 11.1059
*END
*D_NET *400 0.0164833
*CONN
*I *6585:B I *D sky130_fd_sc_hd__nand2_1
*I *6647:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6506:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6481:B I *D sky130_fd_sc_hd__nand2_1
*I *6532:B I *D sky130_fd_sc_hd__nand2_1
*I *6480:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6585:B 0.000632628
2 *6647:A2 5.12911e-05
3 *6506:B1 0.000599146
4 *6481:B 0.00104373
5 *6532:B 6.7213e-05
6 *6480:X 0
7 *400:31 0.00282845
8 *400:15 0.00277965
9 *400:5 0.00205643
10 *400:4 0.0011109
11 *6481:B *6482:B1 3.62662e-06
12 *6481:B *6487:B1 0.000118166
13 *6506:B1 *6488:B 0.000346318
14 *6506:B1 *6506:A1 1.2601e-05
15 *6506:B1 *6527:B 0.00017416
16 *6532:B *6532:A 1.41976e-05
17 *6532:B *409:25 6.50727e-05
18 *6532:B *938:45 0.000123582
19 *6532:B *1264:17 5.41377e-05
20 *6532:B *1264:30 7.86847e-05
21 *6585:B *6586:B1 0.000284048
22 *6585:B *6599:B1 9.98029e-06
23 *6585:B *6600:B 0.00064838
24 *6585:B *6600:C 0.000116201
25 *6585:B *403:59 2.22342e-05
26 *6585:B *489:10 0
27 *6585:B *555:6 0
28 *6647:A2 *6647:B1 0.000114518
29 *400:5 *6647:B1 9.60469e-05
30 *400:5 *947:8 0.000171273
31 *400:15 *6548:A 0
32 *400:15 *6647:A1 0.000434578
33 *400:15 *6647:B1 9.82896e-06
34 *400:15 *6813:CLK 0.000264617
35 *400:15 *414:16 0.000628914
36 *400:15 *597:33 0.00017335
37 *400:15 *1268:10 4.69326e-06
38 *400:31 *6487:A2 0.000239743
39 *400:31 *6487:B1 0
40 *400:31 *6488:B 8.62625e-06
41 *400:31 *6534:A 9.82896e-06
42 *400:31 *6535:A3 3.73029e-05
43 *400:31 *6810:CLK 0
44 *400:31 *938:45 0.000196638
45 *400:31 *1264:30 0.000189496
46 *400:31 *1265:8 6.92705e-05
47 *400:31 *1267:27 0.000139747
48 *6481:A *6481:B 9.63981e-05
49 *6487:A1 *6481:B 2.39581e-05
50 *6487:B2 *6481:B 0.000115615
51 *6810:D *6506:B1 0
52 *6813:D *400:15 6.54102e-05
53 *6833:D *6585:B 0
54 *358:9 *6481:B 4.58003e-05
55 *384:7 *6481:B 6.64478e-05
56 *384:31 *6481:B 4.03749e-05
57 *384:31 *400:31 0
58 *386:8 *6506:B1 0
59 *386:8 *400:31 0
*RES
1 *6480:X *400:4 9.24915
2 *400:4 *400:5 10.7063
3 *400:5 *400:15 46.7106
4 *400:15 *6532:B 16.7198
5 *400:15 *400:31 31.5472
6 *400:31 *6481:B 30.9901
7 *400:31 *6506:B1 30.6035
8 *400:5 *6647:A2 11.5158
9 *400:4 *6585:B 38.1114
*END
*D_NET *401 0.00102111
*CONN
*I *6482:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6481:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6482:B1 0.000324486
2 *6481:Y 0.000324486
3 *6481:A *6482:B1 4.81714e-05
4 *6481:B *6482:B1 3.62662e-06
5 *6482:A1 *6482:B1 5.82465e-05
6 *371:29 *6482:B1 0.000148129
7 *384:7 *6482:B1 0.000113968
*RES
1 *6481:Y *6482:B1 34.2118
*END
*D_NET *402 0.0096109
*CONN
*I *6491:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6674:A2 I *D sky130_fd_sc_hd__o31ai_4
*I *6484:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6483:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *6491:A 0
2 *6674:A2 0.000234376
3 *6484:A 0
4 *6483:X 0.000411678
5 *402:18 0.000559203
6 *402:15 0.000492111
7 *402:8 0.00160757
8 *402:7 0.00185196
9 *6674:A2 *6552:A 0.000278373
10 *6674:A2 *403:22 6.39594e-05
11 *6674:A2 *830:24 0.000222639
12 *6674:A2 *1274:32 3.54138e-05
13 *402:8 *6024:A 0
14 *402:8 *6026:A1 0.000733113
15 *402:8 *6026:B2 0.000169093
16 *402:8 *6642:A3 4.8794e-05
17 *402:8 *6642:B1 0.000171893
18 *402:8 *6666:A_N 5.9708e-05
19 *402:8 *419:14 0.000100702
20 *402:8 *535:11 0
21 *402:8 *597:33 0
22 *402:8 *895:57 0.000638429
23 *402:8 *902:28 0
24 *402:8 *947:8 8.18766e-05
25 *402:8 *947:76 4.37999e-05
26 *402:15 *6547:A 0.000339473
27 *402:15 *6822:CLK 6.99486e-05
28 *402:15 *409:11 5.50521e-05
29 *402:18 *6586:A1 0.000268165
30 *402:18 *6819:CLK 8.49464e-05
31 *402:18 *403:22 2.22342e-05
32 *402:18 *830:24 9.60215e-05
33 *402:18 *902:28 0
34 *402:18 *1096:50 1.50262e-05
35 *402:18 *1274:19 0.000111358
36 *366:8 *402:8 0.000581638
37 *366:10 *402:8 5.50663e-05
38 *366:26 *402:8 0.000107279
39 *367:41 *6674:A2 0
*RES
1 *6483:X *402:7 19.464
2 *402:7 *402:8 52.0775
3 *402:8 *402:15 10.9623
4 *402:15 *402:18 15.4675
5 *402:18 *6484:A 13.7491
6 *402:18 *6674:A2 23.4709
7 *402:15 *6491:A 9.24915
*END
*D_NET *403 0.0121072
*CONN
*I *6593:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6588:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6540:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6535:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6487:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6484:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6593:A2 0.000571431
2 *6588:B1 0
3 *6540:A2 0
4 *6535:B1 6.93708e-06
5 *6487:A2 0.000313125
6 *6484:X 0
7 *403:59 0.000992217
8 *403:31 0.00140586
9 *403:22 0.00207172
10 *403:4 0.00140671
11 *6487:A2 *6487:B1 0.000432613
12 *6487:A2 *6535:A3 0.00020401
13 *6487:A2 *589:15 0.00012966
14 *6535:B1 *6535:A1 2.7381e-05
15 *6593:A2 *6588:A2 0.000234771
16 *6593:A2 *6588:A3 0.000124137
17 *6593:A2 *6591:A 0.00028122
18 *6593:A2 *6591:B 6.92705e-05
19 *6593:A2 *6593:B2 0
20 *6593:A2 *6596:A 0
21 *6593:A2 *6603:A1 0
22 *6593:A2 *478:17 0
23 *6593:A2 *489:7 1.49927e-05
24 *403:22 *6540:A1 6.50727e-05
25 *403:22 *6541:A 0.000135289
26 *403:22 *6552:A 0.000226281
27 *403:22 *6820:CLK 0.000217951
28 *403:22 *441:7 1.27202e-05
29 *403:22 *441:13 3.20069e-06
30 *403:22 *902:28 8.92568e-06
31 *403:22 *1274:23 0.000195139
32 *403:22 *1274:32 0.000226281
33 *403:31 *6504:A_N 0.000113968
34 *403:31 *6504:D 0.000570328
35 *403:31 *6535:A1 2.76095e-05
36 *403:31 *6535:A2 1.119e-05
37 *403:31 *6535:B2 7.48633e-05
38 *403:31 *6540:A1 0.000107496
39 *403:31 *418:24 0.000271058
40 *403:31 *441:7 0.000111722
41 *403:31 *593:31 3.55432e-05
42 *403:59 *6585:A 0.000505283
43 *403:59 *6588:A2 3.8519e-05
44 *403:59 *6588:A3 1.45089e-05
45 *403:59 *489:8 0
46 *403:59 *555:6 7.38072e-05
47 *403:59 *1274:23 0.000160617
48 *6585:B *403:59 2.22342e-05
49 *6674:A2 *403:22 6.39594e-05
50 *6810:D *6487:A2 5.06914e-05
51 *6820:D *403:22 2.13584e-05
52 *371:8 *403:22 5.41377e-05
53 *371:8 *403:31 0.000139435
54 *400:31 *6487:A2 0.000239743
55 *402:18 *403:22 2.22342e-05
*RES
1 *6484:X *403:4 9.24915
2 *403:4 *403:22 44.9489
3 *403:22 *403:31 30.0368
4 *403:31 *6487:A2 31.4786
5 *403:31 *6535:B1 9.57467
6 *403:22 *6540:A2 9.24915
7 *403:4 *403:59 20.3177
8 *403:59 *6588:B1 9.24915
9 *403:59 *6593:A2 34.6654
*END
*D_NET *404 0.00212934
*CONN
*I *6486:B I *D sky130_fd_sc_hd__nor2_1
*I *6493:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6485:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6486:B 5.73182e-05
2 *6493:A2 0.000166607
3 *6485:X 5.65036e-05
4 *404:5 0.000280429
5 *6486:B *6487:B1 1.31657e-05
6 *6493:A2 *6493:B1 2.76259e-05
7 *6493:A2 *1095:21 0.000181333
8 *6482:C1 *6486:B 0.00014642
9 *6482:C1 *404:5 0.000530123
10 *6486:A *6486:B 6.08467e-05
11 *6486:A *404:5 0.000426154
12 *6493:A1 *6493:A2 0.000129224
13 *6493:C1 *6493:A2 7.92757e-06
14 *384:42 *6493:A2 0
15 *384:42 *404:5 4.56667e-05
*RES
1 *6485:X *404:5 14.964
2 *404:5 *6493:A2 23.4542
3 *404:5 *6486:B 11.6605
*END
*D_NET *405 0.00152539
*CONN
*I *6487:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6486:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6487:B1 0.000224442
2 *6486:Y 0.000224442
3 *6487:B1 *6488:B 6.92705e-05
4 *6487:B1 *6810:CLK 0.00012568
5 *6487:B1 *589:15 0.000277488
6 *6481:B *6487:B1 0.000118166
7 *6486:B *6487:B1 1.31657e-05
8 *6487:A1 *6487:B1 3.14978e-05
9 *6487:A2 *6487:B1 0.000432613
10 *6810:D *6487:B1 8.62625e-06
11 *400:31 *6487:B1 0
*RES
1 *6486:Y *6487:B1 37.0143
*END
*D_NET *406 0.000852059
*CONN
*I *6488:B I *D sky130_fd_sc_hd__and2_1
*I *6487:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6488:B 0.000200662
2 *6487:X 0.000200662
3 *6488:B *589:12 2.652e-05
4 *6487:B1 *6488:B 6.92705e-05
5 *6506:B1 *6488:B 0.000346318
6 *6810:D *6488:B 0
7 *400:31 *6488:B 8.62625e-06
*RES
1 *6487:X *6488:B 34.2062
*END
*D_NET *407 0.00198267
*CONN
*I *6489:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6488:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6489:A 0.000457192
2 *6488:X 0.000457192
3 *6489:A *6800:CLK 0.000441022
4 *6489:A *1255:7 0.000163928
5 *6800:D *6489:A 0.000463332
*RES
1 *6488:X *6489:A 34.196
*END
*D_NET *408 0.00349792
*CONN
*I *6492:A1_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6494:B I *D sky130_fd_sc_hd__and2_1
*I *6497:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6495:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6490:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6492:A1_N 0
2 *6494:B 0
3 *6497:A3 0
4 *6495:A2 5.31045e-05
5 *6490:X 8.41136e-05
6 *408:20 0.000240641
7 *408:13 0.000399941
8 *408:8 0.000296518
9 *6495:A2 *6498:A2 0.000198722
10 *408:13 *6493:B1 0
11 *408:13 *409:25 5.3355e-05
12 *408:13 *409:33 0.000585477
13 *408:20 *6496:A2 1.41689e-05
14 *408:20 *6496:B1 1.12605e-05
15 *408:20 *6498:A2 0.000153257
16 *408:20 *409:33 0.000387897
17 *6486:A *408:13 4.88955e-05
18 *6492:B2 *408:13 9.24029e-05
19 *6494:A *408:13 0.000103983
20 *6494:A *408:20 0.000213725
21 *6496:C1 *408:20 5.44803e-05
22 *6497:A2 *408:20 0
23 *371:39 *6495:A2 0.000203833
24 *371:39 *408:20 0.000120584
25 *384:42 *408:8 5.82465e-05
26 *398:37 *408:8 5.82465e-05
27 *398:37 *408:13 6.50727e-05
*RES
1 *6490:X *408:8 20.9116
2 *408:8 *408:13 9.78996
3 *408:13 *408:20 12.7768
4 *408:20 *6495:A2 17.2421
5 *408:20 *6497:A3 13.7491
6 *408:13 *6494:B 9.24915
7 *408:8 *6492:A1_N 9.24915
*END
*D_NET *409 0.0138953
*CONN
*I *6599:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6546:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6492:B1 I *D sky130_fd_sc_hd__a2bb2o_1
*I *6498:A2 I *D sky130_fd_sc_hd__o311a_1
*I *6496:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6491:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6599:B1 0.000475255
2 *6546:B1 2.26192e-05
3 *6492:B1 0
4 *6498:A2 0.000249831
5 *6496:B2 4.33413e-05
6 *6491:X 0
7 *409:33 0.000608756
8 *409:25 0.00187927
9 *409:11 0.00218006
10 *409:4 0.00106901
11 *6496:B2 *6496:A2 0.000111708
12 *6498:A2 *6496:B1 0.000220005
13 *6498:A2 *6498:B1 0.000305013
14 *6498:A2 *1138:9 7.97944e-05
15 *6546:B1 *6546:A1 6.92705e-05
16 *6599:B1 *6586:B1 0.00011818
17 *6599:B1 *6600:C 0.000101133
18 *6599:B1 *6822:CLK 0.000220331
19 *6599:B1 *456:44 0.000167076
20 *6599:B1 *484:15 1.92172e-05
21 *6599:B1 *555:6 0.000148144
22 *409:11 *6546:A1 4.82966e-05
23 *409:11 *6546:A2 0.000525925
24 *409:11 *6822:CLK 5.07314e-05
25 *409:11 *419:14 0
26 *409:11 *456:27 0.00040857
27 *409:11 *947:76 0.000118485
28 *409:25 *6493:B1 6.66147e-05
29 *409:25 *6532:A 3.81056e-05
30 *409:25 *6533:B1 0.000118245
31 *409:25 *6546:A1 7.68538e-06
32 *409:25 *6551:A2 0.000466373
33 *409:25 *6551:C1 6.80959e-05
34 *409:25 *456:11 0.000107496
35 *409:25 *456:27 0.000617019
36 *409:33 *6496:A2 0.000203739
37 *6479:A *409:33 0
38 *6486:A *409:25 5.0715e-05
39 *6492:B2 *409:25 1.75155e-06
40 *6493:C1 *409:25 0.000264586
41 *6495:A2 *6498:A2 0.000198722
42 *6496:C1 *409:33 0.000232958
43 *6497:A2 *409:33 8.62625e-06
44 *6498:A1 *6498:A2 6.73022e-05
45 *6532:B *409:25 6.50727e-05
46 *6585:B *6599:B1 9.98029e-06
47 *354:52 *409:25 0.00068513
48 *371:39 *6498:A2 7.3515e-05
49 *398:37 *409:25 2.65831e-05
50 *399:12 *409:33 4.18989e-05
51 *402:15 *409:11 5.50521e-05
52 *408:13 *409:25 5.3355e-05
53 *408:13 *409:33 0.000585477
54 *408:20 *6498:A2 0.000153257
55 *408:20 *409:33 0.000387897
*RES
1 *6491:X *409:4 9.24915
2 *409:4 *409:11 26.5591
3 *409:11 *409:25 36.9173
4 *409:25 *409:33 21.4311
5 *409:33 *6496:B2 10.5271
6 *409:33 *6498:A2 29.8035
7 *409:25 *6492:B1 9.24915
8 *409:11 *6546:B1 10.5513
9 *409:4 *6599:B1 29.0137
*END
*D_NET *410 0.000561676
*CONN
*I *6493:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6492:X O *D sky130_fd_sc_hd__a2bb2o_1
*CAP
1 *6493:B1 0.000105199
2 *6492:X 0.000105199
3 *6492:B2 *6493:B1 0.000118245
4 *6493:A1 *6493:B1 3.63758e-05
5 *6493:A2 *6493:B1 2.76259e-05
6 *6493:C1 *6493:B1 0.000102416
7 *408:13 *6493:B1 0
8 *409:25 *6493:B1 6.66147e-05
*RES
1 *6492:X *6493:B1 24.0564
*END
*D_NET *411 0.00105466
*CONN
*I *6496:A2 I *D sky130_fd_sc_hd__o221a_1
*I *6494:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6496:A2 0.000167901
2 *6494:X 0.000167901
3 *6494:A *6496:A2 0.00011818
4 *6496:B2 *6496:A2 0.000111708
5 *371:39 *6496:A2 0.000271058
6 *408:20 *6496:A2 1.41689e-05
7 *409:33 *6496:A2 0.000203739
*RES
1 *6494:X *6496:A2 24.7918
*END
*D_NET *412 0.00134793
*CONN
*I *6496:B1 I *D sky130_fd_sc_hd__o221a_1
*I *6498:A3 I *D sky130_fd_sc_hd__o311a_1
*I *6495:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6496:B1 0.00020414
2 *6498:A3 5.87599e-05
3 *6495:Y 0
4 *412:5 0.0002629
5 *6496:B1 *6498:B1 0.000167594
6 *6498:A3 *6498:B1 0.000120584
7 *6479:A *6496:B1 0.000254038
8 *6498:A2 *6496:B1 0.000220005
9 *391:19 *6496:B1 1.41761e-05
10 *391:19 *6498:A3 3.4475e-05
11 *408:20 *6496:B1 1.12605e-05
*RES
1 *6495:Y *412:5 13.7491
2 *412:5 *6498:A3 15.9964
3 *412:5 *6496:B1 22.2985
*END
*D_NET *413 0.000856267
*CONN
*I *6498:B1 I *D sky130_fd_sc_hd__o311a_1
*I *6497:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6498:B1 0.000127754
2 *6497:X 0.000127754
3 *6496:B1 *6498:B1 0.000167594
4 *6498:A2 *6498:B1 0.000305013
5 *6498:A3 *6498:B1 0.000120584
6 *371:39 *6498:B1 7.56859e-06
7 *391:19 *6498:B1 0
*RES
1 *6497:X *6498:B1 33.4828
*END
*D_NET *414 0.0116651
*CONN
*I *6519:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6512:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6500:A I *D sky130_fd_sc_hd__inv_2
*I *6530:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6647:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6499:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6519:B1 2.1308e-05
2 *6512:A1 0.000386451
3 *6500:A 0.000147004
4 *6530:B1 1.06924e-05
5 *6647:A1 0.000115648
6 *6499:X 0
7 *414:46 0.000835082
8 *414:29 0.00129578
9 *414:17 0.00110384
10 *414:16 0.00162544
11 *414:4 0.00136939
12 *6500:A *6505:A1 9.0283e-05
13 *6500:A *6517:A2 8.62625e-06
14 *6500:A *6528:C1 3.20069e-06
15 *6500:A *427:20 0.000367165
16 *6500:A *1261:20 1.62537e-05
17 *6500:A *1262:16 1.17793e-05
18 *6512:A1 *6515:A 3.31882e-05
19 *6512:A1 *6515:B 5.13109e-05
20 *6512:A1 *6518:C 0.000143982
21 *6512:A1 *425:19 0
22 *6512:A1 *596:22 1.19721e-05
23 *6512:A1 *1262:16 0
24 *6530:B1 *6530:A2 3.75603e-05
25 *6647:A1 *6642:A1 0.000139764
26 *6647:A1 *6647:B1 3.14978e-05
27 *414:16 *6447:A 0
28 *414:16 *6540:B2 0
29 *414:16 *6541:B 5.80222e-05
30 *414:16 *6542:C 7.50722e-05
31 *414:16 *6545:A 0
32 *414:16 *6547:C 0
33 *414:16 *6548:A 0
34 *414:16 *6551:A1 0
35 *414:16 *7087:A 0.000358589
36 *414:16 *418:24 0
37 *414:16 *591:49 0
38 *414:16 *597:33 0
39 *414:16 *1078:6 0.000179271
40 *414:16 *1268:10 0.000144546
41 *414:17 *6528:A1 2.65667e-05
42 *414:17 *7087:A 6.08467e-05
43 *414:29 *6528:A1 7.36133e-05
44 *414:29 *6530:A1 6.49003e-05
45 *414:29 *6530:A2 0.00011818
46 *414:29 *427:20 0.000472832
47 *414:46 *6517:A2 0.000255957
48 *414:46 *6519:A1 0.000165521
49 *414:46 *6519:A2 9.68706e-05
50 *414:46 *6521:A2 0.000101133
51 *414:46 *1261:6 0.000151741
52 *414:46 *1261:15 3.11725e-05
53 *414:46 *1261:20 5.19205e-05
54 *414:46 *1263:13 0
55 *6530:C1 *6530:B1 4.80635e-06
56 *6530:C1 *414:17 0.000156756
57 *6811:D *414:16 9.60216e-05
58 *371:8 *414:16 0
59 *400:15 *6647:A1 0.000434578
60 *400:15 *414:16 0.000628914
*RES
1 *6499:X *414:4 9.24915
2 *414:4 *6647:A1 15.398
3 *414:4 *414:16 45.2979
4 *414:16 *414:17 9.04245
5 *414:17 *6530:B1 9.82786
6 *414:17 *414:29 16.8942
7 *414:29 *6500:A 19.7054
8 *414:29 *414:46 18.1363
9 *414:46 *6512:A1 27.5052
10 *414:46 *6519:B1 9.82786
*END
*D_NET *415 0.00207412
*CONN
*I *6516:B I *D sky130_fd_sc_hd__nor4_1
*I *6508:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6506:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6500:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6516:B 0
2 *6508:A1 0.000147258
3 *6506:A1 9.3638e-05
4 *6500:Y 0.000212302
5 *415:9 0.000406212
6 *415:5 0.000377618
7 *6506:A1 *6524:A 0
8 *6506:A1 *421:6 2.99929e-05
9 *6508:A1 *6524:A 0
10 *6508:A1 *421:6 3.14544e-05
11 *6508:A1 *422:15 0.000147308
12 *415:5 *422:13 0.000334808
13 *415:5 *427:20 9.87052e-06
14 *415:9 *422:13 5.31074e-05
15 *415:9 *422:15 0.000217951
16 *6506:B1 *6506:A1 1.2601e-05
*RES
1 *6500:Y *415:5 13.3002
2 *415:5 *415:9 7.44181
3 *415:9 *6506:A1 16.4116
4 *415:9 *6508:A1 18.6623
5 *415:5 *6516:B 9.24915
*END
*D_NET *416 0.00129156
*CONN
*I *6504:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *6535:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6501:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6504:B_N 0
2 *6535:A1 0.000110148
3 *6501:X 0.000153325
4 *416:10 0.000263474
5 *6535:A1 *6504:A_N 2.44829e-05
6 *6535:A1 *6535:A2 0.000485738
7 *6535:A1 *6535:B2 7.48633e-05
8 *416:10 *6501:A 9.18559e-06
9 *416:10 *6525:A 3.77804e-05
10 *416:10 *1264:37 4.11983e-05
11 *416:10 *1267:27 3.63743e-05
12 *6535:B1 *6535:A1 2.7381e-05
13 *403:31 *6535:A1 2.76095e-05
*RES
1 *6501:X *416:10 22.0503
2 *416:10 *6535:A1 14.9881
3 *416:10 *6504:B_N 9.24915
*END
*D_NET *417 0.00489734
*CONN
*I *6529:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6541:A I *D sky130_fd_sc_hd__nand2_1
*I *6504:C I *D sky130_fd_sc_hd__and4bb_1
*I *6538:A I *D sky130_fd_sc_hd__nor2_1
*I *6502:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6529:B2 0.000267776
2 *6541:A 0.000390054
3 *6504:C 7.16621e-05
4 *6538:A 0
5 *6502:Y 5.0318e-05
6 *417:13 0.000158954
7 *417:8 0.000554913
8 *417:5 0.000395661
9 *6504:C *6504:D 0.000491241
10 *6504:C *6535:A2 0.000285085
11 *6504:C *6541:B 1.37913e-05
12 *6529:B2 *6502:A 7.8874e-05
13 *6529:B2 *6526:A1 0.000121536
14 *6529:B2 *6529:C1 1.47046e-05
15 *6529:B2 *593:31 0.000315176
16 *6529:B2 *1266:6 7.50872e-05
17 *6541:A *441:7 0.00047118
18 *6541:A *902:53 3.99086e-06
19 *417:5 *6502:A 2.16355e-05
20 *417:8 *6535:A2 7.81379e-05
21 *417:8 *418:37 0.00029574
22 *417:8 *440:8 0.000221185
23 *417:13 *6504:D 1.65872e-05
24 *417:13 *6535:A2 0.000163982
25 *417:13 *6539:A 1.61631e-05
26 *417:13 *418:37 0.000118485
27 *417:13 *443:20 8.62625e-06
28 *417:13 *446:8 1.92336e-05
29 *417:13 *593:31 1.14755e-05
30 *371:8 *6529:B2 3.07997e-05
31 *403:22 *6541:A 0.000135289
*RES
1 *6502:Y *417:5 9.97254
2 *417:5 *417:8 9.65401
3 *417:8 *417:13 8.30115
4 *417:13 *6538:A 9.24915
5 *417:13 *6504:C 14.4335
6 *417:8 *6541:A 24.6724
7 *417:5 *6529:B2 26.3804
*END
*D_NET *418 0.00803758
*CONN
*I *6528:A1 I *D sky130_fd_sc_hd__o221ai_1
*I *6529:A1 I *D sky130_fd_sc_hd__a221o_1
*I *6504:D I *D sky130_fd_sc_hd__and4bb_1
*I *6551:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6503:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6528:A1 0.000288399
2 *6529:A1 0
3 *6504:D 0.000131431
4 *6551:A1 0.000414694
5 *6503:Y 1.54421e-05
6 *418:37 0.000609019
7 *418:24 0.00063495
8 *418:7 0.000613035
9 *6504:D *6535:A2 5.11183e-05
10 *6504:D *6539:A 7.6719e-06
11 *6504:D *6541:B 1.61631e-05
12 *6528:A1 *6529:A2 0.000517234
13 *6528:A1 *6530:A1 0.000217951
14 *6528:A1 *6530:A2 2.41483e-05
15 *6528:A1 *427:20 5.0715e-05
16 *6528:A1 *440:8 0.000113832
17 *6528:A1 *593:31 8.8567e-05
18 *6528:A1 *1263:15 1.09551e-05
19 *6551:A1 *6546:A1 0.000266846
20 *6551:A1 *6551:A2 0.000370829
21 *6551:A1 *441:13 5.41377e-05
22 *6551:A1 *1268:10 0
23 *418:7 *6549:B1 0.000171288
24 *418:7 *589:15 0.000171288
25 *418:24 *6540:B2 0.000213725
26 *418:24 *6541:B 0.000507516
27 *418:24 *441:13 0.000184399
28 *418:37 *440:8 0.000125679
29 *418:37 *593:31 0.000298992
30 *6504:C *6504:D 0.000491241
31 *371:8 *6551:A1 3.93117e-06
32 *403:31 *6504:D 0.000570328
33 *403:31 *418:24 0.000271058
34 *414:16 *6551:A1 0
35 *414:16 *418:24 0
36 *414:17 *6528:A1 2.65667e-05
37 *414:29 *6528:A1 7.36133e-05
38 *417:8 *418:37 0.00029574
39 *417:13 *6504:D 1.65872e-05
40 *417:13 *418:37 0.000118485
*RES
1 *6503:Y *418:7 15.5817
2 *418:7 *6551:A1 25.9342
3 *418:7 *418:24 13.7078
4 *418:24 *6504:D 17.7852
5 *418:24 *418:37 17.1286
6 *418:37 *6529:A1 13.7491
7 *418:37 *6528:A1 26.3087
*END
*D_NET *419 0.0082768
*CONN
*I *6505:B1 I *D sky130_fd_sc_hd__o31a_1
*I *6647:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6642:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6504:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *6505:B1 0.000796981
2 *6647:A3 0
3 *6642:A3 0.000165514
4 *6504:X 2.59387e-05
5 *419:14 0.00159096
6 *419:7 0.00224836
7 *6505:B1 *6505:A3 0.000179996
8 *6505:B1 *6526:A1 9.60216e-05
9 *6505:B1 *6526:B1 3.99086e-06
10 *6505:B1 *6526:B2 1.00981e-05
11 *6505:B1 *6529:A2 3.42335e-05
12 *6505:B1 *6529:B1 0.000547706
13 *6505:B1 *6529:C1 0.00056613
14 *6505:B1 *6530:A1 6.50727e-05
15 *6505:B1 *6535:A2 0
16 *6505:B1 *434:5 3.33771e-05
17 *6505:B1 *440:8 0
18 *6505:B1 *938:45 0
19 *6505:B1 *1082:8 7.52542e-05
20 *6642:A3 *6642:A1 0
21 *6642:A3 *597:33 0
22 *419:7 *6535:A2 6.50586e-05
23 *419:14 *6546:A2 0.000143032
24 *419:14 *6549:A1 1.41689e-05
25 *419:14 *6549:A3 0.0002646
26 *419:14 *6549:B1 0.000108266
27 *419:14 *6551:B1 6.08467e-05
28 *419:14 *7075:A 1.43848e-05
29 *419:14 *7081:A 9.47795e-05
30 *419:14 *7100:A 0
31 *419:14 *441:13 0.000271058
32 *419:14 *443:20 0
33 *419:14 *446:8 0
34 *419:14 *589:15 8.75674e-05
35 *419:14 *597:33 0
36 *419:14 *938:45 0
37 *419:14 *947:76 0
38 *419:14 *1077:6 0
39 *419:14 *1267:27 0.000170977
40 *6642:A2 *6642:A3 0.000175485
41 *6819:D *419:14 4.61732e-05
42 *367:17 *6642:A3 0.000171273
43 *402:8 *6642:A3 4.8794e-05
44 *402:8 *419:14 0.000100702
45 *409:11 *419:14 0
*RES
1 *6504:X *419:7 14.4725
2 *419:7 *419:14 46.3597
3 *419:14 *6642:A3 19.0748
4 *419:14 *6647:A3 13.7491
5 *419:7 *6505:B1 39.0397
*END
*D_NET *420 0.000788548
*CONN
*I *6506:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6505:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *6506:A2 0.000212065
2 *6505:X 0.000212065
3 *6506:A2 *6527:B 6.50586e-05
4 *6506:A2 *434:5 0.00029936
*RES
1 *6505:X *6506:A2 26.4315
*END
*D_NET *421 0.0012328
*CONN
*I *6512:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6507:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6506:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6512:B1 0.000130153
2 *6507:A 0.000166258
3 *6506:Y 0.000215737
4 *421:6 0.000512148
5 *6507:A *425:7 3.86121e-05
6 *6512:B1 *6516:D 2.39519e-05
7 *6512:B1 *425:19 4.29824e-05
8 *421:6 *6516:D 4.15143e-05
9 *421:6 *6524:A 0
10 *421:6 *422:13 0
11 *6506:A1 *421:6 2.99929e-05
12 *6508:A1 *421:6 3.14544e-05
*RES
1 *6506:Y *421:6 19.3184
2 *421:6 *6507:A 17.8002
3 *421:6 *6512:B1 17.6574
*END
*D_NET *422 0.00407366
*CONN
*I *6518:B I *D sky130_fd_sc_hd__or3_1
*I *6516:C I *D sky130_fd_sc_hd__nor4_1
*I *6508:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6510:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6509:A2 I *D sky130_fd_sc_hd__o211ai_1
*I *6507:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6518:B 0.000313857
2 *6516:C 0
3 *6508:A2 0
4 *6510:A2 0.000250263
5 *6509:A2 2.84562e-05
6 *6507:X 0
7 *422:17 0.00042605
8 *422:15 0.000333395
9 *422:13 0.000414222
10 *422:5 0.000542014
11 *6509:A2 *6509:A1 1.41976e-05
12 *6509:A2 *6509:B1 1.19721e-05
13 *6510:A2 *6510:A1 0
14 *6510:A2 *947:68 3.67528e-06
15 *6510:A2 *1259:25 0
16 *6510:A2 *1260:13 7.15593e-05
17 *6518:B *6515:A 5.36397e-05
18 *6518:B *6517:A1 0.000263107
19 *6518:B *6518:C 4.17605e-05
20 *422:13 *6515:A 0.000154145
21 *422:13 *6516:A 6.08167e-05
22 *422:13 *6516:D 1.84293e-05
23 *422:13 *6517:A2 7.02172e-06
24 *422:13 *427:20 1.00846e-05
25 *422:13 *1262:16 0
26 *422:15 *6516:D 2.85274e-05
27 *422:17 *6509:A1 0.00027329
28 *6508:A1 *422:15 0.000147308
29 *371:29 *6510:A2 0
30 *415:5 *422:13 0.000334808
31 *415:9 *422:13 5.31074e-05
32 *415:9 *422:15 0.000217951
33 *421:6 *422:13 0
*RES
1 *6507:X *422:5 13.7491
2 *422:5 *422:13 13.7783
3 *422:13 *422:15 6.26943
4 *422:15 *422:17 4.05102
5 *422:17 *6509:A2 9.97254
6 *422:17 *6510:A2 24.6489
7 *422:15 *6508:A2 9.24915
8 *422:13 *6516:C 9.24915
9 *422:5 *6518:B 20.0474
*END
*D_NET *423 0.000534865
*CONN
*I *6509:B1 I *D sky130_fd_sc_hd__o211ai_1
*I *6508:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6509:B1 0.000197141
2 *6508:Y 0.000197141
3 *6509:B1 *6509:A1 0.000121262
4 *6509:B1 *947:68 7.34948e-06
5 *6509:A2 *6509:B1 1.19721e-05
*RES
1 *6508:Y *6509:B1 23.6826
*END
*D_NET *424 0.00109053
*CONN
*I *6513:B I *D sky130_fd_sc_hd__nand3_1
*I *6510:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6513:B 0.000319399
2 *6510:Y 0.000319399
3 *6513:B *596:22 0.000224381
4 *6513:B *1082:52 0
5 *6513:B *1260:8 0
6 *6805:D *6513:B 0.00015324
7 *371:29 *6513:B 7.41058e-05
*RES
1 *6510:Y *6513:B 35.87
*END
*D_NET *425 0.00250706
*CONN
*I *6518:C I *D sky130_fd_sc_hd__or3_1
*I *6512:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6516:D I *D sky130_fd_sc_hd__nor4_1
*I *6511:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6518:C 0.000171274
2 *6512:A2 0
3 *6516:D 0.000193577
4 *6511:X 0.000160316
5 *425:19 0.000270489
6 *425:7 0.000453108
7 *6516:D *6515:A 0
8 *6516:D *6517:A2 0.000118166
9 *6518:C *6517:A1 0.000263107
10 *6518:C *596:22 0.000497263
11 *425:19 *6515:A 0
12 *6507:A *425:7 3.86121e-05
13 *6512:A1 *6518:C 0.000143982
14 *6512:A1 *425:19 0
15 *6512:B1 *6516:D 2.39519e-05
16 *6512:B1 *425:19 4.29824e-05
17 *6518:B *6518:C 4.17605e-05
18 *421:6 *6516:D 4.15143e-05
19 *422:13 *6516:D 1.84293e-05
20 *422:15 *6516:D 2.85274e-05
*RES
1 *6511:X *425:7 16.1364
2 *425:7 *6516:D 19.49
3 *425:7 *425:19 7.1625
4 *425:19 *6512:A2 9.24915
5 *425:19 *6518:C 18.5086
*END
*D_NET *426 0.00375033
*CONN
*I *6515:B I *D sky130_fd_sc_hd__and2_1
*I *6520:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6513:C I *D sky130_fd_sc_hd__nand3_1
*I *6512:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6515:B 0.000460403
2 *6520:A1 0.000103057
3 *6513:C 0.000200014
4 *6512:X 0
5 *426:8 0.000555109
6 *426:4 0.000712441
7 *6515:B *596:22 0.000543025
8 *426:8 *6520:A2 0
9 *6512:A1 *6515:B 5.13109e-05
10 *371:24 *6513:C 0.000755455
11 *371:24 *6520:A1 0.00036952
*RES
1 *6512:X *426:4 9.24915
2 *426:4 *426:8 14.9845
3 *426:8 *6513:C 17.1824
4 *426:8 *6520:A1 13.3002
5 *426:4 *6515:B 32.0769
*END
*D_NET *427 0.00394696
*CONN
*I *6515:A I *D sky130_fd_sc_hd__and2_1
*I *6516:A I *D sky130_fd_sc_hd__nor4_1
*I *6526:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6514:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6515:A 0.000202275
2 *6516:A 3.08383e-05
3 *6526:A2 0.000209317
4 *6514:Y 0.00013338
5 *427:20 0.000436316
6 *427:8 0.0005459
7 *6515:A *1262:16 0
8 *6516:A *6517:A2 0.000224381
9 *6526:A2 *6526:A1 6.75939e-05
10 *6526:A2 *6526:B1 3.14978e-05
11 *6526:A2 *6526:C1 5.22654e-06
12 *6526:A2 *6528:B2 0
13 *6526:A2 *6529:C1 0.000169611
14 *6526:A2 *938:45 5.41467e-05
15 *6526:A2 *1263:13 0
16 *427:8 *938:45 1.90218e-05
17 *427:8 *1263:13 0
18 *427:20 *6505:A1 5.56819e-05
19 *427:20 *6517:A2 0.000512905
20 *427:20 *6529:A2 9.82896e-06
21 *427:20 *1263:13 2.65831e-05
22 *6500:A *427:20 0.000367165
23 *6512:A1 *6515:A 3.31882e-05
24 *6516:D *6515:A 0
25 *6518:B *6515:A 5.36397e-05
26 *6528:A1 *427:20 5.0715e-05
27 *414:29 *427:20 0.000472832
28 *415:5 *427:20 9.87052e-06
29 *422:13 *6515:A 0.000154145
30 *422:13 *6516:A 6.08167e-05
31 *422:13 *427:20 1.00846e-05
32 *425:19 *6515:A 0
*RES
1 *6514:Y *427:8 16.3045
2 *427:8 *6526:A2 19.9354
3 *427:8 *427:20 15.7609
4 *427:20 *6516:A 11.6364
5 *427:20 *6515:A 24.2337
*END
*D_NET *428 0.00140694
*CONN
*I *6517:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6515:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6517:A1 0.000172081
2 *6515:X 0.000172081
3 *6517:A1 *6517:A2 0.000423922
4 *6517:A1 *596:22 0.000112642
5 *6518:B *6517:A1 0.000263107
6 *6518:C *6517:A1 0.000263107
*RES
1 *6515:X *6517:A1 27.4202
*END
*D_NET *429 0.00362718
*CONN
*I *6517:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6516:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *6517:A2 0.000933158
2 *6516:Y 0.000933158
3 *6517:A2 *596:22 1.00937e-05
4 *6517:A2 *1261:15 0.000149628
5 *6517:A2 *1262:16 5.01668e-05
6 *6500:A *6517:A2 8.62625e-06
7 *6516:A *6517:A2 0.000224381
8 *6516:D *6517:A2 0.000118166
9 *6517:A1 *6517:A2 0.000423922
10 *414:46 *6517:A2 0.000255957
11 *422:13 *6517:A2 7.02172e-06
12 *427:20 *6517:A2 0.000512905
*RES
1 *6516:Y *6517:A2 46.9943
*END
*D_NET *430 0.0019622
*CONN
*I *6521:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *6518:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6521:A2 0.000575006
2 *6518:X 0.000575006
3 *6521:A2 *6519:A2 2.04806e-05
4 *6521:A2 *6521:A1 0.000267394
5 *6521:A2 *6521:B1_N 0.000110297
6 *6521:A2 *6523:A 9.75356e-05
7 *6521:A2 *596:22 6.92705e-05
8 *6521:A2 *1082:38 1.41976e-05
9 *6521:A2 *1082:45 0.000131878
10 *6521:A2 *1262:14 0
11 *6521:A2 *1263:8 0
12 *6521:A2 *1263:13 0
13 *414:46 *6521:A2 0.000101133
*RES
1 *6518:X *6521:A2 43.5459
*END
*D_NET *431 0.000334281
*CONN
*I *6520:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6519:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6520:A2 0.000141783
2 *6519:X 0.000141783
3 *6520:A2 *1262:14 0
4 *371:24 *6520:A2 5.0715e-05
5 *426:8 *6520:A2 0
*RES
1 *6519:X *6520:A2 30.193
*END
*D_NET *432 0.00123306
*CONN
*I *6522:B I *D sky130_fd_sc_hd__and2_1
*I *6521:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *6520:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6522:B 7.62714e-06
2 *6521:B1_N 0.000162464
3 *6520:X 5.65835e-05
4 *432:5 0.000226675
5 *6521:B1_N *1262:14 0.000109978
6 *6522:B *6522:A 5.08751e-05
7 *6522:B *904:60 6.50727e-05
8 *432:5 *6522:A 6.08467e-05
9 *432:5 *904:60 0.000314044
10 *6521:A2 *6521:B1_N 0.000110297
11 *371:24 *432:5 6.85944e-05
*RES
1 *6520:X *432:5 12.7456
2 *432:5 *6521:B1_N 21.7744
3 *432:5 *6522:B 9.97254
*END
*D_NET *433 0.000873712
*CONN
*I *6523:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6522:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6523:A 0.000281457
2 *6522:X 0.000281457
3 *6523:A *904:60 0.000115934
4 *6523:A *1263:8 1.79807e-05
5 *6521:A2 *6523:A 9.75356e-05
6 *6808:D *6523:A 7.93468e-05
*RES
1 *6522:X *6523:A 34.7608
*END
*D_NET *434 0.00421617
*CONN
*I *6526:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6528:B1 I *D sky130_fd_sc_hd__o221ai_1
*I *6524:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6526:B1 0.000251351
2 *6528:B1 8.85002e-05
3 *6524:Y 0.000997418
4 *434:5 0.00133727
5 *6526:B1 *6526:B2 0.000223381
6 *6526:B1 *6529:C1 9.67539e-06
7 *6528:B1 *6528:B2 0.000235007
8 *6528:B1 *6528:C1 5.36397e-05
9 *6528:B1 *1261:20 0.000148144
10 *6528:B1 *1265:35 3.31882e-05
11 *434:5 *6505:A1 2.99287e-05
12 *434:5 *6505:A3 3.62662e-06
13 *434:5 *6527:B 0.000436811
14 *6505:B1 *6526:B1 3.99086e-06
15 *6505:B1 *434:5 3.33771e-05
16 *6506:A2 *434:5 0.00029936
17 *6526:A2 *6526:B1 3.14978e-05
*RES
1 *6524:Y *434:5 26.056
2 *434:5 *6528:B1 22.9879
3 *434:5 *6526:B1 13.8789
*END
*D_NET *435 0.000658521
*CONN
*I *6526:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6525:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6526:C1 0.000186088
2 *6525:X 0.000186088
3 *6526:C1 *6525:A 7.18205e-05
4 *6526:C1 *6527:A 0.000164829
5 *6526:C1 *6528:B2 9.35753e-06
6 *6526:C1 *938:45 0
7 *6526:C1 *1265:35 3.51113e-05
8 *6526:A2 *6526:C1 5.22654e-06
*RES
1 *6525:X *6526:C1 32.8239
*END
*D_NET *436 0.000905173
*CONN
*I *6530:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6526:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6530:A1 0.000150639
2 *6526:X 0.000150639
3 *6530:A1 *6529:A2 3.92275e-05
4 *6530:A1 *6530:A2 7.36959e-05
5 *6530:A1 *1082:8 0.000143047
6 *6505:B1 *6530:A1 6.50727e-05
7 *6528:A1 *6530:A1 0.000217951
8 *414:29 *6530:A1 6.49003e-05
*RES
1 *6526:X *6530:A1 33.2714
*END
*D_NET *437 0.00187667
*CONN
*I *6528:C1 I *D sky130_fd_sc_hd__o221ai_1
*I *6527:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6528:C1 0.000741364
2 *6527:Y 0.000741364
3 *6528:C1 *6525:A 3.14978e-05
4 *6528:C1 *6525:B 2.85274e-05
5 *6528:C1 *6527:A 0.000116
6 *6528:C1 *1261:20 0.000148144
7 *6528:C1 *1262:16 0
8 *6528:C1 *1265:35 1.29348e-05
9 *6500:A *6528:C1 3.20069e-06
10 *6528:B1 *6528:C1 5.36397e-05
*RES
1 *6527:Y *6528:C1 41.9707
*END
*D_NET *438 0.00206639
*CONN
*I *6529:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6528:Y O *D sky130_fd_sc_hd__o221ai_1
*CAP
1 *6529:C1 0.000424225
2 *6528:Y 0.000424225
3 *6529:C1 *6526:A1 8.96122e-05
4 *6529:C1 *6526:B2 7.25122e-05
5 *6529:C1 *6528:B2 0.000120584
6 *6529:C1 *6529:A2 0.00013829
7 *6529:C1 *6529:B1 3.68201e-05
8 *6505:B1 *6529:C1 0.00056613
9 *6526:A2 *6529:C1 0.000169611
10 *6526:B1 *6529:C1 9.67539e-06
11 *6529:B2 *6529:C1 1.47046e-05
*RES
1 *6528:Y *6529:C1 42.1397
*END
*D_NET *439 0.000733206
*CONN
*I *6530:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6529:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6530:A2 0.000170577
2 *6529:X 0.000170577
3 *6530:A2 *6529:A2 9.63356e-05
4 *6530:A2 *440:8 4.21313e-05
5 *6530:A2 *1082:8 0
6 *6528:A1 *6530:A2 2.41483e-05
7 *6530:A1 *6530:A2 7.36959e-05
8 *6530:B1 *6530:A2 3.75603e-05
9 *414:29 *6530:A2 0.00011818
*RES
1 *6529:X *6530:A2 33.3365
*END
*D_NET *440 0.00458313
*CONN
*I *6531:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6535:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6530:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6531:A 0
2 *6535:A2 0.000881085
3 *6530:X 0.000534073
4 *440:8 0.00141516
5 *6535:A2 *6539:A 0
6 *440:8 *6526:A1 1.90218e-05
7 *440:8 *6529:A2 9.06596e-05
8 *6504:C *6535:A2 0.000285085
9 *6504:D *6535:A2 5.11183e-05
10 *6505:B1 *6535:A2 0
11 *6505:B1 *440:8 0
12 *6528:A1 *440:8 0.000113832
13 *6530:A2 *440:8 4.21313e-05
14 *6535:A1 *6535:A2 0.000485738
15 *403:31 *6535:A2 1.119e-05
16 *417:8 *6535:A2 7.81379e-05
17 *417:8 *440:8 0.000221185
18 *417:13 *6535:A2 0.000163982
19 *418:37 *440:8 0.000125679
20 *419:7 *6535:A2 6.50586e-05
*RES
1 *6530:X *440:8 27.9344
2 *440:8 *6535:A2 32.9117
3 *440:8 *6531:A 13.7491
*END
*D_NET *441 0.00694662
*CONN
*I *6540:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6549:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6546:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6544:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6533:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6531:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6540:B1 0
2 *6549:A2 0
3 *6546:A1 0.000197953
4 *6544:A1 0.000115281
5 *6533:A2 0.000485736
6 *6531:X 0.000114114
7 *441:18 0.000758762
8 *441:16 0.000504453
9 *441:13 0.000416959
10 *441:7 0.000382318
11 *6533:A2 *6533:A1 0.000414819
12 *6533:A2 *6548:A 0.00011818
13 *6533:A2 *593:31 0.000146507
14 *6533:A2 *1138:9 0.000469391
15 *6544:A1 *7099:A 0.000110701
16 *6546:A1 *6551:A2 1.92172e-05
17 *441:7 *6540:A1 4.56667e-05
18 *441:7 *902:53 3.64685e-05
19 *441:13 *6540:B2 5.8261e-05
20 *441:13 *6549:A1 6.50727e-05
21 *441:13 *6549:B1 0.000167076
22 *441:13 *589:15 7.6719e-06
23 *441:16 *593:31 0.000144739
24 *441:18 *593:31 9.88602e-05
25 *6541:A *441:7 0.00047118
26 *6546:B1 *6546:A1 6.92705e-05
27 *6551:A1 *6546:A1 0.000266846
28 *6551:A1 *441:13 5.41377e-05
29 *6812:D *6533:A2 3.14978e-05
30 *6813:D *441:16 9.60216e-05
31 *371:8 *6533:A2 0.000146507
32 *371:8 *441:13 9.64083e-05
33 *371:8 *441:16 0.000103821
34 *371:8 *441:18 9.36391e-05
35 *403:22 *441:7 1.27202e-05
36 *403:22 *441:13 3.20069e-06
37 *403:31 *441:7 0.000111722
38 *409:11 *6546:A1 4.82966e-05
39 *409:25 *6546:A1 7.68538e-06
40 *418:24 *441:13 0.000184399
41 *419:14 *441:13 0.000271058
*RES
1 *6531:X *441:7 14.9881
2 *441:7 *441:13 19.1721
3 *441:13 *441:16 10.4845
4 *441:16 *441:18 4.73876
5 *441:18 *6533:A2 33.2371
6 *441:18 *6544:A1 16.691
7 *441:16 *6546:A1 19.464
8 *441:13 *6549:A2 9.24915
9 *441:7 *6540:B1 9.24915
*END
*D_NET *442 0.00154594
*CONN
*I *6533:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6532:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6533:B1 0.000444811
2 *6532:Y 0.000444811
3 *6533:B1 *6533:A1 0
4 *6533:B1 *6813:CLK 0
5 *6533:B1 *938:45 0
6 *6533:B1 *1095:90 0
7 *6533:B1 *1264:17 0
8 *6533:C1 *6533:B1 5.22654e-06
9 *354:52 *6533:B1 0.000532846
10 *409:25 *6533:B1 0.000118245
*RES
1 *6532:Y *6533:B1 39.7466
*END
*D_NET *443 0.00491441
*CONN
*I *6541:B I *D sky130_fd_sc_hd__nand2_1
*I *6538:B I *D sky130_fd_sc_hd__nor2_1
*I *6535:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6534:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6541:B 0.000404496
2 *6538:B 0
3 *6535:A3 0.000206848
4 *6534:Y 0
5 *443:20 0.000605781
6 *443:4 0.000408133
7 *6535:A3 *6534:A 0.000217937
8 *6535:A3 *589:15 0.000683015
9 *6535:A3 *1265:8 1.19721e-05
10 *6535:A3 *1267:27 2.41483e-05
11 *6541:B *6539:A 0.000115632
12 *6541:B *6540:B2 2.15348e-05
13 *6541:B *597:33 0.000205332
14 *443:20 *446:8 3.42931e-05
15 *443:20 *589:15 0.000676571
16 *443:20 *593:31 5.8261e-05
17 *443:20 *1267:27 0.00039502
18 *6487:A2 *6535:A3 0.00020401
19 *6504:C *6541:B 1.37913e-05
20 *6504:D *6541:B 1.61631e-05
21 *6810:D *6535:A3 0
22 *400:31 *6535:A3 3.73029e-05
23 *414:16 *6541:B 5.80222e-05
24 *417:13 *443:20 8.62625e-06
25 *418:24 *6541:B 0.000507516
26 *419:14 *443:20 0
*RES
1 *6534:Y *443:4 9.24915
2 *443:4 *6535:A3 28.2903
3 *443:4 *443:20 19.0411
4 *443:20 *6538:B 9.24915
5 *443:20 *6541:B 32.4725
*END
*D_NET *444 0.000337868
*CONN
*I *6536:B I *D sky130_fd_sc_hd__and2_1
*I *6535:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6536:B 0.000146555
2 *6535:X 0.000146555
3 *6810:D *6536:B 4.47578e-05
*RES
1 *6535:X *6536:B 30.8842
*END
*D_NET *445 0.000355394
*CONN
*I *6537:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6536:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6537:A 0.000144478
2 *6536:X 0.000144478
3 *6537:A *902:53 6.64392e-05
4 *6810:D *6537:A 0
*RES
1 *6536:X *6537:A 30.4689
*END
*D_NET *446 0.00533975
*CONN
*I *6539:A I *D sky130_fd_sc_hd__inv_2
*I *6549:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6545:B I *D sky130_fd_sc_hd__nand2_1
*I *6544:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6538:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6539:A 0.000164747
2 *6549:A3 3.80574e-05
3 *6545:B 0.000664712
4 *6544:A2 2.70417e-05
5 *6538:Y 0
6 *446:10 0.0010252
7 *446:8 0.00053406
8 *446:4 0.000327298
9 *6544:A2 *456:11 5.41377e-05
10 *6544:A2 *1267:10 1.07248e-05
11 *6544:A2 *1267:19 9.98029e-06
12 *6545:B *6545:A 0.000183171
13 *6545:B *6547:A 2.42138e-05
14 *6545:B *6547:B 0.000370815
15 *6545:B *7099:A 0.000859863
16 *6549:A3 *6549:A1 9.75243e-05
17 *6549:A3 *1267:27 0.000167076
18 *446:8 *1267:19 6.08697e-06
19 *446:10 *6551:B1 0.00014076
20 *446:10 *6551:C1 5.05252e-05
21 *446:10 *456:11 9.03933e-05
22 *446:10 *938:45 0
23 *446:10 *1267:19 3.57612e-05
24 *6504:D *6539:A 7.6719e-06
25 *6535:A2 *6539:A 0
26 *6541:B *6539:A 0.000115632
27 *417:13 *6539:A 1.61631e-05
28 *417:13 *446:8 1.92336e-05
29 *419:14 *6549:A3 0.0002646
30 *419:14 *446:8 0
31 *443:20 *446:8 3.42931e-05
*RES
1 *6538:Y *446:4 9.24915
2 *446:4 *446:8 7.8859
3 *446:8 *446:10 9.30653
4 *446:10 *6544:A2 14.7506
5 *446:10 *6545:B 31.9305
6 *446:8 *6549:A3 16.691
7 *446:4 *6539:A 13.903
*END
*D_NET *447 0.00087478
*CONN
*I *6540:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6539:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6540:B2 0.00029063
2 *6539:Y 0.00029063
3 *6541:B *6540:B2 2.15348e-05
4 *414:16 *6540:B2 0
5 *418:24 *6540:B2 0.000213725
6 *441:13 *6540:B2 5.8261e-05
*RES
1 *6539:Y *6540:B2 33.1026
*END
*D_NET *448 0.00064618
*CONN
*I *6542:B I *D sky130_fd_sc_hd__and3_1
*I *6540:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6542:B 0.000119217
2 *6540:X 0.000119217
3 *6542:B *6540:A1 0.000143032
4 *6542:B *902:46 1.03403e-05
5 *6542:B *1266:6 5.92342e-05
6 *371:8 *6542:B 0.000195139
*RES
1 *6540:X *6542:B 31.7147
*END
*D_NET *449 0.00113246
*CONN
*I *6542:C I *D sky130_fd_sc_hd__and3_1
*I *6541:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6542:C 0.000357261
2 *6541:Y 0.000357261
3 *6542:C *6542:A 0.000104997
4 *6542:C *7082:A 6.08467e-05
5 *6542:C *597:33 1.79672e-05
6 *6542:C *902:46 0.000159059
7 *414:16 *6542:C 7.50722e-05
*RES
1 *6541:Y *6542:C 34.4731
*END
*D_NET *450 0.000954815
*CONN
*I *6543:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6542:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6543:A 0.00029321
2 *6542:X 0.00029321
3 *6543:A *6447:A 0
4 *6543:A *7087:A 5.99658e-05
5 *6543:A *591:49 0.000127179
6 *6543:A *830:29 0.000103943
7 *6543:A *1078:6 7.73065e-05
*RES
1 *6542:X *6543:A 35.4548
*END
*D_NET *451 0.00082993
*CONN
*I *6547:B I *D sky130_fd_sc_hd__and3_1
*I *6544:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6547:B 0.000229558
2 *6544:X 0.000229558
3 *6545:B *6547:B 0.000370815
*RES
1 *6544:X *6547:B 22.5493
*END
*D_NET *452 0.00123229
*CONN
*I *6546:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6545:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6546:A2 0.00024703
2 *6545:Y 0.00024703
3 *6546:A2 *7081:A 6.92705e-05
4 *6546:A2 *597:33 0
5 *6546:A2 *1077:6 0
6 *409:11 *6546:A2 0.000525925
7 *419:14 *6546:A2 0.000143032
*RES
1 *6545:Y *6546:A2 35.8756
*END
*D_NET *453 0.00153958
*CONN
*I *6547:C I *D sky130_fd_sc_hd__and3_1
*I *6551:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6546:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6547:C 0.000222122
2 *6551:A2 9.32732e-05
3 *6546:X 0
4 *453:4 0.000315395
5 *6547:C *6545:A 3.31882e-05
6 *6547:C *7099:A 8.83451e-06
7 *6551:A2 *6551:C1 1.03434e-05
8 *6546:A1 *6551:A2 1.92172e-05
9 *6551:A1 *6551:A2 0.000370829
10 *371:8 *6547:C 0
11 *409:25 *6551:A2 0.000466373
12 *414:16 *6547:C 0
*RES
1 *6546:X *453:4 9.24915
2 *453:4 *6551:A2 14.9881
3 *453:4 *6547:C 22.4528
*END
*D_NET *454 0.00115131
*CONN
*I *6548:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6547:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6548:A 0.000270504
2 *6547:X 0.000270504
3 *6548:A *6545:A 1.79807e-05
4 *6548:A *1138:9 0.000324166
5 *6533:A2 *6548:A 0.00011818
6 *371:8 *6548:A 0.00014998
7 *400:15 *6548:A 0
8 *414:16 *6548:A 0
*RES
1 *6547:X *6548:A 36.9792
*END
*D_NET *455 0.00083951
*CONN
*I *6551:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6549:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6551:B1 0.000161364
2 *6549:X 0.000161364
3 *6551:B1 *1267:19 0.000315176
4 *419:14 *6551:B1 6.08467e-05
5 *446:10 *6551:B1 0.00014076
*RES
1 *6549:X *6551:B1 33.791
*END
*D_NET *456 0.0132438
*CONN
*I *6603:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6615:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6628:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6586:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6551:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6550:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6603:C1 2.74245e-05
2 *6615:C1 8.9264e-05
3 *6628:C1 9.05677e-05
4 *6586:C1 6.73699e-05
5 *6551:C1 7.37834e-05
6 *6550:X 0.000575522
7 *456:54 0.00157179
8 *456:44 0.00177363
9 *456:27 0.0018634
10 *456:11 0.00209109
11 *6551:C1 *1267:19 1.87469e-05
12 *6586:C1 *6586:A1 0.000264586
13 *6586:C1 *6586:B1 0.000311235
14 *6603:C1 *6597:B1 8.62625e-06
15 *6603:C1 *6598:B 5.41377e-05
16 *6603:C1 *6600:B 0
17 *6603:C1 *6603:A2 0
18 *6615:C1 *6615:B1 0.00013978
19 *6615:C1 *6619:C 3.93117e-06
20 *6615:C1 *636:37 0.000328363
21 *6628:C1 *6619:C 0
22 *6628:C1 *6628:A2 3.67528e-06
23 *6628:C1 *829:24 9.2484e-05
24 *6628:C1 *1238:16 4.00504e-05
25 *456:11 *6550:A 0.000122378
26 *456:11 *593:31 0
27 *456:11 *938:45 0
28 *456:11 *1095:93 9.77476e-05
29 *456:11 *1267:10 4.87198e-05
30 *456:27 *6586:A1 0.000189575
31 *456:44 *6586:B1 0.000131377
32 *456:44 *6598:B 9.24241e-05
33 *456:44 *6599:A2 9.62733e-05
34 *456:44 *6601:A 6.50586e-05
35 *456:44 *6603:A2 0.000168545
36 *456:44 *484:13 0.000205985
37 *456:44 *484:15 6.63616e-05
38 *456:54 *6237:A 0
39 *456:54 *6242:A 4.90965e-05
40 *456:54 *6597:B1 2.77625e-06
41 *456:54 *6603:A1 0.000171288
42 *456:54 *6603:A2 0.000171572
43 *456:54 *6619:B 3.34802e-05
44 *456:54 *6619:C 0
45 *456:54 *6823:CLK 0
46 *456:54 *830:9 0
47 *456:54 *1238:8 0.000337654
48 *456:54 *1238:16 1.04747e-05
49 *6544:A2 *456:11 5.41377e-05
50 *6551:A2 *6551:C1 1.03434e-05
51 *6599:B1 *456:44 0.000167076
52 *6812:D *456:11 7.15593e-05
53 *367:17 *456:11 4.82966e-05
54 *409:11 *456:27 0.00040857
55 *409:25 *6551:C1 6.80959e-05
56 *409:25 *456:11 0.000107496
57 *409:25 *456:27 0.000617019
58 *446:10 *6551:C1 5.05252e-05
59 *446:10 *456:11 9.03933e-05
*RES
1 *6550:X *456:11 33.7124
2 *456:11 *6551:C1 20.5527
3 *456:11 *456:27 24.4508
4 *456:27 *6586:C1 13.3243
5 *456:27 *456:44 17.0865
6 *456:44 *456:54 42.5789
7 *456:54 *6628:C1 16.6193
8 *456:54 *6615:C1 18.357
9 *456:44 *6603:C1 14.7506
*END
*D_NET *457 0.00242204
*CONN
*I *6553:D I *D sky130_fd_sc_hd__or4_2
*I *6588:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6552:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6553:D 0.000175772
2 *6588:A1 3.30236e-05
3 *6552:X 0.000326295
4 *457:7 0.000535091
5 *6553:D *6588:B2 5.93521e-05
6 *6553:D *6674:B1 0.000366603
7 *6553:D *555:6 0.000280504
8 *6553:D *830:54 0.000366603
9 *6553:D *1275:27 1.72464e-05
10 *6588:A1 *6588:B2 2.25948e-05
11 *6588:A1 *555:6 8.01837e-05
12 *457:7 *6588:A3 4.31539e-05
13 *457:7 *6589:B 0.000115615
*RES
1 *6552:X *457:7 18.9094
2 *457:7 *6588:A1 15.1659
3 *457:7 *6553:D 22.9542
*END
*D_NET *458 0.00243418
*CONN
*I *6674:A3 I *D sky130_fd_sc_hd__o31ai_4
*I *6555:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6553:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *6674:A3 3.62333e-05
2 *6555:A1 0.00032544
3 *6553:X 0.000316504
4 *458:5 0.000678177
5 *6555:A1 *6555:A2 1.07248e-05
6 *6555:A1 *6583:A1 0
7 *6555:A1 *461:6 1.87269e-05
8 *6555:A1 *830:54 9.18559e-06
9 *6555:A1 *1275:27 0
10 *6674:A3 *830:29 0
11 *458:5 *6553:B 0.00011818
12 *458:5 *6674:B1 0.00021369
13 *458:5 *830:54 1.99182e-05
14 *458:5 *1276:11 0.000164829
15 *6530:C1 *6555:A1 0.000123597
16 *367:41 *6555:A1 0.000339753
17 *367:48 *6555:A1 5.92192e-05
*RES
1 *6553:X *458:5 18.2916
2 *458:5 *6555:A1 28.6943
3 *458:5 *6674:A3 10.2378
*END
*D_NET *459 0.00155135
*CONN
*I *6555:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6554:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *6555:A2 0.000557778
2 *6554:Y 0.000557778
3 *6555:A2 *6554:C 4.30017e-06
4 *6555:A2 *6583:A1 0
5 *6555:A2 *461:6 0.000313707
6 *6555:A2 *596:22 0.000107064
7 *6555:A1 *6555:A2 1.07248e-05
*RES
1 *6554:Y *6555:A2 39.337
*END
*D_NET *460 0.00050622
*CONN
*I *6556:B I *D sky130_fd_sc_hd__nand2_1
*I *6555:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6556:B 8.76995e-05
2 *6555:Y 8.76995e-05
3 *6556:B *461:6 7.50722e-05
4 *6556:B *1116:32 0.000156823
5 *6530:C1 *6556:B 6.83132e-05
6 *367:41 *6556:B 5.22654e-06
7 *367:48 *6556:B 2.5386e-05
*RES
1 *6555:Y *6556:B 30.8842
*END
*D_NET *461 0.00296143
*CONN
*I *6563:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6557:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6556:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6563:B1 0.000200424
2 *6557:A 8.82393e-05
3 *6556:Y 0.000184919
4 *461:6 0.000473583
5 *6557:A *462:7 0.000167076
6 *6557:A *596:22 0.000366603
7 *6563:B1 *6563:A1 0.000217937
8 *6563:B1 *6575:A 0.000163912
9 *6563:B1 *1116:17 6.08467e-05
10 *6563:B1 *1116:28 0.000109859
11 *461:6 *1116:28 0.000410711
12 *461:6 *1116:32 1.37925e-05
13 *6530:C1 *461:6 9.60216e-05
14 *6555:A1 *461:6 1.87269e-05
15 *6555:A2 *461:6 0.000313707
16 *6556:B *461:6 7.50722e-05
*RES
1 *6556:Y *461:6 23.0557
2 *461:6 *6557:A 17.8002
3 *461:6 *6563:B1 21.0414
*END
*D_NET *462 0.00617582
*CONN
*I *6569:B I *D sky130_fd_sc_hd__or3_1
*I *6561:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6566:C I *D sky130_fd_sc_hd__or4_1
*I *6560:A2 I *D sky130_fd_sc_hd__o211ai_1
*I *6559:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6557:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6569:B 0.000319608
2 *6561:A2 0.000189897
3 *6566:C 0.000169564
4 *6560:A2 0.000105149
5 *6559:A2 5.29655e-05
6 *6557:X 0.000187572
7 *462:35 0.000762862
8 *462:18 0.000346066
9 *462:15 0.000324708
10 *462:7 0.000240538
11 *6559:A2 *596:22 3.99086e-06
12 *6560:A2 *6560:B1 0.000623435
13 *6560:A2 *6560:C1 0.000716734
14 *6560:A2 *6567:A1 7.34948e-06
15 *6561:A2 *1271:5 0.000330596
16 *6566:C *6560:C1 0.000113968
17 *6566:C *6566:B 0.000167076
18 *6566:C *6567:A1 0.000211304
19 *6569:B *1271:5 0.000517234
20 *462:7 *6559:A1 0.000271058
21 *462:7 *596:22 1.41689e-05
22 *462:18 *6567:A2 9.24241e-05
23 *462:18 *947:76 1.09738e-05
24 *462:35 *6567:A2 0.000188012
25 *462:35 *6568:B 5.66868e-06
26 *462:35 *6575:A 3.58185e-05
27 *462:35 *904:55 0
28 *462:35 *1269:12 0
29 *6557:A *462:7 0.000167076
*RES
1 *6557:X *462:7 15.398
2 *462:7 *6559:A2 9.97254
3 *462:7 *462:15 4.5
4 *462:15 *462:18 6.332
5 *462:18 *6560:A2 17.1824
6 *462:18 *6566:C 15.5427
7 *462:15 *462:35 11.315
8 *462:35 *6561:A2 12.7456
9 *462:35 *6569:B 14.964
*END
*D_NET *463 0.00280154
*CONN
*I *6559:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6566:B I *D sky130_fd_sc_hd__or4_1
*I *6674:A1 I *D sky130_fd_sc_hd__o31ai_4
*I *6558:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6559:A1 0.000142961
2 *6566:B 0.000104373
3 *6674:A1 0.000154141
4 *6558:Y 0
5 *463:13 0.000532571
6 *463:5 0.000439377
7 *6559:A1 *6566:D 3.68867e-05
8 *6559:A1 *596:22 0.000271058
9 *6559:A1 *904:55 5.79399e-05
10 *6674:A1 *904:55 0.000148547
11 *6674:A1 *1274:32 0.000226281
12 *463:13 *6566:D 2.69064e-05
13 *463:13 *904:55 0.000222361
14 *463:13 *1116:28 0
15 *463:13 *1116:32 0
16 *6566:C *6566:B 0.000167076
17 *367:41 *6674:A1 0
18 *367:41 *463:13 0
19 *462:7 *6559:A1 0.000271058
*RES
1 *6558:Y *463:5 13.7491
2 *463:5 *6674:A1 19.7337
3 *463:5 *463:13 8.89128
4 *463:13 *6566:B 15.5817
5 *463:13 *6559:A1 20.0863
*END
*D_NET *464 0.00173591
*CONN
*I *6560:B1 I *D sky130_fd_sc_hd__o211ai_1
*I *6559:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6560:B1 0.000176591
2 *6559:Y 0.000176591
3 *6560:B1 *6560:A1 0.00047703
4 *6560:B1 *6560:C1 4.82966e-05
5 *6560:B1 *6567:A2 0.000116986
6 *6560:B1 *6568:B 0.000116986
7 *6560:A2 *6560:B1 0.000623435
*RES
1 *6559:Y *6560:B1 37.6788
*END
*D_NET *465 0.000435123
*CONN
*I *6564:B I *D sky130_fd_sc_hd__nand3_1
*I *6561:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6564:B 0.000133286
2 *6561:Y 0.000133286
3 *6564:B *904:60 0.000122378
4 *6564:B *1083:26 4.61732e-05
5 *6564:B *1270:8 0
*RES
1 *6561:Y *6564:B 30.6083
*END
*D_NET *466 0.00150134
*CONN
*I *6569:C I *D sky130_fd_sc_hd__or3_1
*I *6563:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6566:D I *D sky130_fd_sc_hd__or4_1
*I *6562:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6569:C 9.71122e-06
2 *6563:A2 0
3 *6566:D 0.000272651
4 *6562:X 4.29291e-05
5 *466:8 0.000446041
6 *466:5 0.000226031
7 *6566:D *467:20 0
8 *6566:D *904:55 0
9 *6566:D *1116:28 8.82034e-05
10 *6569:C *6571:A2 6.50727e-05
11 *6569:C *1271:5 2.15348e-05
12 *466:5 *6571:A2 0.000171273
13 *466:5 *1271:5 9.40969e-05
14 *466:8 *467:20 0
15 *6559:A1 *6566:D 3.68867e-05
16 *463:13 *6566:D 2.69064e-05
*RES
1 *6562:X *466:5 11.6364
2 *466:5 *466:8 8.40826
3 *466:8 *6566:D 20.9794
4 *466:8 *6563:A2 13.7491
5 *466:5 *6569:C 9.97254
*END
*D_NET *467 0.00275732
*CONN
*I *6571:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6564:C I *D sky130_fd_sc_hd__nand3_1
*I *6567:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *6563:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6571:A1 0
2 *6564:C 0.000218502
3 *6567:A2 0.00033746
4 *6563:X 0
5 *467:20 0.000469171
6 *467:4 0.000588129
7 *6564:C *6571:B1 6.08467e-05
8 *6567:A2 *6568:B 8.19079e-05
9 *6567:A2 *6575:A 1.43848e-05
10 *6567:A2 *947:76 7.56859e-06
11 *467:20 *6571:A2 0.000148129
12 *467:20 *6571:B1 0.000123597
13 *467:20 *6575:A 1.03403e-05
14 *467:20 *904:55 0.000290644
15 *467:20 *1270:8 9.22013e-06
16 *6560:B1 *6567:A2 0.000116986
17 *6566:D *467:20 0
18 *462:18 *6567:A2 9.24241e-05
19 *462:35 *6567:A2 0.000188012
20 *466:8 *467:20 0
*RES
1 *6563:X *467:4 9.24915
2 *467:4 *6567:A2 26.7602
3 *467:4 *467:20 17.3689
4 *467:20 *6564:C 14.4094
5 *467:20 *6571:A1 9.24915
*END
*D_NET *468 0.00251652
*CONN
*I *6577:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6566:A I *D sky130_fd_sc_hd__or4_1
*I *6567:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *6565:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6577:A2 0
2 *6566:A 0
3 *6567:A1 0.000171558
4 *6565:Y 0.000351672
5 *468:15 0.000505899
6 *468:13 0.000686013
7 *6567:A1 *6567:B1_N 0.000183145
8 *468:13 *6554:A 2.65667e-05
9 *468:13 *6554:C 1.00981e-05
10 *468:13 *6576:B 0.000132646
11 *468:13 *6577:B1 3.75603e-05
12 *468:13 *6582:A2 5.04734e-05
13 *468:13 *1273:18 0.000103022
14 *468:15 *6567:B1_N 3.31745e-05
15 *468:15 *6576:B 6.03708e-06
16 *6560:A2 *6567:A1 7.34948e-06
17 *6566:C *6567:A1 0.000211304
*RES
1 *6565:Y *468:13 25.8797
2 *468:13 *468:15 7.9031
3 *468:15 *6567:A1 16.0732
4 *468:15 *6566:A 9.24915
5 *468:13 *6577:A2 9.24915
*END
*D_NET *469 0.000916822
*CONN
*I *6567:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *6566:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6567:B1_N 0.000350251
2 *6566:X 0.000350251
3 *6567:A1 *6567:B1_N 0.000183145
4 *468:15 *6567:B1_N 3.31745e-05
*RES
1 *6566:X *6567:B1_N 24.2131
*END
*D_NET *470 0.0024038
*CONN
*I *6568:B I *D sky130_fd_sc_hd__nand2_1
*I *6567:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *6568:B 0.000915814
2 *6567:X 0.000915814
3 *6568:B *6447:A 0.000111708
4 *6568:B *6580:B 8.62625e-06
5 *6568:B *947:76 0
6 *6568:B *1269:12 0
7 *6568:B *1269:26 0.00018643
8 *6560:B1 *6568:B 0.000116986
9 *6567:A2 *6568:B 8.19079e-05
10 *371:7 *6568:B 6.08467e-05
11 *462:35 *6568:B 5.66868e-06
*RES
1 *6567:X *6568:B 49.6199
*END
*D_NET *471 0.000915232
*CONN
*I *6572:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *6569:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6572:A2 0.000268771
2 *6569:X 0.000268771
3 *6572:A2 *6571:A2 0.000334808
4 *6572:A2 *1083:47 1.07248e-05
5 *6572:A2 *1116:8 3.21568e-05
*RES
1 *6569:X *6572:A2 33.242
*END
*D_NET *472 0.00147229
*CONN
*I *6571:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6570:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6571:A2 0.000296643
2 *6570:X 0.000296643
3 *6571:A2 *1271:5 1.41291e-05
4 *6571:A2 *1271:13 0.000145592
5 *6569:C *6571:A2 6.50727e-05
6 *6572:A2 *6571:A2 0.000334808
7 *466:5 *6571:A2 0.000171273
8 *467:20 *6571:A2 0.000148129
*RES
1 *6570:X *6571:A2 38.6486
*END
*D_NET *473 0.0015079
*CONN
*I *6572:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *6573:B I *D sky130_fd_sc_hd__and2_1
*I *6571:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6572:B1_N 0
2 *6573:B 0.000601851
3 *6571:X 0.000124277
4 *473:5 0.000726128
5 *6573:B *6573:A 5.56461e-05
6 *6573:B *1083:47 0
7 *6573:B *1116:8 0
8 *6817:D *6573:B 0
*RES
1 *6571:X *473:5 12.191
2 *473:5 *6573:B 32.191
3 *473:5 *6572:B1_N 9.24915
*END
*D_NET *474 0.00130279
*CONN
*I *6574:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6573:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6574:A 0.000365065
2 *6573:X 0.000365065
3 *6574:A *6573:A 4.26431e-05
4 *6574:A *588:33 0.00048732
5 *6574:A *1116:8 0
6 *6574:A *1272:8 0
7 *6574:A *1272:10 0
8 *6574:A *1273:6 0
9 *6818:D *6574:A 4.27003e-05
*RES
1 *6573:X *6574:A 38.2222
*END
*D_NET *475 0.00404557
*CONN
*I *6581:B1 I *D sky130_fd_sc_hd__o221ai_1
*I *6577:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6575:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6581:B1 0.00052974
2 *6577:B1 0.000239952
3 *6575:Y 0.000545883
4 *475:5 0.00131557
5 *6577:B1 *6576:B 0.000211478
6 *6577:B1 *6577:B2 4.85268e-06
7 *6577:B1 *6577:C1 6.92705e-05
8 *6577:B1 *6581:B2 1.01843e-05
9 *6577:B1 *6582:B1 7.52542e-05
10 *6577:B1 *1271:22 4.70005e-05
11 *6577:B1 *1275:38 1.81817e-05
12 *6581:B1 *6576:A 0
13 *6581:B1 *6581:A2 0.000372379
14 *6581:B1 *6581:B2 9.75356e-05
15 *6581:B1 *1273:6 0.00013592
16 *475:5 *1116:17 0.000334808
17 *468:13 *6577:B1 3.75603e-05
*RES
1 *6575:Y *475:5 17.737
2 *475:5 *6577:B1 26.7843
3 *475:5 *6581:B1 32.3007
*END
*D_NET *476 0.000388661
*CONN
*I *6577:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6576:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6577:C1 4.58447e-05
2 *6576:X 4.58447e-05
3 *6577:C1 *6576:B 9.961e-05
4 *6577:C1 *6577:B2 0
5 *6577:C1 *6581:B2 0.000128091
6 *6577:B1 *6577:C1 6.92705e-05
*RES
1 *6576:X *6577:C1 22.0188
*END
*D_NET *477 0.00148429
*CONN
*I *6583:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6577:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6583:A1 0.00049366
2 *6577:X 0.00049366
3 *6583:A1 *6577:A1 0.000208884
4 *6583:A1 *6579:A 7.7434e-05
5 *6583:A1 *6582:B2 1.93378e-05
6 *6583:A1 *6583:A2 4.64531e-05
7 *6583:A1 *6583:B1 1.52042e-05
8 *6583:A1 *483:8 6.62417e-05
9 *6583:A1 *1275:38 7.77309e-06
10 *6583:A1 *1276:16 5.56367e-05
11 *6555:A1 *6583:A1 0
12 *6555:A2 *6583:A1 0
*RES
1 *6577:X *6583:A1 48.8027
*END
*D_NET *478 0.00426709
*CONN
*I *6581:A1 I *D sky130_fd_sc_hd__o221ai_1
*I *6582:A1 I *D sky130_fd_sc_hd__a221o_1
*I *6603:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6578:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6581:A1 0.000294092
2 *6582:A1 0.000273566
3 *6603:A1 0.000293568
4 *6578:Y 0
5 *478:17 0.000984918
6 *478:5 0.000710828
7 *6581:A1 *6581:A2 5.07314e-05
8 *6581:A1 *6581:B2 3.66052e-05
9 *6581:A1 *6582:C1 9.14669e-05
10 *6582:A1 *6581:C1 3.30273e-05
11 *6582:A1 *6582:B2 2.49891e-06
12 *6582:A1 *6582:C1 5.0715e-05
13 *6603:A1 *6553:A 8.37812e-05
14 *6603:A1 *6597:B1 5.04829e-06
15 *6603:A1 *1277:19 1.50262e-05
16 *6603:A1 *1277:34 4.10961e-05
17 *6603:A1 *1278:9 5.93657e-05
18 *6603:A1 *1278:18 0.000190057
19 *478:17 *6553:A 0.000294273
20 *478:17 *6576:A 0.00045258
21 *478:17 *6582:B2 5.47516e-05
22 *478:17 *6592:A 0
23 *478:17 *6593:B2 6.02424e-05
24 *478:17 *1276:16 0
25 *478:17 *1277:43 1.75625e-05
26 *6593:A2 *6603:A1 0
27 *6593:A2 *478:17 0
28 *456:54 *6603:A1 0.000171288
*RES
1 *6578:Y *478:5 13.7491
2 *478:5 *6603:A1 23.6425
3 *478:5 *478:17 19.2048
4 *478:17 *6582:A1 14.1441
5 *478:17 *6581:A1 16.1455
*END
*D_NET *479 0.00428696
*CONN
*I *6594:A I *D sky130_fd_sc_hd__nand2_1
*I *6591:A I *D sky130_fd_sc_hd__nor2_1
*I *6582:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6579:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6594:A 0
2 *6591:A 0.000553346
3 *6582:B2 0.000306633
4 *6579:Y 0
5 *479:24 0.00140168
6 *479:4 0.00115497
7 *6582:B2 *6576:A 0
8 *6582:B2 *6579:A 1.92926e-05
9 *6582:B2 *6581:C1 1.47046e-05
10 *6582:B2 *6582:B1 3.67528e-06
11 *6582:B2 *1275:38 0
12 *6582:B2 *1276:16 0
13 *6591:A *6237:A 0
14 *6591:A *6588:A3 1.03434e-05
15 *6591:A *6596:A 0.000153654
16 *6591:A *489:7 5.04829e-06
17 *479:24 *6593:B2 0
18 *479:24 *6595:B 0
19 *479:24 *6595:C 0.000101133
20 *479:24 *6596:A 0.00011018
21 *479:24 *830:67 9.44906e-05
22 *6582:A1 *6582:B2 2.49891e-06
23 *6583:A1 *6582:B2 1.93378e-05
24 *6593:A2 *6591:A 0.00028122
25 *6821:D *6591:A 0
26 *6821:D *479:24 0
27 *478:17 *6582:B2 5.47516e-05
*RES
1 *6579:Y *479:4 9.24915
2 *479:4 *6582:B2 24.9953
3 *479:4 *479:24 21.6737
4 *479:24 *6591:A 29.0817
5 *479:24 *6594:A 13.7491
*END
*D_NET *480 0.00214169
*CONN
*I *6581:C1 I *D sky130_fd_sc_hd__o221ai_1
*I *6580:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6581:C1 0.000907478
2 *6580:Y 0.000907478
3 *6581:C1 *6555:B1 2.16355e-05
4 *6581:C1 *6581:B2 1.03434e-05
5 *6581:C1 *6582:A2 1.67329e-05
6 *6581:C1 *6582:C1 0.000120546
7 *6581:C1 *6583:A2 5.20546e-06
8 *6530:C1 *6581:C1 0.00010454
9 *6582:A1 *6581:C1 3.30273e-05
10 *6582:B2 *6581:C1 1.47046e-05
*RES
1 *6580:Y *6581:C1 39.2356
*END
*D_NET *481 0.00044062
*CONN
*I *6582:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6581:Y O *D sky130_fd_sc_hd__o221ai_1
*CAP
1 *6582:C1 8.52712e-05
2 *6581:Y 8.52712e-05
3 *6582:C1 *6581:B2 7.34948e-06
4 *6581:A1 *6582:C1 9.14669e-05
5 *6581:C1 *6582:C1 0.000120546
6 *6582:A1 *6582:C1 5.0715e-05
*RES
1 *6581:Y *6582:C1 22.5734
*END
*D_NET *482 0.000470302
*CONN
*I *6583:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6582:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6583:A2 0.000144906
2 *6582:X 0.000144906
3 *6583:A2 *6577:A1 9.60216e-05
4 *6583:A2 *6583:B1 5.32132e-06
5 *6583:A2 *483:8 5.81081e-06
6 *6583:A2 *1275:38 1.75838e-05
7 *6581:C1 *6583:A2 5.20546e-06
8 *6583:A1 *6583:A2 4.64531e-05
9 *6583:C1 *6583:A2 4.09426e-06
*RES
1 *6582:X *6583:A2 31.255
*END
*D_NET *483 0.0028133
*CONN
*I *6584:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6588:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6583:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6584:A 3.58004e-05
2 *6588:A2 0.000376237
3 *6583:X 0.000430652
4 *483:8 0.000842689
5 *6588:A2 *6588:A3 2.20702e-05
6 *6588:A2 *6588:B2 7.01913e-05
7 *6588:A2 *486:8 4.84944e-05
8 *6588:A2 *486:12 0.000177787
9 *6588:A2 *489:8 0
10 *6588:A2 *555:6 0
11 *483:8 *6588:B2 1.45944e-05
12 *483:8 *486:8 0.0003014
13 *483:8 *1275:27 8.06348e-05
14 *483:8 *1275:38 4.70005e-05
15 *483:8 *1276:16 2.04012e-05
16 *6583:A1 *483:8 6.62417e-05
17 *6583:A2 *483:8 5.81081e-06
18 *6593:A2 *6588:A2 0.000234771
19 *403:59 *6588:A2 3.8519e-05
*RES
1 *6583:X *483:8 25.0276
2 *483:8 *6588:A2 23.1176
3 *483:8 *6584:A 14.4725
*END
*D_NET *484 0.0064918
*CONN
*I *6593:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6602:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6599:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6597:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6586:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6584:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6593:B1 0.000118652
2 *6602:A2 0
3 *6599:A1 0
4 *6597:A1 3.88785e-05
5 *6586:A2 0.000582035
6 *6584:X 3.52353e-05
7 *484:15 0.000802607
8 *484:13 0.000551922
9 *484:8 0.000553229
10 *484:5 0.000336888
11 *6586:A2 *6586:A1 3.25348e-05
12 *6586:A2 *6586:B1 0.000851745
13 *6593:B1 *6594:B 0.000229827
14 *6593:B1 *6674:B1 0.000442397
15 *6597:A1 *6586:B1 3.00073e-05
16 *6597:A1 *555:6 7.50872e-05
17 *484:5 *6594:B 0.000188978
18 *484:5 *6674:B1 8.64186e-05
19 *484:8 *6592:A 5.96936e-05
20 *484:8 *489:8 9.57348e-05
21 *484:8 *489:10 9.22013e-06
22 *484:8 *1277:34 3.67708e-05
23 *484:8 *1277:43 0.000367329
24 *484:13 *6598:B 6.69101e-05
25 *484:13 *6599:A2 2.39581e-05
26 *484:13 *6603:B1 0.000148129
27 *484:13 *489:10 2.39535e-05
28 *484:13 *1277:19 0.000165481
29 *484:13 *1277:34 0.000144614
30 *484:15 *6586:B1 0.000102003
31 *6599:B1 *484:15 1.92172e-05
32 *456:44 *484:13 0.000205985
33 *456:44 *484:15 6.63616e-05
*RES
1 *6584:X *484:5 11.6364
2 *484:5 *484:8 11.7303
3 *484:8 *484:13 14.1203
4 *484:13 *484:15 2.94181
5 *484:15 *6586:A2 19.9855
6 *484:15 *6597:A1 19.6659
7 *484:13 *6599:A1 9.24915
8 *484:8 *6602:A2 13.7491
9 *484:5 *6593:B1 15.7356
*END
*D_NET *485 0.00257121
*CONN
*I *6586:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6585:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6586:B1 0.000289661
2 *6585:Y 0.000289661
3 *6586:B1 *6586:A1 3.63358e-05
4 *6586:B1 *6601:A 6.50586e-05
5 *6586:B1 *555:6 6.19019e-05
6 *6585:B *6586:B1 0.000284048
7 *6586:A2 *6586:B1 0.000851745
8 *6586:C1 *6586:B1 0.000311235
9 *6597:A1 *6586:B1 3.00073e-05
10 *6599:B1 *6586:B1 0.00011818
11 *456:44 *6586:B1 0.000131377
12 *484:15 *6586:B1 0.000102003
*RES
1 *6585:Y *6586:B1 43.3646
*END
*D_NET *486 0.00411165
*CONN
*I *6594:B I *D sky130_fd_sc_hd__nand2_1
*I *6591:B I *D sky130_fd_sc_hd__nor2_1
*I *6588:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6587:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6594:B 0.000516696
2 *6591:B 1.35913e-05
3 *6588:A3 0.000211547
4 *6587:Y 0.000342789
5 *486:12 0.000313893
6 *486:8 0.000948239
7 *6591:B *489:7 4.30017e-06
8 *6594:B *6674:B1 0.000226481
9 *486:8 *6592:A 3.63662e-05
10 *486:8 *6674:B1 0.000116971
11 *486:8 *591:53 7.97098e-06
12 *486:8 *1275:38 4.65396e-05
13 *486:8 *1276:16 5.04734e-05
14 *486:12 *6592:A 2.32311e-05
15 *486:12 *489:8 2.25948e-05
16 *6588:A2 *6588:A3 2.20702e-05
17 *6588:A2 *486:8 4.84944e-05
18 *6588:A2 *486:12 0.000177787
19 *6591:A *6588:A3 1.03434e-05
20 *6593:A2 *6588:A3 0.000124137
21 *6593:A2 *6591:B 6.92705e-05
22 *6593:B1 *6594:B 0.000229827
23 *403:59 *6588:A3 1.45089e-05
24 *457:7 *6588:A3 4.31539e-05
25 *483:8 *486:8 0.0003014
26 *484:5 *6594:B 0.000188978
*RES
1 *6587:Y *486:8 23.0907
2 *486:8 *486:12 7.57775
3 *486:12 *6588:A3 15.5668
4 *486:12 *6591:B 9.97254
5 *486:8 *6594:B 29.1093
*END
*D_NET *487 0.00108856
*CONN
*I *6589:B I *D sky130_fd_sc_hd__and2_1
*I *6588:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6589:B 0.000382837
2 *6588:X 0.000382837
3 *6589:B *902:31 0.000207266
4 *457:7 *6589:B 0.000115615
*RES
1 *6588:X *6589:B 28.1195
*END
*D_NET *488 0.000739255
*CONN
*I *6590:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6589:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6590:A 0.000225037
2 *6589:X 0.000225037
3 *6590:A *7082:A 0
4 *6590:A *830:29 6.50586e-05
5 *6590:A *902:46 0.000119392
6 *6820:D *6590:A 0.000104731
*RES
1 *6589:X *6590:A 33.791
*END
*D_NET *489 0.00363867
*CONN
*I *6592:A I *D sky130_fd_sc_hd__inv_2
*I *6602:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6597:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6598:B I *D sky130_fd_sc_hd__nand2_1
*I *6591:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6592:A 0.000296107
2 *6602:A3 2.07453e-05
3 *6597:A2 0.000237284
4 *6598:B 0.000114848
5 *6591:Y 8.23004e-05
6 *489:10 0.000456956
7 *489:8 0.000290491
8 *489:7 0.000543329
9 *6592:A *6674:B1 4.70005e-05
10 *6592:A *1276:16 0.000200236
11 *6592:A *1277:43 5.23713e-05
12 *6597:A2 *6597:B1 0.00042745
13 *6597:A2 *6603:A2 3.58044e-05
14 *6598:B *6597:B1 7.77309e-06
15 *6598:B *6599:A2 6.92705e-05
16 *6598:B *6603:A2 0
17 *6598:B *6603:B1 3.31733e-05
18 *6598:B *1277:12 1.77537e-06
19 *6598:B *1277:19 1.14755e-05
20 *6602:A3 *6603:B1 1.03403e-05
21 *6602:A3 *7075:A 6.50727e-05
22 *489:10 *6597:B1 7.77309e-06
23 *489:10 *6603:B1 0.000118485
24 *6585:B *489:10 0
25 *6588:A2 *489:8 0
26 *6591:A *489:7 5.04829e-06
27 *6591:B *489:7 4.30017e-06
28 *6593:A2 *489:7 1.49927e-05
29 *6603:C1 *6598:B 5.41377e-05
30 *403:59 *489:8 0
31 *456:44 *6598:B 9.24241e-05
32 *478:17 *6592:A 0
33 *484:8 *6592:A 5.96936e-05
34 *484:8 *489:8 9.57348e-05
35 *484:8 *489:10 9.22013e-06
36 *484:13 *6598:B 6.69101e-05
37 *484:13 *489:10 2.39535e-05
38 *486:8 *6592:A 3.63662e-05
39 *486:12 *6592:A 2.32311e-05
40 *486:12 *489:8 2.25948e-05
*RES
1 *6591:Y *489:7 15.0271
2 *489:7 *489:8 4.32351
3 *489:8 *489:10 3.493
4 *489:10 *6598:B 17.9655
5 *489:10 *6597:A2 20.5973
6 *489:8 *6602:A3 14.4725
7 *489:7 *6592:A 23.0557
*END
*D_NET *490 0.00125916
*CONN
*I *6593:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6592:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6593:B2 0.000531776
2 *6592:Y 0.000531776
3 *6593:B2 *6576:A 2.652e-05
4 *6593:B2 *6593:A1 4.11983e-05
5 *6593:B2 *6595:B 0
6 *6593:B2 *6596:A 0
7 *6593:B2 *591:53 6.76492e-05
8 *6593:A2 *6593:B2 0
9 *478:17 *6593:B2 6.02424e-05
10 *479:24 *6593:B2 0
*RES
1 *6592:Y *6593:B2 37.6732
*END
*D_NET *491 0.000891205
*CONN
*I *6595:B I *D sky130_fd_sc_hd__and3_1
*I *6593:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6595:B 0.000320932
2 *6593:X 0.000320932
3 *6595:B *6593:A1 2.7645e-05
4 *6595:B *6596:A 1.79672e-05
5 *6595:B *1276:9 0.000153013
6 *6595:B *1276:11 5.0715e-05
7 *6593:B2 *6595:B 0
8 *479:24 *6595:B 0
*RES
1 *6593:X *6595:B 33.9331
*END
*D_NET *492 0.00126459
*CONN
*I *6595:C I *D sky130_fd_sc_hd__and3_1
*I *6594:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6595:C 0.000169146
2 *6594:Y 0.000169146
3 *6595:C *6596:A 0.000319954
4 *6595:C *830:67 0.000505207
5 *6821:D *6595:C 0
6 *479:24 *6595:C 0.000101133
*RES
1 *6594:Y *6595:C 34.8522
*END
*D_NET *493 0.00143608
*CONN
*I *6596:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6595:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6596:A 0.000417164
2 *6595:X 0.000417164
3 *6596:A *6593:A1 0
4 *6591:A *6596:A 0.000153654
5 *6593:A2 *6596:A 0
6 *6593:B2 *6596:A 0
7 *6595:B *6596:A 1.79672e-05
8 *6595:C *6596:A 0.000319954
9 *479:24 *6596:A 0.00011018
*RES
1 *6595:X *6596:A 37.7026
*END
*D_NET *494 0.00156348
*CONN
*I *6600:B I *D sky130_fd_sc_hd__and3_1
*I *6597:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6600:B 0.000362528
2 *6597:X 0.000362528
3 *6600:B *6597:B1 0
4 *6600:B *6603:A2 0.000190042
5 *6600:B *6656:A 0
6 *6600:B *1277:12 0
7 *6585:B *6600:B 0.00064838
8 *6603:C1 *6600:B 0
9 *6833:D *6600:B 0
*RES
1 *6597:X *6600:B 39.2963
*END
*D_NET *495 0.000410647
*CONN
*I *6599:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6598:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6599:A2 0.000110572
2 *6598:Y 0.000110572
3 *6598:B *6599:A2 6.92705e-05
4 *456:44 *6599:A2 9.62733e-05
5 *484:13 *6599:A2 2.39581e-05
*RES
1 *6598:Y *6599:A2 22.5734
*END
*D_NET *496 0.00239782
*CONN
*I *6603:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6600:C I *D sky130_fd_sc_hd__and3_1
*I *6599:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6603:A2 0.000355117
2 *6600:C 0.000241884
3 *6599:X 0
4 *496:4 0.000597001
5 *6600:C *555:6 7.55264e-05
6 *6600:C *946:14 0.000151632
7 *6603:A2 *6597:B1 0.000193358
8 *6603:A2 *1277:12 0
9 *6585:B *6600:C 0.000116201
10 *6597:A2 *6603:A2 3.58044e-05
11 *6598:B *6603:A2 0
12 *6599:B1 *6600:C 0.000101133
13 *6600:B *6603:A2 0.000190042
14 *6603:C1 *6603:A2 0
15 *456:44 *6603:A2 0.000168545
16 *456:54 *6603:A2 0.000171572
*RES
1 *6599:X *496:4 9.24915
2 *496:4 *6600:C 25.079
3 *496:4 *6603:A2 28.7591
*END
*D_NET *497 0.00176755
*CONN
*I *6601:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6600:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6601:A 0.000396082
2 *6600:X 0.000396082
3 *6601:A *6660:A 5.77352e-05
4 *6601:A *813:48 0.000370815
5 *6601:A *830:24 9.57348e-05
6 *6601:A *1096:50 0
7 *6586:B1 *6601:A 6.50586e-05
8 *6822:D *6601:A 0.000146645
9 *367:41 *6601:A 0.000174342
10 *456:44 *6601:A 6.50586e-05
*RES
1 *6600:X *6601:A 40.822
*END
*D_NET *498 0.000607922
*CONN
*I *6603:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6602:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6603:B1 0.000144912
2 *6602:X 0.000144912
3 *6603:B1 *7075:A 7.97098e-06
4 *6598:B *6603:B1 3.31733e-05
5 *6602:A3 *6603:B1 1.03403e-05
6 *484:13 *6603:B1 0.000148129
7 *489:10 *6603:B1 0.000118485
*RES
1 *6602:X *6603:B1 31.4388
*END
*D_NET *499 0.0065504
*CONN
*I *6613:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6622:A I *D sky130_fd_sc_hd__or2_1
*I *6610:A I *D sky130_fd_sc_hd__or3b_1
*I *6612:A I *D sky130_fd_sc_hd__nor2_1
*I *6604:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6613:A_N 0
2 *6622:A 0.000259282
3 *6610:A 0.000138103
4 *6612:A 2.06324e-05
5 *6604:X 0.000742093
6 *499:29 0.00093591
7 *499:15 0.000638722
8 *499:7 0.000862922
9 *6610:A *6015:A1_N 0
10 *6610:A *6624:B 2.3939e-05
11 *6610:A *6626:A3 1.93378e-05
12 *6610:A *6628:A2 5.56367e-05
13 *6610:A *514:8 0.000134323
14 *6622:A *6624:C 8.64351e-05
15 *6622:A *6626:A3 9.24241e-05
16 *6622:A *6628:A1 3.20069e-06
17 *6622:A *6628:A2 0
18 *6622:A *636:32 7.82659e-05
19 *6622:A *656:16 7.56859e-06
20 *6622:A *1127:13 0.000377259
21 *6622:A *1240:19 0.000377259
22 *499:7 *6023:A 6.92705e-05
23 *499:7 *1127:13 0.000924776
24 *499:15 *6612:B 0.0002817
25 *499:15 *1127:13 4.33819e-05
26 *499:29 *6607:B1_N 7.50722e-05
27 *499:29 *6612:B 7.06017e-05
28 *499:29 *6618:A2 7.14746e-05
29 *499:29 *6623:B1 6.73186e-05
30 *499:29 *6624:A_N 6.73186e-05
31 *499:29 *6624:C 5.04829e-06
32 *499:29 *511:7 2.11278e-05
*RES
1 *6604:X *499:7 33.29
2 *499:7 *6612:A 9.82786
3 *499:7 *499:15 4.05102
4 *499:15 *499:29 19.2904
5 *499:29 *6610:A 22.5727
6 *499:29 *6622:A 27.3499
7 *499:15 *6613:A_N 9.24915
*END
*D_NET *500 0.00232407
*CONN
*I *6607:A2 I *D sky130_fd_sc_hd__o21bai_1
*I *6633:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6605:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6607:A2 8.05823e-05
2 *6633:A2 0.00034559
3 *6605:Y 0.000182334
4 *500:8 0.000608506
5 *6607:A2 *6015:A1_N 0.000214058
6 *6607:A2 *6607:A1 9.63545e-05
7 *6633:A2 *6633:A1 6.92705e-05
8 *6633:A2 *829:29 0.00035128
9 *500:8 *6009:A1 0.000118166
10 *500:8 *6015:A1_N 0.000104731
11 *500:8 *6607:A1 3.92275e-05
12 *500:8 *1242:16 0.000113968
*RES
1 *6605:Y *500:8 17.9683
2 *500:8 *6633:A2 23.3462
3 *500:8 *6607:A2 17.6574
*END
*D_NET *501 0.00768928
*CONN
*I *6699:B I *D sky130_fd_sc_hd__nand2_1
*I *6698:B I *D sky130_fd_sc_hd__or2_1
*I *6613:B I *D sky130_fd_sc_hd__and2b_1
*I *6607:B1_N I *D sky130_fd_sc_hd__o21bai_1
*I *6606:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *6699:B 6.82151e-05
2 *6698:B 0
3 *6613:B 0
4 *6607:B1_N 0.000619891
5 *6606:X 0
6 *501:33 0.00125778
7 *501:8 0.00142468
8 *501:4 0.00199435
9 *6607:B1_N *6029:A 0
10 *6607:B1_N *6618:A2 3.92075e-05
11 *6607:B1_N *6621:C 6.60341e-05
12 *6607:B1_N *6645:B 0
13 *6607:B1_N *6645:C 0
14 *6607:B1_N *907:13 0.000237969
15 *6607:B1_N *907:46 4.88955e-05
16 *6607:B1_N *907:52 4.95737e-05
17 *6607:B1_N *1066:20 0
18 *6699:B *6699:A 0.0002817
19 *6699:B *1127:11 0.000383717
20 *501:8 *6606:D 6.08467e-05
21 *501:8 *6611:A 0.000113968
22 *501:8 *6614:A2 0
23 *501:8 *6618:A2 1.90191e-05
24 *501:8 *6626:B1 5.41377e-05
25 *501:8 *7088:A 0.000326398
26 *501:8 *506:5 0.00011226
27 *501:8 *506:10 3.14978e-05
28 *501:8 *506:33 8.64351e-05
29 *501:8 *508:17 9.04083e-05
30 *501:8 *656:16 8.96528e-05
31 *501:8 *1066:20 0
32 *501:8 *1084:7 6.50586e-05
33 *501:33 *6606:D 6.20492e-05
34 *501:33 *656:8 1.53009e-05
35 *501:33 *1235:10 1.51658e-05
36 *499:29 *6607:B1_N 7.50722e-05
*RES
1 *6606:X *501:4 9.24915
2 *501:4 *501:8 25.9091
3 *501:8 *6607:B1_N 30.0191
4 *501:8 *6613:B 13.7491
5 *501:4 *501:33 20.4239
6 *501:33 *6698:B 9.24915
7 *501:33 *6699:B 13.8789
*END
*D_NET *502 0.00057657
*CONN
*I *6610:B I *D sky130_fd_sc_hd__or3b_1
*I *6607:Y O *D sky130_fd_sc_hd__o21bai_1
*CAP
1 *6610:B 0.000219505
2 *6607:Y 0.000219505
3 *6610:B *6003:A1 0
4 *6610:B *6015:A1_N 0.000101118
5 *6610:B *6610:C_N 3.64415e-05
*RES
1 *6607:Y *6610:B 31.7175
*END
*D_NET *503 0.000938006
*CONN
*I *6609:C I *D sky130_fd_sc_hd__or3_1
*I *6608:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *6609:C 0.00034066
2 *6608:X 0.00034066
3 *6609:C *6609:A 3.95367e-05
4 *6609:C *636:11 4.47578e-05
5 *6609:C *1127:13 9.29715e-05
6 *6609:C *1235:17 2.29454e-05
7 *6609:C *1235:30 5.64746e-05
*RES
1 *6608:X *6609:C 36.6231
*END
*D_NET *504 0.00773161
*CONN
*I *6697:B I *D sky130_fd_sc_hd__and2_1
*I *6622:B I *D sky130_fd_sc_hd__or2_1
*I *6612:B I *D sky130_fd_sc_hd__nor2_1
*I *6610:C_N I *D sky130_fd_sc_hd__or3b_1
*I *6609:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6697:B 9.99347e-05
2 *6622:B 4.8679e-05
3 *6612:B 0.00074213
4 *6610:C_N 0.000375721
5 *6609:X 0.000108991
6 *504:23 0.000906231
7 *504:9 0.00111382
8 *504:5 0.000831603
9 *6610:C_N *6625:A 7.14746e-05
10 *6610:C_N *6826:CLK 0.000153494
11 *6610:C_N *1084:10 2.652e-05
12 *6612:B *6626:A1 9.82896e-06
13 *6612:B *6626:A3 0.000118166
14 *6612:B *6626:B1 0.000123606
15 *6612:B *656:20 0.000124674
16 *6612:B *1127:13 0.000450996
17 *6612:B *1238:26 0.000167076
18 *6612:B *1240:19 0.000455944
19 *6622:B *636:32 0
20 *6622:B *1084:10 1.87469e-05
21 *6622:B *1127:13 6.50586e-05
22 *6622:B *1240:19 6.50586e-05
23 *6697:B *1084:22 0
24 *6697:B *1235:30 0
25 *504:5 *636:11 6.50727e-05
26 *504:9 *636:11 0.00105055
27 *504:23 *6003:A1 1.18938e-05
28 *504:23 *636:32 0
29 *504:23 *1084:10 9.28527e-05
30 *504:23 *1238:26 4.47442e-05
31 *6610:B *6610:C_N 3.64415e-05
32 *499:15 *6612:B 0.0002817
33 *499:29 *6612:B 7.06017e-05
*RES
1 *6609:X *504:5 11.0817
2 *504:5 *504:9 15.7609
3 *504:9 *6610:C_N 22.5928
4 *504:9 *504:23 3.90826
5 *504:23 *6612:B 34.3177
6 *504:23 *6622:B 15.474
7 *504:5 *6697:B 20.4964
*END
*D_NET *505 0.00305972
*CONN
*I *6611:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6623:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6621:B I *D sky130_fd_sc_hd__and3_1
*I *6610:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6611:A 0.000255462
2 *6623:A1 0.000237875
3 *6621:B 0.000282785
4 *6610:X 0
5 *505:14 0.000564074
6 *505:4 0.000353521
7 *6611:A *6624:B 8.62625e-06
8 *6611:A *6628:A2 0.000136334
9 *6611:A *506:5 0.000113968
10 *6611:A *514:8 2.33193e-05
11 *6611:A *829:24 0.000168061
12 *6621:B *6621:A 1.65872e-05
13 *6621:B *514:8 7.89585e-06
14 *6621:B *907:13 0.000167076
15 *6623:A1 *6624:D 0.000360159
16 *505:14 *6624:B 0.00017416
17 *505:14 *829:24 7.5845e-05
18 *501:8 *6611:A 0.000113968
*RES
1 *6610:X *505:4 9.24915
2 *505:4 *6621:B 13.8789
3 *505:4 *505:14 7.57775
4 *505:14 *6623:A1 17.8002
5 *505:14 *6611:A 22.6727
*END
*D_NET *506 0.00285852
*CONN
*I *6615:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6627:A I *D sky130_fd_sc_hd__nand2_1
*I *6618:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6616:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6614:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *6611:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6615:A2 0.000110251
2 *6627:A 2.09358e-05
3 *6618:B1 0
4 *6616:A2 7.25112e-05
5 *6614:B1 6.08468e-05
6 *6611:X 0
7 *506:33 0.000293027
8 *506:10 0.000243913
9 *506:5 0.000210566
10 *506:4 0.000261851
11 *6614:B1 *6614:A3 2.41274e-06
12 *6615:A2 *6615:A1 4.35484e-05
13 *6615:A2 *6615:B1 9.25803e-06
14 *6615:A2 *636:32 0.00013592
15 *6615:A2 *1084:45 0
16 *6615:A2 *1238:16 5.81081e-06
17 *6616:A2 *6614:A1 0
18 *6616:A2 *6615:B1 5.39608e-05
19 *6616:A2 *829:24 0.000140946
20 *6627:A *6628:B1 7.48797e-05
21 *506:5 *6619:C 0.00013715
22 *506:5 *656:16 2.16355e-05
23 *506:10 *6614:A1 0
24 *506:10 *6614:A3 5.53789e-05
25 *506:10 *6619:C 2.65831e-05
26 *506:10 *829:24 8.50941e-05
27 *506:33 *6627:B 0
28 *506:33 *636:32 0.000190057
29 *506:33 *656:16 8.65358e-05
30 *6611:A *506:5 0.000113968
31 *6827:D *6627:A 0.000171288
32 *501:8 *506:5 0.00011226
33 *501:8 *506:10 3.14978e-05
34 *501:8 *506:33 8.64351e-05
*RES
1 *6611:X *506:4 9.24915
2 *506:4 *506:5 4.60562
3 *506:5 *506:10 8.7164
4 *506:10 *6614:B1 15.0271
5 *506:10 *6616:A2 16.8269
6 *506:5 *6618:B1 9.24915
7 *506:4 *506:33 10.3802
8 *506:33 *6627:A 15.5817
9 *506:33 *6615:A2 17.1628
*END
*D_NET *507 0.00106743
*CONN
*I *6614:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *6612:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6614:A2 0.000395277
2 *6612:Y 0.000395277
3 *6614:A2 *6614:A3 0
4 *6614:A2 *508:17 0
5 *6614:A2 *1066:20 0.000276874
6 *501:8 *6614:A2 0
*RES
1 *6612:Y *6614:A2 36.0108
*END
*D_NET *508 0.00184641
*CONN
*I *6614:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *6618:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6626:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6613:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6614:A3 0.000178308
2 *6618:A1 0
3 *6626:B1 8.04401e-05
4 *6613:X 4.51842e-05
5 *508:17 0.000224579
6 *508:7 0.000171895
7 *6614:A3 *6618:A2 1.07248e-05
8 *6614:A3 *829:24 0
9 *6626:B1 *6618:A2 5.05252e-05
10 *6626:B1 *656:20 0.000211492
11 *6626:B1 *1127:13 0.00036437
12 *508:7 *1127:13 6.08467e-05
13 *508:17 *6618:A2 0.000122098
14 *6612:B *6626:B1 0.000123606
15 *6614:A2 *6614:A3 0
16 *6614:A2 *508:17 0
17 *6614:B1 *6614:A3 2.41274e-06
18 *501:8 *6626:B1 5.41377e-05
19 *501:8 *508:17 9.04083e-05
20 *506:10 *6614:A3 5.53789e-05
*RES
1 *6613:X *508:7 14.4725
2 *508:7 *6626:B1 18.8017
3 *508:7 *508:17 2.24725
4 *508:17 *6618:A1 13.7491
5 *508:17 *6614:A3 17.6896
*END
*D_NET *509 0.00127675
*CONN
*I *6615:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6614:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *6615:B1 0.000474895
2 *6614:Y 0.000474895
3 *6615:B1 *6614:A1 3.24105e-05
4 *6615:B1 *6615:A1 1.96769e-05
5 *6615:B1 *6616:B1 2.16355e-05
6 *6615:B1 *636:37 2.97421e-05
7 *6615:B1 *829:24 1.45944e-05
8 *6615:B1 *1238:16 5.8967e-06
9 *6615:A2 *6615:B1 9.25803e-06
10 *6615:C1 *6615:B1 0.00013978
11 *6616:A2 *6615:B1 5.39608e-05
*RES
1 *6614:Y *6615:B1 39.4678
*END
*D_NET *510 0.000427961
*CONN
*I *6619:B I *D sky130_fd_sc_hd__and3_1
*I *6616:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6619:B 0.000170445
2 *6616:X 0.000170445
3 *6619:B *6619:A 1.43983e-05
4 *6619:B *6619:C 3.91917e-05
5 *456:54 *6619:B 3.34802e-05
*RES
1 *6616:X *6619:B 30.7476
*END
*D_NET *511 0.00392364
*CONN
*I *6626:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6618:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6621:C I *D sky130_fd_sc_hd__and3_1
*I *6623:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6617:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6626:A3 0.000157296
2 *6618:A2 0.000196958
3 *6621:C 0.000159306
4 *6623:A2 9.36721e-06
5 *6617:X 3.53435e-05
6 *511:15 0.000356264
7 *511:7 0.000121642
8 *511:5 0.000304915
9 *6618:A2 *656:20 6.92004e-05
10 *6618:A2 *656:22 1.09738e-05
11 *6618:A2 *829:24 4.2391e-05
12 *6621:C *6621:A 0.000134659
13 *6621:C *514:8 5.04829e-06
14 *6621:C *656:22 6.25467e-05
15 *6623:A2 *6623:B1 6.50586e-05
16 *6623:A2 *6624:D 6.50586e-05
17 *6626:A3 *6626:A1 2.41483e-05
18 *6626:A3 *6628:A2 0
19 *6626:A3 *636:32 0
20 *6626:A3 *1240:19 7.37649e-05
21 *511:5 *6015:A1_N 0.000113968
22 *511:5 *6624:C 0.000271058
23 *511:5 *6625:A 3.83172e-05
24 *511:5 *636:13 6.50727e-05
25 *511:7 *6623:B1 0.000113968
26 *511:7 *6624:A_N 0.000164829
27 *511:7 *6624:C 0.000167076
28 *511:7 *6624:D 0.000457917
29 *511:7 *6625:A 7.34948e-06
30 *6607:B1_N *6618:A2 3.92075e-05
31 *6607:B1_N *6621:C 6.60341e-05
32 *6610:A *6626:A3 1.93378e-05
33 *6612:B *6626:A3 0.000118166
34 *6614:A3 *6618:A2 1.07248e-05
35 *6622:A *6626:A3 9.24241e-05
36 *6626:B1 *6618:A2 5.05252e-05
37 *499:29 *6618:A2 7.14746e-05
38 *499:29 *511:7 2.11278e-05
39 *501:8 *6618:A2 1.90191e-05
40 *508:17 *6618:A2 0.000122098
*RES
1 *6617:X *511:5 12.191
2 *511:5 *511:7 6.26943
3 *511:7 *6623:A2 9.97254
4 *511:7 *511:15 4.5
5 *511:15 *6621:C 18.9489
6 *511:15 *6618:A2 20.5642
7 *511:5 *6626:A3 23.1836
*END
*D_NET *512 0.00171784
*CONN
*I *6619:C I *D sky130_fd_sc_hd__and3_1
*I *6618:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6619:C 0.000647119
2 *6618:Y 0.000647119
3 *6619:C *6615:A1 0
4 *6619:C *6628:A1 5.99802e-05
5 *6619:C *6628:A2 0.000148144
6 *6619:C *636:32 0
7 *6619:C *656:16 8.62625e-06
8 *6615:C1 *6619:C 3.93117e-06
9 *6619:B *6619:C 3.91917e-05
10 *6628:C1 *6619:C 0
11 *456:54 *6619:C 0
12 *506:5 *6619:C 0.00013715
13 *506:10 *6619:C 2.65831e-05
*RES
1 *6618:Y *6619:C 42.0909
*END
*D_NET *513 0.000507527
*CONN
*I *6620:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6619:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6620:A 0.000253763
2 *6619:X 0.000253763
3 *6620:A *6619:A 0
*RES
1 *6619:X *6620:A 24.2613
*END
*D_NET *514 0.00240866
*CONN
*I *6628:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6624:A_N I *D sky130_fd_sc_hd__and4b_1
*I *6621:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6628:A2 0.000338351
2 *6624:A_N 3.20361e-05
3 *6621:X 0.000362682
4 *514:8 0.00073307
5 *6628:A2 *656:16 0.000100307
6 *6628:A2 *829:24 0
7 *514:8 *6621:A 2.42138e-05
8 *514:8 *6624:B 7.14746e-05
9 *6610:A *6628:A2 5.56367e-05
10 *6610:A *514:8 0.000134323
11 *6611:A *6628:A2 0.000136334
12 *6611:A *514:8 2.33193e-05
13 *6619:C *6628:A2 0.000148144
14 *6621:B *514:8 7.89585e-06
15 *6621:C *514:8 5.04829e-06
16 *6622:A *6628:A2 0
17 *6626:A3 *6628:A2 0
18 *6628:C1 *6628:A2 3.67528e-06
19 *499:29 *6624:A_N 6.73186e-05
20 *511:7 *6624:A_N 0.000164829
*RES
1 *6621:X *514:8 20.4627
2 *514:8 *6624:A_N 15.5817
3 *514:8 *6628:A2 23.8862
*END
*D_NET *515 0.00102179
*CONN
*I *6624:C I *D sky130_fd_sc_hd__and4b_1
*I *6622:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6624:C 0.00018035
2 *6622:X 0.00018035
3 *6624:C *636:13 2.41483e-05
4 *6624:C *636:32 5.19205e-05
5 *6624:C *1238:26 5.54078e-05
6 *6622:A *6624:C 8.64351e-05
7 *499:29 *6624:C 5.04829e-06
8 *511:5 *6624:C 0.000271058
9 *511:7 *6624:C 0.000167076
*RES
1 *6622:X *6624:C 34.9058
*END
*D_NET *516 0.00145335
*CONN
*I *6624:D I *D sky130_fd_sc_hd__and4b_1
*I *6623:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6624:D 0.000222232
2 *6623:X 0.000222232
3 *6624:D *6623:B1 6.49003e-05
4 *6624:D *6624:B 6.08467e-05
5 *6623:A1 *6624:D 0.000360159
6 *6623:A2 *6624:D 6.50586e-05
7 *511:7 *6624:D 0.000457917
*RES
1 *6623:X *6624:D 27.1368
*END
*D_NET *517 0.00170852
*CONN
*I *6625:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6624:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *6625:A 0.000495156
2 *6624:X 0.000495156
3 *6625:A *6003:A1 0.000105491
4 *6625:A *6015:A1_N 0.00011818
5 *6625:A *6018:A 0
6 *6625:A *636:11 0.00014642
7 *6625:A *636:13 0.00015511
8 *6625:A *1084:10 4.3116e-06
9 *6610:C_N *6625:A 7.14746e-05
10 *6826:D *6625:A 7.15593e-05
11 *511:5 *6625:A 3.83172e-05
12 *511:7 *6625:A 7.34948e-06
*RES
1 *6624:X *6625:A 39.1976
*END
*D_NET *518 0.00147718
*CONN
*I *6627:B I *D sky130_fd_sc_hd__nand2_1
*I *6626:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6627:B 0.0005213
2 *6626:X 0.0005213
3 *6627:B *636:32 0
4 *6627:B *1084:10 0
5 *6627:B *1084:38 0
6 *6627:B *1084:45 0
7 *6627:B *1127:13 0.000434578
8 *506:33 *6627:B 0
*RES
1 *6626:X *6627:B 38.0884
*END
*D_NET *519 0.000856122
*CONN
*I *6628:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6627:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6628:B1 0.000312285
2 *6627:Y 0.000312285
3 *6628:B1 *6628:A1 9.06436e-05
4 *6627:A *6628:B1 7.48797e-05
5 *6827:D *6628:B1 6.60291e-05
*RES
1 *6627:Y *6628:B1 26.6486
*END
*D_NET *520 0.00532799
*CONN
*I *6632:A I *D sky130_fd_sc_hd__nand2_1
*I *6634:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6633:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6635:B I *D sky130_fd_sc_hd__and3_1
*I *6636:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6629:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6632:A 0.000175882
2 *6634:A1 0
3 *6633:A1 0.000255226
4 *6635:B 0.000578589
5 *6636:A1 6.25335e-05
6 *6629:X 0.000145683
7 *520:27 0.000255226
8 *520:25 0.000283155
9 *520:16 0.000789611
10 *520:7 0.000311966
11 *6632:A *6632:B 0.000118485
12 *6632:A *6634:B1 0.000152743
13 *6632:A *1084:22 0
14 *6632:A *1235:30 1.1246e-05
15 *6633:A1 *6632:B 1.92172e-05
16 *6633:A1 *6634:A2 1.09551e-05
17 *6633:A1 *522:21 4.09471e-05
18 *6633:A1 *522:33 6.98314e-05
19 *6633:A1 *524:5 0.000118166
20 *6633:A1 *524:17 0.000139947
21 *6633:A1 *829:29 0.000169041
22 *6635:B *1242:16 0.000924979
23 *6636:A1 *1217:40 7.97944e-05
24 *520:7 *1217:40 0.000132952
25 *520:16 *6636:B1 0.000144546
26 *520:16 *6637:B 0.000184931
27 *520:16 *1235:30 9.2346e-06
28 *520:25 *6634:B1 5.22654e-06
29 *520:25 *6637:B 8.62625e-06
30 *520:25 *6637:C 0
31 *520:25 *1235:30 5.99802e-05
32 *6633:A2 *6633:A1 6.92705e-05
*RES
1 *6629:X *520:7 13.3243
2 *520:7 *6636:A1 11.0817
3 *520:7 *520:16 8.40826
4 *520:16 *6635:B 23.9008
5 *520:16 *520:25 2.6625
6 *520:25 *520:27 4.5
7 *520:27 *6633:A1 17.7611
8 *520:27 *6634:A1 9.24915
9 *520:25 *6632:A 18.823
*END
*D_NET *521 0.00371892
*CONN
*I *6646:A I *D sky130_fd_sc_hd__inv_2
*I *6631:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6630:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6646:A 0.000954761
2 *6631:A 0.000164299
3 *6630:X 8.3139e-05
4 *521:6 0.0012022
5 *6631:A *1246:7 2.41274e-06
6 *6646:A *6023:A 0.000169108
7 *6646:A *6833:CLK 0.000381471
8 *6646:A *530:16 0
9 *6646:A *541:9 3.46062e-05
10 *6646:A *651:6 5.62332e-05
11 *6646:A *1066:20 0
12 *6646:A *1246:34 0.000325431
13 *6646:A *1247:8 0.00016355
14 *521:6 *1066:20 0
15 *521:6 *1246:10 7.50722e-05
16 *521:6 *1246:34 8.52802e-05
17 *6833:D *6646:A 2.13584e-05
*RES
1 *6630:X *521:6 16.4116
2 *521:6 *6631:A 17.2456
3 *521:6 *6646:A 41.7911
*END
*D_NET *522 0.0082332
*CONN
*I *6645:B I *D sky130_fd_sc_hd__or3_1
*I *6632:B I *D sky130_fd_sc_hd__nand2_1
*I *6634:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6636:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6635:C I *D sky130_fd_sc_hd__and3_1
*I *6631:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6645:B 0.000186844
2 *6632:B 0.000118528
3 *6634:A2 1.81917e-05
4 *6636:A2 0.000199333
5 *6635:C 0
6 *6631:X 0
7 *522:33 0.000221208
8 *522:21 0.000754123
9 *522:9 0.0019395
10 *522:5 0.00165605
11 *6632:B *1084:22 0
12 *6632:B *1235:30 0.000143047
13 *6636:A2 *6634:B1 4.3116e-06
14 *6636:A2 *6636:B1 0
15 *6636:A2 *6637:C 0.000231926
16 *6636:A2 *1084:22 0.000393877
17 *6636:A2 *1217:40 6.92705e-05
18 *6645:B *6029:A 0
19 *6645:B *6645:C 3.07997e-05
20 *6645:B *907:13 0.000167076
21 *522:9 *5994:A1 0.000211492
22 *522:9 *6009:A1 5.90863e-05
23 *522:9 *6009:A2 4.58003e-05
24 *522:9 *6009:B1 0.000426168
25 *522:9 *6029:A 0
26 *522:9 *6645:C 7.2603e-05
27 *522:9 *1066:20 0
28 *522:9 *1243:19 4.80532e-05
29 *522:9 *1243:21 0.000265537
30 *522:21 *6003:A1 0
31 *522:21 *6018:A 7.20252e-05
32 *522:21 *524:5 0.000118166
33 *522:21 *524:17 0.000107496
34 *522:21 *829:29 0.000366603
35 *522:33 *524:17 4.66492e-05
36 *6607:B1_N *6645:B 0
37 *6632:A *6632:B 0.000118485
38 *6633:A1 *6632:B 1.92172e-05
39 *6633:A1 *6634:A2 1.09551e-05
40 *6633:A1 *522:21 4.09471e-05
41 *6633:A1 *522:33 6.98314e-05
*RES
1 *6631:X *522:5 13.7491
2 *522:5 *522:9 31.7428
3 *522:9 *6635:C 9.24915
4 *522:9 *522:21 21.5327
5 *522:21 *6636:A2 26.2028
6 *522:21 *522:33 2.38721
7 *522:33 *6634:A2 9.82786
8 *522:33 *6632:B 22.1896
9 *522:5 *6645:B 17.829
*END
*D_NET *523 0.000656924
*CONN
*I *6634:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6632:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6634:B1 0.000245721
2 *6632:Y 0.000245721
3 *6634:B1 *6637:C 3.20069e-06
4 *6634:B1 *1084:22 0
5 *6632:A *6634:B1 0.000152743
6 *6636:A2 *6634:B1 4.3116e-06
7 *520:25 *6634:B1 5.22654e-06
*RES
1 *6632:Y *6634:B1 32.1327
*END
*D_NET *524 0.0031089
*CONN
*I *6634:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6637:C I *D sky130_fd_sc_hd__and3b_1
*I *6639:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6633:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6634:C1 0
2 *6637:C 0.000332996
3 *6639:B1_N 0.000244323
4 *6633:X 1.24136e-05
5 *524:17 0.000368668
6 *524:5 0.000292409
7 *6637:C *6636:B1 6.08697e-06
8 *6637:C *1243:7 0.00029128
9 *6637:C *1243:19 0.000167076
10 *6639:B1_N *6640:B1 2.43427e-05
11 *6639:B1_N *6693:B 0
12 *6639:B1_N *1084:22 0.000364234
13 *6639:B1_N *1217:40 0.000115934
14 *6633:A1 *524:5 0.000118166
15 *6633:A1 *524:17 0.000139947
16 *6634:B1 *6637:C 3.20069e-06
17 *6636:A2 *6637:C 0.000231926
18 *6830:D *6639:B1_N 0.000123582
19 *520:25 *6637:C 0
20 *522:21 *524:5 0.000118166
21 *522:21 *524:17 0.000107496
22 *522:33 *524:17 4.66492e-05
*RES
1 *6633:X *524:5 10.5271
2 *524:5 *6639:B1_N 26.3422
3 *524:5 *524:17 1.8326
4 *524:17 *6637:C 27.7329
5 *524:17 *6634:C1 9.24915
*END
*D_NET *525 0.00234327
*CONN
*I *6637:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6640:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6639:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6635:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6637:A_N 9.35071e-05
2 *6640:A2 0
3 *6639:A2 6.03367e-05
4 *6635:X 8.37618e-05
5 *525:18 0.000164912
6 *525:7 0.000215503
7 *6637:A_N *6640:B1 6.50727e-05
8 *6637:A_N *1242:16 0.000563856
9 *6637:A_N *1243:7 0.000107496
10 *6637:A_N *1243:19 0.000340589
11 *6639:A2 *6018:A 0.000111215
12 *6639:A2 *6693:B 5.04734e-05
13 *525:7 *1243:19 4.31539e-05
14 *525:18 *6018:A 7.15724e-05
15 *525:18 *6693:B 3.14544e-05
16 *525:18 *1242:16 0.000217951
17 *525:18 *1243:19 0.000122412
*RES
1 *6635:X *525:7 15.0271
2 *525:7 *6639:A2 15.9964
3 *525:7 *525:18 8.30395
4 *525:18 *6640:A2 9.24915
5 *525:18 *6637:A_N 15.5186
*END
*D_NET *526 0.00102732
*CONN
*I *6637:B I *D sky130_fd_sc_hd__and3b_1
*I *6636:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6637:B 0.000218328
2 *6636:X 0.000218328
3 *6637:B *1235:30 0.000184931
4 *6637:B *1242:16 0.00021218
5 *520:16 *6637:B 0.000184931
6 *520:25 *6637:B 8.62625e-06
*RES
1 *6636:X *6637:B 33.3785
*END
*D_NET *527 0.000860128
*CONN
*I *6638:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6637:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6638:A 0.000269227
2 *6637:X 0.000269227
3 *6638:A *613:18 0
4 *6638:A *1029:8 0.000127164
5 *6638:A *1217:40 6.92705e-05
6 *6638:A *1243:7 0.000107272
7 *6829:D *6638:A 1.79672e-05
*RES
1 *6637:X *6638:A 33.4107
*END
*D_NET *528 0.000734689
*CONN
*I *6640:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6639:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6640:B1 0.00018491
2 *6639:Y 0.00018491
3 *6640:B1 *6640:A1 0.000111335
4 *6640:B1 *1217:40 2.39581e-05
5 *6640:B1 *1243:19 6.50727e-05
6 *6637:A_N *6640:B1 6.50727e-05
7 *6639:B1_N *6640:B1 2.43427e-05
8 *6830:D *6640:B1 7.50872e-05
*RES
1 *6639:Y *6640:B1 32.3015
*END
*D_NET *529 0.002654
*CONN
*I *6642:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6666:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6641:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6642:B1 0.00035628
2 *6666:A_N 0.000323965
3 *6641:X 3.39645e-05
4 *529:7 0.000714209
5 *6642:B1 *6024:A 2.352e-05
6 *6642:B1 *530:15 3.00073e-05
7 *6642:B1 *597:33 0
8 *6666:A_N *6024:A 3.88002e-05
9 *6666:A_N *6651:A2 6.50727e-05
10 *6666:A_N *550:7 0.000195134
11 *529:7 *1127:19 9.18559e-06
12 *6604:B *6666:A_N 0.000315555
13 *6642:A2 *6642:B1 0.000277488
14 *367:17 *6642:B1 3.92134e-05
15 *402:8 *6642:B1 0.000171893
16 *402:8 *6666:A_N 5.9708e-05
*RES
1 *6641:X *529:7 14.4725
2 *529:7 *6666:A_N 24.3449
3 *529:7 *6642:B1 25.1939
*END
*D_NET *530 0.00637069
*CONN
*I *6650:C I *D sky130_fd_sc_hd__and3_1
*I *6643:B I *D sky130_fd_sc_hd__and2_1
*I *6644:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6642:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6650:C 0.000135339
2 *6643:B 0
3 *6644:A 0.000381624
4 *6642:X 0.00159175
5 *530:18 0.000508732
6 *530:16 0.000548573
7 *530:15 0.00187788
8 *6644:A *6010:A 0
9 *6644:A *6027:A1 1.44611e-05
10 *6644:A *6630:A 2.20702e-05
11 *6644:A *532:7 0.000152239
12 *6644:A *651:6 0.000148226
13 *6650:C *6650:B 5.41377e-05
14 *6650:C *6651:A1 7.31856e-05
15 *6650:C *6653:A 0.000395357
16 *530:15 *6655:B 0.000151418
17 *530:15 *537:18 2.85274e-05
18 *530:15 *597:33 0
19 *530:15 *1248:7 1.4164e-05
20 *530:16 *6023:A 0
21 *530:16 *6650:B 0
22 *530:16 *537:18 0
23 *530:16 *651:6 6.81008e-05
24 *530:16 *1247:8 0
25 *530:18 *651:6 7.37927e-05
26 *6480:A *530:15 9.79123e-05
27 *6642:B1 *530:15 3.00073e-05
28 *6646:A *530:16 0
29 *6832:D *6644:A 0
30 *6832:D *530:18 0
31 *6834:D *530:15 3.18826e-06
*RES
1 *6642:X *530:15 48.3755
2 *530:15 *530:16 7.23027
3 *530:16 *530:18 3.493
4 *530:18 *6644:A 24.7545
5 *530:18 *6643:B 13.7491
6 *530:16 *6650:C 28.0669
*END
*D_NET *531 0.00228299
*CONN
*I *6651:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6648:A_N I *D sky130_fd_sc_hd__and4b_1
*I *6643:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6651:B1 0.000105361
2 *6648:A_N 7.99457e-05
3 *6643:X 0.000103356
4 *531:5 0.000288663
5 *6648:A_N *6648:C 0.000377259
6 *6648:A_N *907:17 6.47268e-05
7 *6651:B1 *6653:A 3.44886e-05
8 *6651:B1 *555:6 4.58259e-05
9 *6651:B1 *1248:12 0
10 *531:5 *6648:C 0.000729692
11 *531:5 *6652:A_N 0.000113968
12 *531:5 *907:13 5.75672e-05
13 *531:5 *907:17 6.41884e-05
14 *531:5 *1245:35 0.000217951
*RES
1 *6643:X *531:5 17.1824
2 *531:5 *6648:A_N 13.3002
3 *531:5 *6651:B1 21.3269
*END
*D_NET *532 0.0119859
*CONN
*I *6645:C I *D sky130_fd_sc_hd__or3_1
*I *6692:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6691:C I *D sky130_fd_sc_hd__and3_1
*I *6690:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6689:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6644:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6645:C 0.000406487
2 *6692:A2 9.69299e-05
3 *6691:C 0.000334308
4 *6690:A2 0
5 *6689:A2 0
6 *6644:X 5.44414e-05
7 *532:40 0.000689184
8 *532:32 0.000442547
9 *532:21 0.000691607
10 *532:19 0.00170933
11 *532:7 0.00166326
12 *6645:C *6002:A1 0.000361082
13 *6645:C *6630:B 7.97098e-06
14 *6645:C *6630:C 0.000111722
15 *6645:C *1066:20 0.000435258
16 *6691:C *6124:A1 4.66492e-05
17 *6691:C *6691:A 0.000118166
18 *6691:C *6694:A 3.55296e-05
19 *6691:C *1215:8 2.95757e-05
20 *6691:C *1215:25 0.000231956
21 *6691:C *1235:30 0
22 *532:7 *6630:A 0.0002817
23 *532:7 *6630:C 4.80635e-06
24 *532:19 *5994:A1 6.50586e-05
25 *532:19 *5994:A2 6.08467e-05
26 *532:19 *5994:A3 1.13219e-05
27 *532:19 *6010:A 0
28 *532:19 *6013:B1 0
29 *532:19 *6014:A2 8.89094e-05
30 *532:19 *6019:A 0.000290294
31 *532:19 *6021:B2 0
32 *532:19 *6027:A1 0.000165219
33 *532:19 *615:10 0
34 *532:19 *615:20 3.58208e-05
35 *532:19 *623:9 0.000327273
36 *532:19 *626:8 0.000438358
37 *532:19 *626:19 0.000135905
38 *532:19 *626:26 6.79599e-05
39 *532:19 *628:10 0
40 *532:19 *1066:10 0
41 *532:19 *1217:12 0.000884524
42 *532:19 *1220:8 0.000375027
43 *532:19 *1220:32 6.50586e-05
44 *532:21 *5994:A1 1.15389e-05
45 *532:21 *6019:A 0.000385012
46 *532:21 *6019:B 2.65831e-05
47 *532:21 *6022:B1 1.41291e-05
48 *532:32 *6689:A1 0.000336769
49 *532:32 *6693:B 0
50 *532:40 *6689:A1 0
51 *532:40 *6690:A1 2.65667e-05
52 *532:40 *739:32 0
53 *532:40 *1218:35 0.000134832
54 *532:40 *1235:30 0
55 *6607:B1_N *6645:C 0
56 *6644:A *532:7 0.000152239
57 *6645:B *6645:C 3.07997e-05
58 *214:17 *6691:C 3.07155e-05
59 *522:9 *6645:C 7.2603e-05
*RES
1 *6644:X *532:7 13.3243
2 *532:7 *532:19 48.3454
3 *532:19 *532:21 12.3701
4 *532:21 *6689:A2 9.24915
5 *532:21 *532:32 14.465
6 *532:32 *6690:A2 9.24915
7 *532:32 *532:40 11.0742
8 *532:40 *6691:C 21.5262
9 *532:40 *6692:A2 15.0438
10 *532:7 *6645:C 32.1641
*END
*D_NET *533 0.00273935
*CONN
*I *6648:C I *D sky130_fd_sc_hd__and4b_1
*I *6645:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6648:C 0.000710517
2 *6645:X 0.000710517
3 *6648:C *6645:A 0.000108071
4 *6648:C *6648:D 5.04829e-06
5 *6648:C *895:57 1.41291e-05
6 *6648:C *1245:35 8.41174e-05
7 *6648:A_N *6648:C 0.000377259
8 *531:5 *6648:C 0.000729692
*RES
1 *6645:X *6648:C 38.1023
*END
*D_NET *534 0.00307104
*CONN
*I *6647:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6646:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6647:B1 0.000515026
2 *6646:Y 0.000515026
3 *6647:B1 *6659:B 6.50727e-05
4 *6647:B1 *6833:CLK 0.000782786
5 *6647:B1 *535:11 0.000527761
6 *6647:B1 *902:28 0.000200236
7 *6647:B1 *1096:8 0.000163465
8 *6647:B1 *1096:10 3.31882e-05
9 *6647:A1 *6647:B1 3.14978e-05
10 *6647:A2 *6647:B1 0.000114518
11 *367:41 *6647:B1 1.65872e-05
12 *400:5 *6647:B1 9.60469e-05
13 *400:15 *6647:B1 9.82896e-06
*RES
1 *6646:Y *6647:B1 47.4365
*END
*D_NET *535 0.00382001
*CONN
*I *6659:B I *D sky130_fd_sc_hd__and3_1
*I *6651:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6648:D I *D sky130_fd_sc_hd__and4b_1
*I *6647:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6659:B 3.30647e-05
2 *6651:A2 0.000189464
3 *6648:D 0.000146268
4 *6647:X 0.000551855
5 *535:14 0.000667824
6 *535:11 0.000917012
7 *6648:D *555:6 0
8 *6648:D *813:21 6.12656e-05
9 *6648:D *895:57 8.37019e-05
10 *535:11 *902:28 0.000186445
11 *535:14 *555:6 0
12 *535:14 *813:21 1.32509e-05
13 *535:14 *813:34 9.27288e-05
14 *6480:A *535:14 0
15 *6647:B1 *6659:B 6.50727e-05
16 *6647:B1 *535:11 0.000527761
17 *6648:C *6648:D 5.04829e-06
18 *6666:A_N *6651:A2 6.50727e-05
19 *6834:D *535:14 0.000144531
20 *366:26 *535:11 3.98327e-05
21 *367:7 *535:11 1.41976e-05
22 *367:41 *535:11 1.5613e-05
23 *402:8 *535:11 0
*RES
1 *6647:X *535:11 28.735
2 *535:11 *535:14 13.8065
3 *535:14 *6648:D 18.1163
4 *535:14 *6651:A2 18.3548
5 *535:11 *6659:B 9.97254
*END
*D_NET *536 0.000699903
*CONN
*I *6649:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6648:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *6649:A 0.000116695
2 *6648:X 0.000116695
3 *6649:A *6835:CLK 0.000317707
4 *6649:A *895:57 0.000148806
*RES
1 *6648:X *6649:A 23.538
*END
*D_NET *537 0.00208651
*CONN
*I *6655:B I *D sky130_fd_sc_hd__nand2_1
*I *6654:B I *D sky130_fd_sc_hd__or2_1
*I *6652:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6650:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6655:B 8.7599e-05
2 *6654:B 0
3 *6652:A_N 0.000160336
4 *6650:X 0
5 *537:18 0.000340575
6 *537:5 0.000413312
7 *6652:A_N *6650:B 0.000111901
8 *6652:A_N *6652:C 2.99929e-05
9 *6652:A_N *907:13 0.000118166
10 *6652:A_N *1248:12 5.84526e-05
11 *6655:B *6654:A 0.000381471
12 *537:18 *6650:B 5.22654e-06
13 *537:18 *6658:A 1.82832e-05
14 *537:18 *1248:12 6.72817e-05
15 *530:15 *6655:B 0.000151418
16 *530:15 *537:18 2.85274e-05
17 *530:16 *537:18 0
18 *531:5 *6652:A_N 0.000113968
*RES
1 *6650:X *537:5 13.7491
2 *537:5 *6652:A_N 19.7659
3 *537:5 *537:18 11.3473
4 *537:18 *6654:B 9.24915
5 *537:18 *6655:B 14.4094
*END
*D_NET *538 0.000563587
*CONN
*I *6652:C I *D sky130_fd_sc_hd__and3b_1
*I *6651:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6652:C 0.000150082
2 *6651:X 0.000150082
3 *6652:C *6651:A1 0.000158357
4 *6652:C *1248:12 7.50722e-05
5 *6652:A_N *6652:C 2.99929e-05
*RES
1 *6651:X *6652:C 30.7476
*END
*D_NET *539 0.00171257
*CONN
*I *6653:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6652:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6653:A 0.000247906
2 *6652:X 0.000247906
3 *6653:A *6651:A1 0.000292683
4 *6653:A *1246:34 0.000377259
5 *6653:A *1248:12 0.000116971
6 *6650:C *6653:A 0.000395357
7 *6651:B1 *6653:A 3.44886e-05
*RES
1 *6652:X *6653:A 38.2334
*END
*D_NET *540 0.000664297
*CONN
*I *6656:B I *D sky130_fd_sc_hd__and3_1
*I *6654:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6656:B 0.000251972
2 *6654:X 0.000251972
3 *6656:B *6656:A 0.000111326
4 *6656:B *6657:A 5.22654e-06
5 *6656:B *6658:A 0
6 *6656:B *541:9 0
7 *6833:D *6656:B 4.37999e-05
*RES
1 *6654:X *6656:B 34.3133
*END
*D_NET *541 0.00173506
*CONN
*I *6656:C I *D sky130_fd_sc_hd__and3_1
*I *6658:B I *D sky130_fd_sc_hd__xnor2_1
*I *6655:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6656:C 0
2 *6658:B 0.000376747
3 *6655:Y 0.0004016
4 *541:9 0.000778346
5 *6658:B *6656:A 6.50727e-05
6 *541:9 *1066:20 0
7 *541:9 *1247:8 7.86847e-05
8 *6646:A *541:9 3.46062e-05
9 *6656:B *541:9 0
*RES
1 *6655:Y *541:9 26.3276
2 *541:9 *6658:B 15.4101
3 *541:9 *6656:C 9.24915
*END
*D_NET *542 0.000502129
*CONN
*I *6657:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6656:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6657:A 0.000110416
2 *6656:X 0.000110416
3 *6657:A *6656:A 3.9739e-05
4 *6657:A *813:48 0.000118166
5 *6657:A *946:7 0.000118166
6 *6656:B *6657:A 5.22654e-06
*RES
1 *6656:X *6657:A 31.4388
*END
*D_NET *543 0.000893852
*CONN
*I *6659:C I *D sky130_fd_sc_hd__and3_1
*I *6658:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6659:C 0.000265068
2 *6658:Y 0.000265068
3 *6659:C *6658:A 0
4 *6659:C *6833:CLK 0.000137345
5 *6659:C *555:6 2.692e-05
6 *367:41 *6659:C 0.00019945
*RES
1 *6658:Y *6659:C 34.8522
*END
*D_NET *544 0.000624441
*CONN
*I *6660:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6659:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6660:A 0.000190194
2 *6659:X 0.000190194
3 *6660:A *813:34 0
4 *6480:A *6660:A 0.000104731
5 *6601:A *6660:A 5.77352e-05
6 *6834:D *6660:A 0
7 *367:41 *6660:A 8.15868e-05
*RES
1 *6659:X *6660:A 33.4828
*END
*D_NET *545 0.0068315
*CONN
*I *6675:A I *D sky130_fd_sc_hd__xor2_1
*I *6682:C I *D sky130_fd_sc_hd__nand3_1
*I *6678:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6663:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *6683:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6661:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6675:A 7.12937e-05
2 *6682:C 0.000308121
3 *6678:A1 4.97252e-05
4 *6663:B_N 0
5 *6683:A2 0.000358997
6 *6661:X 0.00065911
7 *545:29 0.000754966
8 *545:26 0.000555156
9 *545:19 0.000228512
10 *545:7 0.00115988
11 *6675:A *555:13 0.00011818
12 *6675:A *597:33 0
13 *6675:A *895:57 0
14 *6678:A1 *6678:A0 2.16355e-05
15 *6682:C *6682:A 6.08467e-05
16 *6682:C *6682:B 4.97617e-05
17 *6682:C *548:8 5.56367e-05
18 *6682:C *548:17 0.000196638
19 *6682:C *558:8 2.692e-05
20 *6683:A2 *6000:A1 0.000729664
21 *6683:A2 *6025:A 0.000105636
22 *6683:A2 *6683:A1 0.000472818
23 *6683:A2 *597:33 0
24 *6683:A2 *895:57 0
25 *545:7 *6026:A1 1.00937e-05
26 *545:7 *6026:A2 9.54448e-05
27 *545:7 *6027:B2 0.000603368
28 *545:7 *640:25 5.81185e-06
29 *545:19 *597:33 0
30 *545:19 *895:57 0
31 *545:26 *597:33 0
32 *545:26 *895:57 0
33 *545:29 *6678:A0 5.04829e-06
34 *545:29 *555:13 0.000128242
*RES
1 *6661:X *545:7 24.7327
2 *545:7 *6683:A2 27.9697
3 *545:7 *545:19 3.07775
4 *545:19 *6663:B_N 13.7491
5 *545:19 *545:26 1.832
6 *545:26 *545:29 10.7694
7 *545:29 *6678:A1 9.97254
8 *545:29 *6682:C 26.6478
9 *545:26 *6675:A 16.0286
*END
*D_NET *546 0.00573267
*CONN
*I *6682:B I *D sky130_fd_sc_hd__nand3_1
*I *6663:C I *D sky130_fd_sc_hd__and4bb_1
*I *6683:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6679:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6680:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6662:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6682:B 0.000449079
2 *6663:C 0
3 *6683:A1 6.54203e-05
4 *6679:A1 0.000207459
5 *6680:A1 0.000126761
6 *6662:X 0.000293719
7 *546:34 0.000633477
8 *546:25 0.000345141
9 *546:15 0.000302781
10 *546:7 0.00042048
11 *6679:A1 *6679:A2 8.12397e-05
12 *6679:A1 *6679:B1 6.50586e-05
13 *6679:A1 *597:33 0.000368782
14 *6679:A1 *910:22 9.97706e-05
15 *6679:A1 *910:38 1.09738e-05
16 *6679:A1 *1090:109 0
17 *6682:B *6682:A 6.08467e-05
18 *6682:B *548:17 0
19 *6682:B *558:8 4.50916e-05
20 *6682:B *597:33 0.000388232
21 *6683:A1 *6025:A 0.000423908
22 *546:25 *6679:A2 7.58217e-06
23 *546:25 *558:8 5.33674e-05
24 *546:25 *597:33 0.000217572
25 *546:34 *558:8 0.000113205
26 *546:34 *597:33 0.000430146
27 *6682:C *6682:B 4.97617e-05
28 *6683:A2 *6683:A1 0.000472818
*RES
1 *6662:X *546:7 15.5427
2 *546:7 *6680:A1 11.6364
3 *546:7 *546:15 4.5
4 *546:15 *6679:A1 21.9815
5 *546:15 *546:25 3.90826
6 *546:25 *6683:A1 18.9094
7 *546:25 *546:34 7.64553
8 *546:34 *6663:C 13.7491
9 *546:34 *6682:B 26.279
*END
*D_NET *547 0.00166146
*CONN
*I *6664:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6681:A I *D sky130_fd_sc_hd__nor2_1
*I *6663:X O *D sky130_fd_sc_hd__and4bb_1
*CAP
1 *6664:A 0
2 *6681:A 0.000279156
3 *6663:X 0.000130671
4 *547:10 0.000409827
5 *6681:A *6681:B 2.64419e-05
6 *6681:A *6685:B 0.000423922
7 *6681:A *548:8 6.08467e-05
8 *6681:A *640:25 4.41134e-05
9 *547:10 *6663:A_N 0.000107496
10 *547:10 *548:8 5.54078e-05
11 *547:10 *1090:118 0.000123582
*RES
1 *6663:X *547:10 21.7744
2 *547:10 *6681:A 17.7611
3 *547:10 *6664:A 9.24915
*END
*D_NET *548 0.00452923
*CONN
*I *6665:B I *D sky130_fd_sc_hd__nand2_1
*I *6667:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6669:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6668:C I *D sky130_fd_sc_hd__and3_1
*I *6678:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6664:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6665:B 7.15317e-05
2 *6667:A2 0.000155242
3 *6669:A2 0
4 *6668:C 0
5 *6678:A0 2.28147e-05
6 *6664:X 0.00019822
7 *548:29 0.000250487
8 *548:20 0.000388104
9 *548:17 0.000521841
10 *548:8 0.000378485
11 *6665:B *6000:A1 7.77309e-06
12 *6665:B *6667:B1 2.41274e-06
13 *6665:B *597:33 0
14 *6665:B *640:16 8.62625e-06
15 *6665:B *1312:8 7.77309e-06
16 *6667:A2 *6667:C1 3.01683e-06
17 *6667:A2 *6669:A1 3.31733e-05
18 *6667:A2 *550:7 6.46887e-05
19 *6667:A2 *550:13 5.07314e-05
20 *6667:A2 *593:31 0.000182915
21 *6678:A0 *555:13 6.08467e-05
22 *548:8 *6682:A 0.000216088
23 *548:8 *558:8 0
24 *548:8 *640:25 2.65831e-05
25 *548:8 *1090:118 1.86035e-05
26 *548:8 *1316:8 0.000170592
27 *548:17 *6000:A1 4.37999e-05
28 *548:17 *6682:A 0.000205364
29 *548:17 *597:33 0
30 *548:20 *6667:B1 1.75155e-06
31 *548:20 *6668:A 0.000211478
32 *548:20 *6668:B 9.19886e-06
33 *548:20 *640:17 2.15348e-05
34 *548:20 *640:33 7.02172e-06
35 *548:29 *6669:A1 0.000176675
36 *548:29 *593:31 0.000279289
37 *6604:B *6667:A2 0.000271058
38 *6678:A1 *6678:A0 2.16355e-05
39 *6681:A *548:8 6.08467e-05
40 *6682:B *548:17 0
41 *6682:C *548:8 5.56367e-05
42 *6682:C *548:17 0.000196638
43 *371:8 *6667:A2 3.94365e-05
44 *371:8 *548:29 2.68626e-05
45 *545:29 *6678:A0 5.04829e-06
46 *547:10 *548:8 5.54078e-05
*RES
1 *6664:X *548:8 21.2876
2 *548:8 *6678:A0 14.4725
3 *548:8 *548:17 5.98452
4 *548:17 *548:20 9.20207
5 *548:20 *6668:C 9.24915
6 *548:20 *548:29 9.23876
7 *548:29 *6669:A2 13.7491
8 *548:29 *6667:A2 20.7627
9 *548:17 *6665:B 15.474
*END
*D_NET *549 0.00110257
*CONN
*I *6667:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6665:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6667:B1 0.000278601
2 *6665:Y 0.000278601
3 *6667:B1 *640:17 1.58551e-05
4 *6667:B1 *640:33 6.92705e-05
5 *6667:B1 *1312:6 4.72872e-05
6 *6667:B1 *1312:8 8.99995e-05
7 *6665:B *6667:B1 2.41274e-06
8 *371:8 *6667:B1 0.000318788
9 *548:20 *6667:B1 1.75155e-06
*RES
1 *6665:Y *6667:B1 35.4548
*END
*D_NET *550 0.00439379
*CONN
*I *6667:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6670:C I *D sky130_fd_sc_hd__and3b_1
*I *6672:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6666:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6667:C1 1.93962e-05
2 *6670:C 0
3 *6672:B1_N 0.00034088
4 *6666:X 0.00062985
5 *550:13 0.000632376
6 *550:7 0.000940743
7 *6672:B1_N *6670:A_N 0
8 *6672:B1_N *6670:B 1.79672e-05
9 *6672:B1_N *6672:A1 6.50586e-05
10 *6672:B1_N *6672:A2 0.000370815
11 *6672:B1_N *6673:B1 9.19886e-06
12 *550:7 *6667:A1 6.08467e-05
13 *550:7 *640:5 0.000775032
14 *6604:B *550:7 3.14873e-05
15 *6604:B *550:13 8.22648e-05
16 *6666:A_N *550:7 0.000195134
17 *6667:A2 *6667:C1 3.01683e-06
18 *6667:A2 *550:7 6.46887e-05
19 *6667:A2 *550:13 5.07314e-05
20 *6835:D *550:7 6.50727e-05
21 *6836:D *6672:B1_N 3.92275e-05
*RES
1 *6666:X *550:7 27.1894
2 *550:7 *550:13 6.46234
3 *550:13 *6672:B1_N 27.4839
4 *550:13 *6670:C 9.24915
5 *550:7 *6667:C1 9.82786
*END
*D_NET *551 0.00266174
*CONN
*I *6670:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6672:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6673:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6668:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6670:A_N 9.70374e-05
2 *6672:A2 0.000211646
3 *6673:A2 0.000495237
4 *6668:X 8.50606e-05
5 *551:14 0.000411199
6 *551:5 0.000682814
7 *6670:A_N *6670:B 0.000125695
8 *6670:A_N *593:31 4.90965e-05
9 *6673:A2 *6673:A1 2.65667e-05
10 *551:14 *593:31 4.15008e-05
11 *6672:B1_N *6670:A_N 0
12 *6672:B1_N *6672:A2 0.000370815
13 *6836:D *6673:A2 6.50727e-05
14 *6836:D *551:14 0
*RES
1 *6668:X *551:5 10.5271
2 *551:5 *6673:A2 16.6278
3 *551:5 *551:14 7.1625
4 *551:14 *6672:A2 20.0186
5 *551:14 *6670:A_N 16.8269
*END
*D_NET *552 0.000765745
*CONN
*I *6670:B I *D sky130_fd_sc_hd__and3b_1
*I *6669:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6670:B 0.000225404
2 *6669:X 0.000225404
3 *6670:B *6837:CLK 0
4 *6670:B *593:31 0
5 *6604:B *6670:B 0.000171273
6 *6670:A_N *6670:B 0.000125695
7 *6672:B1_N *6670:B 1.79672e-05
8 *6836:D *6670:B 0
*RES
1 *6669:X *6670:B 32.8239
*END
*D_NET *553 0.000828012
*CONN
*I *6671:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6670:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6671:A 0.000262538
2 *6670:X 0.000262538
3 *6671:A *6673:A1 0
4 *6671:A *6673:B1 0
5 *6671:A *6837:CLK 2.16355e-05
6 *6671:A *593:31 0
7 *6671:A *1313:6 0
8 *6836:D *6671:A 0.000281301
*RES
1 *6670:X *6671:A 34.2062
*END
*D_NET *554 0.000361321
*CONN
*I *6673:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6672:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6673:B1 0.000148106
2 *6672:Y 0.000148106
3 *6673:B1 *6673:A1 0
4 *6673:B1 *904:21 1.25923e-05
5 *6671:A *6673:B1 0
6 *6672:B1_N *6673:B1 9.19886e-06
7 *6837:D *6673:B1 4.3317e-05
8 *354:10 *6673:B1 0
*RES
1 *6672:Y *6673:B1 30.8842
*END
*D_NET *555 0.0113209
*CONN
*I *6675:B I *D sky130_fd_sc_hd__xor2_1
*I *6681:B I *D sky130_fd_sc_hd__nor2_1
*I *6683:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6686:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6678:S I *D sky130_fd_sc_hd__mux2_1
*I *6674:Y O *D sky130_fd_sc_hd__o31ai_4
*CAP
1 *6675:B 0
2 *6681:B 9.79916e-05
3 *6683:A3 0.000185295
4 *6686:B2 0.000260542
5 *6678:S 0
6 *6674:Y 0
7 *555:32 0.000483486
8 *555:21 0.000737117
9 *555:13 0.000596638
10 *555:11 0.000831614
11 *555:6 0.0023495
12 *555:5 0.00183814
13 *6681:B *6685:B 2.61012e-05
14 *6683:A3 *6684:B1 0.000428481
15 *6683:A3 *593:31 6.4628e-05
16 *6686:B2 *6684:A2 2.23124e-05
17 *6686:B2 *6686:A2 0.000198605
18 *6686:B2 *560:13 8.91159e-06
19 *555:6 *6021:A1 0
20 *555:6 *6658:A 0
21 *555:6 *907:20 0.00021776
22 *555:6 *1248:12 0
23 *555:6 *1275:27 0
24 *555:11 *6676:B 0.000364356
25 *555:11 *6677:A 0.000176388
26 *555:13 *558:8 6.50727e-05
27 *555:21 *6684:A2 1.777e-05
28 *555:32 *6684:B1 0.000385296
29 *555:32 *593:31 0.000190336
30 *6480:A *555:6 0
31 *6553:D *555:6 0.000280504
32 *6585:B *555:6 0
33 *6586:B1 *555:6 6.19019e-05
34 *6588:A1 *555:6 8.01837e-05
35 *6588:A2 *555:6 0
36 *6597:A1 *555:6 7.50872e-05
37 *6599:B1 *555:6 0.000148144
38 *6600:C *555:6 7.55264e-05
39 *6648:D *555:6 0
40 *6651:B1 *555:6 4.58259e-05
41 *6659:C *555:6 2.692e-05
42 *6675:A *555:13 0.00011818
43 *6678:A0 *555:13 6.08467e-05
44 *6681:A *6681:B 2.64419e-05
45 *6684:C1 *555:21 0.000115615
46 *6840:D *6686:B2 2.77625e-06
47 *367:41 *555:6 0.000454574
48 *403:59 *555:6 7.38072e-05
49 *535:14 *555:6 0
50 *545:29 *555:13 0.000128242
*RES
1 *6674:Y *555:5 13.7491
2 *555:5 *555:6 52.908
3 *555:6 *555:11 17.4488
4 *555:11 *555:13 9.04245
5 *555:13 *6678:S 9.24915
6 *555:13 *555:21 6.29355
7 *555:21 *6686:B2 16.5072
8 *555:21 *555:32 12.5608
9 *555:32 *6683:A3 20.4627
10 *555:32 *6681:B 16.1605
11 *555:11 *6675:B 9.24915
*END
*D_NET *556 0.000651784
*CONN
*I *6676:B I *D sky130_fd_sc_hd__and2_1
*I *6675:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6676:B 0.000143714
2 *6675:X 0.000143714
3 *555:11 *6676:B 0.000364356
*RES
1 *6675:X *6676:B 23.128
*END
*D_NET *557 0.00039965
*CONN
*I *6677:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6676:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6677:A 0.000111631
2 *6676:X 0.000111631
3 *555:11 *6677:A 0.000176388
*RES
1 *6676:X *6677:A 22.5493
*END
*D_NET *558 0.00245778
*CONN
*I *6680:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6679:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6678:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6680:A2 0
2 *6679:A2 0.00018201
3 *6678:X 0.000428994
4 *558:8 0.000611003
5 *6679:A2 *6679:B1 3.14978e-05
6 *6679:A2 *1090:109 6.28598e-05
7 *6679:A2 *1090:118 0.000172706
8 *558:8 *1090:118 0.000576231
9 *6679:A1 *6679:A2 8.12397e-05
10 *6682:B *558:8 4.50916e-05
11 *6682:C *558:8 2.692e-05
12 *546:25 *6679:A2 7.58217e-06
13 *546:25 *558:8 5.33674e-05
14 *546:34 *558:8 0.000113205
15 *548:8 *558:8 0
16 *555:13 *558:8 6.50727e-05
*RES
1 *6678:X *558:8 28.7621
2 *558:8 *6679:A2 20.0418
3 *558:8 *6680:A2 13.7491
*END
*D_NET *559 0.000673923
*CONN
*I *6680:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6679:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6680:B1 0.000191297
2 *6679:Y 0.000191297
3 *6680:B1 *6679:B1 2.65667e-05
4 *6680:B1 *593:31 0
5 *6680:B1 *1090:109 0.000153225
6 *6680:B1 *1090:118 3.28525e-05
7 *6839:D *6680:B1 7.86847e-05
*RES
1 *6679:Y *6680:B1 33.3757
*END
*D_NET *560 0.00153828
*CONN
*I *6684:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6686:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6681:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6684:A1 0
2 *6686:A2 0.0001973
3 *6681:Y 0.000247126
4 *560:13 0.000444426
5 *6686:A2 *6684:A2 1.02024e-05
6 *6686:A2 *6686:A1 2.65831e-05
7 *6686:A2 *6686:B1 4.6671e-05
8 *560:13 *6684:A2 1.09551e-05
9 *560:13 *6684:B1 7.5512e-05
10 *560:13 *6686:B1 0
11 *6686:B2 *6686:A2 0.000198605
12 *6686:B2 *560:13 8.91159e-06
13 *6840:D *6686:A2 0.000155082
14 *6840:D *560:13 0.000116908
*RES
1 *6681:Y *560:13 24.4695
2 *560:13 *6686:A2 17.9902
3 *560:13 *6684:A1 9.24915
*END
*D_NET *561 0.00332264
*CONN
*I *6684:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6685:B I *D sky130_fd_sc_hd__xnor2_1
*I *6682:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *6684:A2 0.000214704
2 *6685:B 0.000672125
3 *6682:Y 5.60696e-05
4 *561:6 0.000942898
5 *6685:B *593:31 0
6 *6685:B *640:25 0.000306512
7 *561:6 *640:25 0.000174205
8 *6681:A *6685:B 0.000423922
9 *6681:B *6685:B 2.61012e-05
10 *6684:C1 *6684:A2 0.000275256
11 *6686:A2 *6684:A2 1.02024e-05
12 *6686:B2 *6684:A2 2.23124e-05
13 *6840:D *6684:A2 2.14467e-05
14 *371:8 *561:6 0.000148159
15 *555:21 *6684:A2 1.777e-05
16 *560:13 *6684:A2 1.09551e-05
*RES
1 *6682:Y *561:6 16.8269
2 *561:6 *6685:B 31.7126
3 *561:6 *6684:A2 19.4881
*END
*D_NET *562 0.00184469
*CONN
*I *6684:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6683:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6684:B1 0.000418174
2 *6683:X 0.000418174
3 *6684:B1 *593:31 5.68225e-06
4 *6683:A3 *6684:B1 0.000428481
5 *6840:D *6684:B1 0.000113374
6 *555:32 *6684:B1 0.000385296
7 *560:13 *6684:B1 7.5512e-05
*RES
1 *6683:X *6684:B1 41.1317
*END
*D_NET *563 0.000636729
*CONN
*I *6686:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6685:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6686:B1 0.000239896
2 *6685:Y 0.000239896
3 *6686:B1 *6685:A 1.79672e-05
4 *6686:B1 *6686:A1 9.22984e-05
5 *6686:A2 *6686:B1 4.6671e-05
6 *560:13 *6686:B1 0
*RES
1 *6685:Y *6686:B1 33.5392
*END
*D_NET *564 0.0010643
*CONN
*I *6687:B I *D sky130_fd_sc_hd__and2_1
*I *6686:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6687:B 0.00031999
2 *6686:X 0.00031999
3 *6687:B *6685:A 6.81008e-05
4 *6687:B *6686:A1 8.67988e-05
5 *6687:B *6687:A 0.000167076
6 *354:10 *6687:B 0.000102348
*RES
1 *6686:X *6687:B 37.5338
*END
*D_NET *565 0.000278751
*CONN
*I *6688:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6687:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6688:A 4.7014e-05
2 *6687:X 4.7014e-05
3 *6688:A *904:34 5.39463e-05
4 *6688:A *915:17 0.000130777
*RES
1 *6687:X *6688:A 29.7455
*END
*D_NET *566 0.000533315
*CONN
*I *6690:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6689:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6690:B1 9.51223e-05
2 *6689:Y 9.51223e-05
3 *6690:B1 *6689:B1 0.000151741
4 *6690:B1 *6693:B 0.000148159
5 *6690:B1 *1218:35 4.31703e-05
*RES
1 *6689:Y *6690:B1 31.4388
*END
*D_NET *567 0.00297736
*CONN
*I *6693:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6695:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6696:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6691:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6693:A_N 0
2 *6695:A2 0.000361817
3 *6696:A2 4.24784e-05
4 *6691:X 0.000190865
5 *567:14 0.000744947
6 *567:9 0.000531517
7 *6695:A2 *6695:B1_N 0.000453011
8 *6695:A2 *6696:A1 3.62662e-06
9 *6695:A2 *1216:9 4.73037e-06
10 *6696:A2 *6695:B1_N 6.50727e-05
11 *567:9 *6016:A 0.000373061
12 *567:9 *6691:B 3.42931e-05
13 *567:9 *6693:B 9.34396e-06
14 *567:9 *6694:A 6.50586e-05
15 *567:9 *1215:25 0
16 *567:14 *6017:A2 0
17 *567:14 *6017:B1 0
18 *567:14 *6693:B 0
19 *567:14 *659:8 0
20 *567:14 *1219:42 9.75356e-05
21 *567:14 *1227:10 0
*RES
1 *6691:X *567:9 24.5474
2 *567:9 *567:14 17.476
3 *567:14 *6696:A2 9.97254
4 *567:14 *6695:A2 16.3625
5 *567:9 *6693:A_N 9.24915
*END
*D_NET *568 0.000635503
*CONN
*I *6693:C I *D sky130_fd_sc_hd__and3b_1
*I *6692:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6693:C 5.03992e-05
2 *6692:X 5.03992e-05
3 *6693:C *6016:A 0.0001067
4 *6693:C *6122:A 0.000377273
5 *6693:C *739:24 5.07314e-05
*RES
1 *6692:X *6693:C 22.5493
*END
*D_NET *569 0.0015262
*CONN
*I *6694:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6693:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6694:A 0.000470428
2 *6693:X 0.000470428
3 *6694:A *6016:A 0.000484757
4 *6694:A *6124:B2 0
5 *6691:C *6694:A 3.55296e-05
6 *567:9 *6694:A 6.50586e-05
*RES
1 *6693:X *6694:A 36.4302
*END
*D_NET *570 0.00110419
*CONN
*I *6696:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6695:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6696:B1 6.88465e-05
2 *6695:Y 6.88465e-05
3 *6696:B1 *6695:B1_N 0.000663171
4 *6696:B1 *6696:A1 0.000238422
5 *6844:D *6696:B1 6.49003e-05
*RES
1 *6695:Y *6696:B1 24.7918
*END
*D_NET *571 0.00114006
*CONN
*I *6703:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6700:A I *D sky130_fd_sc_hd__and3_1
*I *6697:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6703:C1 0.000155623
2 *6700:A 8.27821e-05
3 *6697:X 0.000250454
4 *571:5 0.000488859
5 *6700:A *1029:8 0.000148144
6 *6703:C1 *6703:A2 1.41976e-05
*RES
1 *6697:X *571:5 14.964
2 *571:5 *6700:A 20.9116
3 *571:5 *6703:C1 12.7456
*END
*D_NET *572 0.000292587
*CONN
*I *6700:B I *D sky130_fd_sc_hd__and3_1
*I *6698:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6700:B 0.000103587
2 *6698:X 0.000103587
3 *6700:B *573:9 0
4 *6700:B *1029:8 7.50722e-05
5 *6700:B *1235:17 1.03403e-05
*RES
1 *6698:X *6700:B 29.6384
*END
*D_NET *573 0.00197759
*CONN
*I *6703:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6702:B I *D sky130_fd_sc_hd__nand2_1
*I *6700:C I *D sky130_fd_sc_hd__and3_1
*I *6699:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6703:A2 0.000128263
2 *6702:B 0.000142708
3 *6700:C 4.389e-05
4 *6699:Y 0.0002301
5 *573:18 0.000334485
6 *573:9 0.000337504
7 *6700:C *6609:A 0.000205909
8 *6700:C *6701:A 1.92793e-05
9 *6702:B *6609:A 0.000120052
10 *6702:B *613:10 0.000146098
11 *6702:B *613:18 1.07248e-05
12 *6702:B *1029:8 0
13 *6703:A2 *6703:A1 4.80635e-06
14 *6703:A2 *613:9 1.31897e-05
15 *573:9 *6609:A 4.66492e-05
16 *573:9 *6701:A 3.6408e-05
17 *573:9 *6860:CLK 6.50586e-05
18 *573:9 *1029:8 0
19 *573:9 *1085:35 0
20 *573:18 *6609:A 7.50722e-05
21 *573:18 *1029:8 0
22 *6700:B *573:9 0
23 *6703:C1 *6703:A2 1.41976e-05
24 *6860:D *573:9 3.20069e-06
*RES
1 *6699:Y *573:9 24.2687
2 *573:9 *6700:C 11.4434
3 *573:9 *573:18 6.332
4 *573:18 *6702:B 18.4879
5 *573:18 *6703:A2 16.7392
*END
*D_NET *574 0.00223205
*CONN
*I *6701:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6700:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6701:A 0.000968742
2 *6700:X 0.000968742
3 *6701:A *5981:A 4.28856e-07
4 *6701:A *6860:CLK 0.000107101
5 *6701:A *602:61 0
6 *6701:A *1085:33 4.11983e-05
7 *6701:A *1085:35 9.01519e-05
8 *6700:C *6701:A 1.92793e-05
9 *573:9 *6701:A 3.6408e-05
*RES
1 *6700:X *6701:A 46.4019
*END
*D_NET *575 0.000478891
*CONN
*I *6703:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6702:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6703:B1 0.000153869
2 *6702:Y 0.000153869
3 *6703:B1 *6609:A 8.52802e-05
4 *6703:B1 *6702:A 1.43983e-05
5 *6703:B1 *613:10 7.14746e-05
6 *6703:B1 *1085:37 0
*RES
1 *6702:Y *6703:B1 30.8842
*END
*D_NET *576 0.000845105
*CONN
*I *6709:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6704:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6709:A_N 0.000256143
2 *6704:X 0.000256143
3 *6709:A_N *6704:B 2.99929e-05
4 *6709:A_N *6704:C 8.92568e-06
5 *6709:A_N *6709:C 0
6 *6709:A_N *577:11 6.50727e-05
7 *6709:A_N *1367:19 0.000228829
*RES
1 *6704:X *6709:A_N 35.0451
*END
*D_NET *577 0.00273158
*CONN
*I *6709:B I *D sky130_fd_sc_hd__and3b_1
*I *6705:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6709:B 0
2 *6705:Y 0.000839077
3 *577:11 0.000839077
4 *577:11 *6704:C 0
5 *577:11 *6709:C 3.92275e-05
6 *577:11 *6718:CLK 0
7 *577:11 *7080:A 0
8 *577:11 *7097:A 0.000160384
9 *577:11 *668:31 0.000158357
10 *577:11 *1076:6 0.000101133
11 *577:11 *1226:9 0
12 *6709:A_N *577:11 6.50727e-05
13 *218:9 *577:11 0.000529249
*RES
1 *6705:Y *577:11 40.9082
2 *577:11 *6709:B 9.24915
*END
*D_NET *578 0.000629049
*CONN
*I *6708:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6706:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6708:A0 0.000297784
2 *6706:X 0.000297784
3 *6708:A0 *6706:A0 0
4 *6708:A0 *6708:S 3.34802e-05
*RES
1 *6706:X *6708:A0 32.4114
*END
*D_NET *579 0.00068109
*CONN
*I *6708:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6707:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6708:A1 0.000340545
2 *6707:X 0.000340545
*RES
1 *6707:X *6708:A1 33.242
*END
*D_NET *580 0.00198609
*CONN
*I *6709:C I *D sky130_fd_sc_hd__and3b_1
*I *6708:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6709:C 0.000856779
2 *6708:X 0.000856779
3 *6709:C *6082:B 0
4 *6709:C *6704:C 0
5 *6709:C *6708:S 1.79807e-05
6 *6709:C *6846:D 3.28331e-05
7 *6709:C *6858:CLK 0
8 *6709:C *7101:A 0
9 *6709:C *597:61 6.50586e-05
10 *6709:C *1092:11 5.23708e-05
11 *6709:C *1226:9 0
12 *6709:C *1367:19 6.50586e-05
13 *6709:A_N *6709:C 0
14 *577:11 *6709:C 3.92275e-05
*RES
1 *6708:X *6709:C 49.5367
*END
*D_NET *581 0.00341102
*CONN
*I *6710:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6709:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6710:A 0
2 *6709:X 0.00117762
3 *581:13 0.00117762
4 *581:13 *7079:A 3.00073e-05
5 *581:13 *7080:A 2.01595e-05
6 *581:13 *597:50 0.000587456
7 *581:13 *1067:26 0.00015321
8 *581:13 *1220:33 8.0158e-05
9 *581:13 *1366:11 0.000113115
10 *581:13 *1366:17 7.16674e-05
*RES
1 *6709:X *581:13 41.3622
2 *581:13 *6710:A 9.24915
*END
*D_NET *582 0.00282392
*CONN
*I *5959:A I *D sky130_fd_sc_hd__buf_6
*I *5841:A I *D sky130_fd_sc_hd__buf_8
*I *5947:A I *D sky130_fd_sc_hd__buf_4
*I *5965:A I *D sky130_fd_sc_hd__buf_6
*I *5953:A I *D sky130_fd_sc_hd__buf_6
*I *5840:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5959:A 2.60291e-05
2 *5841:A 0
3 *5947:A 0.000134799
4 *5965:A 9.2023e-05
5 *5953:A 3.2628e-05
6 *5840:X 0.000247472
7 *582:23 0.000339865
8 *582:16 0.00025813
9 *582:12 0.000261837
10 *582:9 0.000422879
11 *5947:A *605:33 0.000313495
12 *5959:A *602:48 1.19856e-05
13 *5965:A *605:8 0.00022778
14 *5965:A *606:10 0.000231363
15 *582:9 *602:48 0.000113289
16 *582:12 *588:8 0
17 *582:12 *606:10 5.66515e-05
18 *582:16 *606:10 5.3697e-05
*RES
1 *5840:X *582:9 25.102
2 *582:9 *582:12 8.40826
3 *582:12 *582:16 7.57775
4 *582:16 *5953:A 9.97254
5 *582:16 *582:23 2.38721
6 *582:23 *5965:A 22.8808
7 *582:23 *5947:A 13.3002
8 *582:12 *5841:A 13.7491
9 *582:9 *5959:A 9.97254
*END
*D_NET *583 0.0719306
*CONN
*I *5971:A I *D sky130_fd_sc_hd__inv_2
*I *5973:A I *D sky130_fd_sc_hd__inv_2
*I *5842:A I *D sky130_fd_sc_hd__clkbuf_8
*I *5972:A I *D sky130_fd_sc_hd__inv_2
*I *5848:A I *D sky130_fd_sc_hd__buf_8
*I *5841:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5971:A 0
2 *5973:A 0.00159422
3 *5842:A 0
4 *5972:A 9.75037e-05
5 *5848:A 4.96977e-05
6 *5841:X 3.25536e-05
7 *583:73 0.00145373
8 *583:69 0.00297156
9 *583:52 0.00311204
10 *583:50 0.00244549
11 *583:49 0.00244549
12 *583:35 0.00815226
13 *583:33 0.00880835
14 *583:22 0.000980189
15 *583:17 0.000201133
16 *583:14 0.00506048
17 *583:13 0.00610934
18 *583:5 0.00110564
19 *5848:A *594:53 0.000171273
20 *5848:A *599:69 4.26431e-05
21 *5973:A *591:15 0.000131218
22 *5973:A *601:65 0.00189804
23 *5973:A *602:30 0.000159297
24 *583:14 *585:8 0
25 *583:14 *602:8 0
26 *583:14 *602:20 0
27 *583:14 *602:22 0
28 *583:14 *1138:6 0
29 *583:17 *595:27 0.000277502
30 *583:17 *1097:44 0.00027329
31 *583:22 *585:6 1.32509e-05
32 *583:22 *585:8 3.43231e-05
33 *583:33 *5963:A 6.08467e-05
34 *583:33 *7034:TE_B 0.000175485
35 *583:33 *586:11 0.000489932
36 *583:33 *586:19 0.000118166
37 *583:33 *594:53 0.00185138
38 *583:33 *595:33 0.000383717
39 *583:33 *599:69 0.000197148
40 *583:33 *599:71 0.000685639
41 *583:35 *595:33 0.00175279
42 *583:35 *595:35 0.0102279
43 *583:50 *7006:A 0.000130777
44 *583:50 *7049:A 0
45 *583:50 *588:8 0.00260584
46 *583:50 *605:8 0.00239259
47 *583:50 *606:10 3.21568e-05
48 *583:69 *6985:A 7.72722e-05
49 *583:69 *6985:TE_B 8.62625e-06
50 *583:69 *7022:TE_B 6.50586e-05
51 *583:69 *591:14 3.25371e-05
52 *583:69 *601:65 0.00056402
53 *583:69 *602:30 0.000146733
54 *583:73 *6964:A 6.08467e-05
55 *583:73 *6964:TE_B 0.000224395
56 *583:73 *6973:A 0.0002817
57 *583:73 *7002:A 0.000543039
58 *583:73 *7035:A 0.000489932
59 *583:73 *601:65 0.000278889
60 *583:73 *601:73 0.000432726
*RES
1 *5841:X *583:5 9.97254
2 *583:5 *583:13 29.6742
3 *583:13 *583:14 125.992
4 *583:14 *583:17 7.44181
5 *583:17 *583:22 13.3235
6 *583:22 *5848:A 11.6605
7 *583:22 *583:33 36.7967
8 *583:33 *583:35 148.248
9 *583:35 *5972:A 20.4964
10 *583:17 *5842:A 9.24915
11 *583:5 *583:49 4.5
12 *583:49 *583:50 98.1705
13 *583:50 *583:52 4.5
14 *583:52 *5973:A 37.9921
15 *583:52 *583:69 34.625
16 *583:69 *583:73 43.7293
17 *583:73 *5971:A 9.24915
*END
*D_NET *584 0.0456527
*CONN
*I *5844:A I *D sky130_fd_sc_hd__inv_2
*I *5847:A I *D sky130_fd_sc_hd__inv_2
*I *5845:A I *D sky130_fd_sc_hd__inv_2
*I *5843:A I *D sky130_fd_sc_hd__inv_2
*I *5846:A I *D sky130_fd_sc_hd__inv_2
*I *5842:X O *D sky130_fd_sc_hd__clkbuf_8
*CAP
1 *5844:A 4.00261e-05
2 *5847:A 0
3 *5845:A 0.000580543
4 *5843:A 0
5 *5846:A 0
6 *5842:X 0
7 *584:62 0.000809451
8 *584:60 0.0015364
9 *584:49 0.00314533
10 *584:43 0.00236375
11 *584:33 0.00191704
12 *584:32 0.00139113
13 *584:30 0.0014838
14 *584:24 0.0019955
15 *584:21 0.00418746
16 *584:19 0.00392717
17 *584:11 0.00192436
18 *584:8 0.00218582
19 *584:4 0.000472839
20 *5845:A *588:46 0.000145188
21 *5845:A *591:78 0.000182601
22 *5845:A *595:58 0.00119478
23 *5845:A *595:62 0.000145584
24 *5845:A *596:51 0.000823418
25 *584:8 *585:8 0
26 *584:8 *590:14 0
27 *584:19 *595:27 0.000594019
28 *584:21 *595:27 0.00193028
29 *584:21 *597:32 0.000480441
30 *584:30 *1116:8 0.000149643
31 *584:33 *585:40 0.00270173
32 *584:33 *588:25 0.000642796
33 *584:33 *601:43 0.000220809
34 *584:43 *7021:A 0.000148144
35 *584:43 *7021:TE_B 0.00043038
36 *584:43 *600:50 1.09551e-05
37 *584:43 *600:54 0.00147318
38 *584:43 *601:43 0
39 *584:49 *600:50 0.00274776
40 *584:49 *600:54 1.67988e-05
41 *584:60 *5852:A 0
42 *584:60 *5906:A 3.31736e-05
43 *584:60 *5925:A 0
44 *584:60 *6983:A 0
45 *584:60 *6983:TE_B 0.000101133
46 *584:60 *6993:TE_B 0
47 *584:60 *7000:A 0
48 *584:60 *7000:TE_B 0.000125352
49 *584:60 *7028:A 0
50 *584:60 *7028:TE_B 9.70894e-05
51 *584:60 *595:56 0.000216856
52 *584:60 *595:58 0.000719888
53 *584:60 *596:49 0.00032493
54 *584:62 *6970:TE_B 0.000101133
55 *584:62 *7029:TE_B 4.61732e-05
56 *584:62 *595:58 0.000740967
57 *584:62 *596:49 7.35784e-05
58 *584:62 *596:51 0.000141058
59 io_out[6] *584:60 0
60 *62:5 *584:30 0.000932254
*RES
1 *5842:X *584:4 9.24915
2 *584:4 *584:8 14.9845
3 *584:8 *584:11 44.0066
4 *584:11 *5846:A 9.24915
5 *584:4 *584:19 6.84815
6 *584:19 *584:21 58.4022
7 *584:21 *584:24 15.0523
8 *584:24 *584:30 49.5443
9 *584:30 *584:32 4.5
10 *584:32 *584:33 49.5285
11 *584:33 *584:43 28.6717
12 *584:43 *584:49 35.0124
13 *584:49 *5843:A 9.24915
14 *584:49 *584:60 46.6143
15 *584:60 *584:62 12.8362
16 *584:62 *5845:A 44.2335
17 *584:62 *5847:A 13.7491
18 *584:24 *5844:A 14.7506
*END
*D_NET *585 0.0796762
*CONN
*I *5851:A I *D sky130_fd_sc_hd__inv_2
*I *5852:A I *D sky130_fd_sc_hd__inv_2
*I *5853:A I *D sky130_fd_sc_hd__inv_2
*I *5849:A I *D sky130_fd_sc_hd__inv_2
*I *5850:A I *D sky130_fd_sc_hd__inv_2
*I *5848:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5851:A 0.000133525
2 *5852:A 0.000383035
3 *5853:A 0.000157893
4 *5849:A 3.5247e-05
5 *5850:A 0.00084096
6 *5848:X 3.58465e-05
7 *585:51 0.00234071
8 *585:49 0.00220859
9 *585:47 0.00203838
10 *585:45 0.00211666
11 *585:40 0.00345817
12 *585:39 0.00297109
13 *585:37 0.000350786
14 *585:34 0.00218539
15 *585:32 0.00276358
16 *585:11 0.0020303
17 *585:8 0.00985313
18 *585:6 0.00953034
19 *5850:A *6969:TE_B 6.65668e-05
20 *5850:A *599:15 0.000118144
21 *5852:A *6993:A 2.41595e-05
22 *5852:A *6993:TE_B 0.000101148
23 *585:8 *601:64 0.000115889
24 *585:8 *605:14 0
25 *585:8 *1037:8 0.00143888
26 *585:11 *599:15 0.000720657
27 *585:32 *586:11 0.000115934
28 *585:32 *599:69 0.000645042
29 *585:32 *599:71 0.000248319
30 *585:34 *591:7 6.50727e-05
31 *585:34 *591:29 0.00543676
32 *585:34 *591:49 0.000326398
33 *585:34 *597:32 0.000353628
34 *585:34 *599:71 0.0106959
35 *585:45 *7000:TE_B 0
36 *585:45 *600:45 0.000119234
37 *585:47 *6310:B1 0.00038209
38 *585:47 *6979:TE_B 0.000127194
39 *585:47 *588:46 0.000851165
40 *585:47 *589:42 0.00262888
41 *585:47 *591:78 0.000459092
42 *585:47 *595:56 0
43 *585:47 *595:58 0
44 *585:47 *600:45 0.00220417
45 *585:51 *6147:A 0.000338555
46 *585:51 *7012:TE_B 0
47 *585:51 *7017:A 3.25751e-05
48 *585:51 *7017:TE_B 4.27003e-05
49 *585:51 *7038:A 0.000227235
50 *585:51 *7044:A 0.000127194
51 *585:51 *7052:TE_B 0.000570102
52 *585:51 *588:46 0.000261098
53 *585:51 *588:52 0.000146098
54 *585:51 *588:54 0.00194565
55 *585:51 *589:42 0.000561063
56 *585:51 *591:78 0.000249674
57 *585:51 *600:45 0.00084444
58 io_oeb[20] *585:51 0.00016934
59 io_oeb[22] *5850:A 1.00114e-05
60 io_oeb[8] *5850:A 0
61 io_oeb[9] *585:8 0.0001454
62 la1_data_out[0] *585:11 9.91731e-05
63 la1_data_out[24] *585:51 0
64 la1_data_out[30] *585:51 9.69453e-05
65 la1_data_out[6] *585:51 4.41388e-05
66 *83:8 *585:51 8.50308e-05
67 *87:8 *585:51 9.5964e-05
68 *112:11 *5852:A 5.75687e-05
69 *112:11 *585:45 0
70 *112:11 *585:47 8.60138e-05
71 *177:11 *5850:A 1.2954e-05
72 *583:14 *585:8 0
73 *583:22 *585:6 1.32509e-05
74 *583:22 *585:8 3.43231e-05
75 *584:8 *585:8 0
76 *584:33 *585:40 0.00270173
77 *584:60 *5852:A 0
*RES
1 *5848:X *585:6 14.7506
2 *585:6 *585:8 230.636
3 *585:8 *585:11 24.0799
4 *585:11 *5850:A 31.7573
5 *585:11 *5849:A 10.2378
6 *585:6 *585:32 19.1126
7 *585:32 *585:34 112.753
8 *585:34 *585:37 9.23876
9 *585:37 *585:39 4.5
10 *585:39 *585:40 74.4857
11 *585:40 *585:45 7.3457
12 *585:45 *585:47 92.8699
13 *585:47 *585:49 0.732798
14 *585:49 *585:51 88.6563
15 *585:51 *5853:A 16.691
16 *585:40 *5852:A 27.5963
17 *585:37 *5851:A 16.8269
*END
*D_NET *586 0.00349951
*CONN
*I *5861:A I *D sky130_fd_sc_hd__buf_8
*I *5873:A I *D sky130_fd_sc_hd__buf_6
*I *5855:A I *D sky130_fd_sc_hd__buf_8
*I *5867:A I *D sky130_fd_sc_hd__buf_8
*I *5879:A I *D sky130_fd_sc_hd__clkbuf_16
*I *5854:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5861:A 0
2 *5873:A 0.000100012
3 *5855:A 1.26553e-05
4 *5867:A 0.000154451
5 *5879:A 0
6 *5854:X 8.77651e-05
7 *586:42 0.00020466
8 *586:20 0.000300791
9 *586:19 0.000250283
10 *586:11 9.97151e-05
11 *5855:A *587:7 2.65667e-05
12 *5855:A *595:27 6.50586e-05
13 *5867:A *589:56 0.000128001
14 *5867:A *593:20 6.50586e-05
15 *5873:A *589:56 0.000147308
16 *586:11 *590:14 5.41377e-05
17 *586:11 *599:71 0.00060164
18 *586:19 *599:71 0.000102003
19 *586:20 *589:56 0.000209895
20 *586:42 *589:56 0.000165481
21 *583:33 *586:11 0.000489932
22 *583:33 *586:19 0.000118166
23 *585:32 *586:11 0.000115934
*RES
1 *5854:X *586:11 25.6889
2 *586:11 *5879:A 9.24915
3 *586:11 *586:19 5.778
4 *586:19 *586:20 3.90826
5 *586:20 *5867:A 17.7138
6 *586:20 *5855:A 14.4725
7 *586:19 *586:42 7.57775
8 *586:42 *5873:A 12.7456
9 *586:42 *5861:A 9.24915
*END
*D_NET *587 0.0662473
*CONN
*I *5858:A I *D sky130_fd_sc_hd__inv_2
*I *5859:A I *D sky130_fd_sc_hd__inv_2
*I *5857:A I *D sky130_fd_sc_hd__inv_2
*I *5856:A I *D sky130_fd_sc_hd__inv_2
*I *5860:A I *D sky130_fd_sc_hd__inv_2
*I *5855:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5858:A 0.000156638
2 *5859:A 0.00093551
3 *5857:A 2.06324e-05
4 *5856:A 2.06324e-05
5 *5860:A 0.000226538
6 *5855:X 2.06324e-05
7 *587:40 0.00185766
8 *587:34 0.0052554
9 *587:32 0.00573498
10 *587:22 0.00215019
11 *587:10 0.00833556
12 *587:9 0.00751719
13 *587:7 0.00204969
14 *587:5 0.00207032
15 *5858:A *6989:TE_B 6.92705e-05
16 *5858:A *7026:A 0
17 *5858:A *601:10 0
18 *5859:A *6966:A 0.000430366
19 *5859:A *588:54 0.00017538
20 *5859:A *591:78 0.000114156
21 *5859:A *591:96 0.000109878
22 *5860:A *6967:A 0
23 *5860:A *6977:TE_B 0
24 *5860:A *6987:A 0
25 *5860:A *6987:TE_B 0.000101133
26 *587:7 *595:27 0.000264025
27 *587:7 *1097:11 5.73392e-05
28 *587:7 *1097:44 0.00386441
29 *587:10 *5872:A 0.000144115
30 *587:10 *5913:A 0.00016169
31 *587:10 *6965:TE_B 5.53934e-05
32 *587:10 *6982:A 0
33 *587:10 *6982:TE_B 0.000296707
34 *587:10 *6987:A 0
35 *587:10 *6997:A 0
36 *587:10 *6997:TE_B 0
37 *587:10 *7001:A 5.14807e-05
38 *587:10 *7003:A 1.85578e-05
39 *587:10 *7003:TE_B 0.000101118
40 *587:10 *7015:TE_B 0
41 *587:10 *7020:TE_B 0
42 *587:10 *7024:A 0
43 *587:10 *7024:TE_B 4.94526e-05
44 *587:10 *7025:A 0
45 *587:10 *7025:TE_B 0
46 *587:10 *7037:A 0
47 *587:10 *7037:TE_B 0.000119538
48 *587:10 *7042:A 0
49 *587:10 *7042:TE_B 0.000120681
50 *587:10 *7068:A 0
51 *587:10 *7069:A 0
52 *587:10 *7069:TE_B 0
53 *587:10 *590:22 0.000628389
54 *587:10 *601:10 0
55 *587:10 *606:33 0.00508751
56 *587:22 *7046:A 0.000133946
57 *587:32 *6991:TE_B 2.65667e-05
58 *587:32 *594:35 0.000361361
59 *587:32 *601:64 0.00190026
60 *587:32 *602:30 0.000117868
61 *587:34 *6973:TE_B 6.50727e-05
62 *587:34 *7022:A 6.50727e-05
63 *587:34 *594:35 0.00104913
64 *587:34 *594:37 0.0114708
65 *587:34 *602:30 0.000478723
66 *587:40 *5930:A 0.000123597
67 *587:40 *7033:TE_B 0
68 *587:40 *591:96 0.000333079
69 *587:40 *601:73 6.50727e-05
70 io_oeb[14] *587:10 0
71 io_oeb[1] *587:40 0
72 io_oeb[5] *587:10 0
73 io_out[13] *587:40 0.000650989
74 io_out[18] *5859:A 0
75 io_out[21] *587:10 0
76 io_out[26] *587:10 0
77 io_out[29] *5859:A 0.000534345
78 io_out[31] *587:10 0
79 io_out[3] *587:10 0
80 io_out[9] *587:10 0
81 la1_data_out[20] *587:10 0
82 *5855:A *587:7 2.65667e-05
83 *76:11 *587:22 0.0004727
*RES
1 *5855:X *587:5 9.82786
2 *587:5 *587:7 59.5114
3 *587:7 *587:9 4.5
4 *587:9 *587:10 223.992
5 *587:10 *5860:A 19.7337
6 *587:10 *587:22 23.5494
7 *587:22 *5856:A 9.82786
8 *587:22 *587:32 43.1747
9 *587:32 *587:34 145.198
10 *587:34 *587:40 38.4523
11 *587:40 *5857:A 9.82786
12 *587:40 *5859:A 40.3598
13 *587:9 *5858:A 17.7138
*END
*D_NET *588 0.0704445
*CONN
*I *5865:A I *D sky130_fd_sc_hd__inv_2
*I *5866:A I *D sky130_fd_sc_hd__inv_2
*I *5862:A I *D sky130_fd_sc_hd__inv_2
*I *5863:A I *D sky130_fd_sc_hd__inv_2
*I *5864:A I *D sky130_fd_sc_hd__inv_2
*I *5861:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5865:A 0
2 *5866:A 5.98304e-05
3 *5862:A 0.000120014
4 *5863:A 0.000357181
5 *5864:A 6.89714e-05
6 *5861:X 3.80166e-05
7 *588:54 0.00229407
8 *588:52 0.00216521
9 *588:46 0.00284687
10 *588:45 0.00273857
11 *588:43 0.00272399
12 *588:37 0.0038717
13 *588:33 0.00247302
14 *588:25 0.00168123
15 *588:21 0.00393627
16 *588:8 0.00649624
17 *588:7 0.00642726
18 *588:5 0.00355853
19 *5863:A *6966:TE_B 1.43848e-05
20 *5864:A *6985:A 6.92705e-05
21 *5864:A *591:15 0.000213914
22 *5864:A *601:65 0.000386928
23 *588:8 *7006:A 0.000127164
24 *588:8 *7006:TE_B 5.88662e-05
25 *588:8 *7049:A 0
26 *588:8 *589:8 0
27 *588:8 *589:56 0
28 *588:8 *591:8 0.00370341
29 *588:8 *591:14 0.0011898
30 *588:8 *593:23 0.000460821
31 *588:8 *594:56 0
32 *588:8 *606:10 0
33 *588:21 *5884:A 0.000277502
34 *588:21 *5887:A 6.50727e-05
35 *588:21 *5903:A 0.000113968
36 *588:21 *6976:TE_B 1.31657e-05
37 *588:21 *7030:A 8.04742e-05
38 *588:21 *7055:TE_B 7.97098e-06
39 *588:21 *7056:TE_B 1.31657e-05
40 *588:33 *6573:A 0
41 *588:33 *1273:6 0
42 *588:33 *1296:7 0
43 *588:37 *6233:C 0.000753223
44 *588:37 *6233:D_N 5.99691e-05
45 *588:37 *6243:B 0.000171288
46 *588:37 *819:8 2.65831e-05
47 *588:37 *1296:7 0.0002817
48 *588:37 *1296:18 5.5116e-05
49 *588:43 *6323:B 0.000521446
50 *588:43 *6323:C 2.41483e-05
51 *588:43 *6323:D 0.000123387
52 *588:43 *6328:B1 2.65831e-05
53 *588:43 *6330:B 9.80242e-07
54 *588:43 *6331:A 0.000147308
55 *588:43 *889:9 0.000113844
56 *588:46 *5882:A 3.59934e-05
57 *588:46 *5952:A 0.000391283
58 *588:46 *6311:B 0.000372364
59 *588:46 *7017:TE_B 0.000101133
60 *588:46 *589:42 0.00389312
61 *588:46 *591:66 3.88358e-05
62 *588:46 *591:78 0.000117093
63 *588:46 *595:58 0.00119242
64 *588:46 *595:62 6.13961e-05
65 *588:46 *596:51 0
66 *588:46 *596:55 0
67 *588:46 *600:32 0.000113953
68 *588:46 *600:44 9.28327e-05
69 *588:46 *604:60 0.00171364
70 *588:52 *7052:TE_B 5.04829e-06
71 *588:52 *1191:13 3.99086e-06
72 *588:54 *7065:A 0
73 *588:54 *7065:TE_B 0
74 *588:54 *591:78 0.000704457
75 io_oeb[20] *588:52 7.92757e-06
76 io_out[18] *588:54 0.00202487
77 io_out[29] *588:54 6.21908e-05
78 io_out[33] *588:54 7.4794e-05
79 la1_data_out[30] *588:54 8.92789e-06
80 *5845:A *588:46 0.000145188
81 *5859:A *588:54 0.00017538
82 *6574:A *588:33 0.00048732
83 *6739:D *588:33 1.87611e-05
84 *6763:D *588:43 6.91561e-06
85 *87:8 *588:46 0.000811151
86 *87:8 *588:52 0.000149643
87 *87:8 *588:54 0.000222834
88 *582:12 *588:8 0
89 *583:50 *588:8 0.00260584
90 *584:33 *588:25 0.000642796
91 *585:47 *588:46 0.000851165
92 *585:51 *588:46 0.000261098
93 *585:51 *588:52 0.000146098
94 *585:51 *588:54 0.00194565
*RES
1 *5861:X *588:5 9.97254
2 *588:5 *588:7 4.5
3 *588:7 *588:8 231.882
4 *588:8 *5864:A 19.1023
5 *588:5 *588:21 79.7544
6 *588:21 *588:25 12.6715
7 *588:25 *588:33 39.9731
8 *588:33 *588:37 34.0117
9 *588:37 *588:43 45.4172
10 *588:43 *588:45 4.5
11 *588:45 *588:46 130.56
12 *588:46 *588:52 12.9405
13 *588:52 *588:54 79.8994
14 *588:54 *5863:A 21.6824
15 *588:52 *5862:A 16.1364
16 *588:43 *5866:A 10.5271
17 *588:25 *5865:A 9.24915
*END
*D_NET *589 0.0730707
*CONN
*I *5870:A I *D sky130_fd_sc_hd__inv_2
*I *5868:A I *D sky130_fd_sc_hd__inv_2
*I *5872:A I *D sky130_fd_sc_hd__inv_2
*I *5869:A I *D sky130_fd_sc_hd__inv_2
*I *5871:A I *D sky130_fd_sc_hd__inv_2
*I *5867:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5870:A 0.000142921
2 *5868:A 0
3 *5872:A 0.000307313
4 *5869:A 0.000102696
5 *5871:A 0
6 *5867:X 0
7 *589:64 0.000966971
8 *589:61 0.00531126
9 *589:60 0.00559499
10 *589:56 0.00260015
11 *589:42 0.00113082
12 *589:41 0.00106507
13 *589:33 0.000479207
14 *589:31 0.00168257
15 *589:15 0.00732698
16 *589:14 0.00608668
17 *589:12 0.00285144
18 *589:8 0.00433359
19 *589:5 0.00328184
20 *5870:A *6980:TE_B 2.85139e-05
21 *5872:A *6965:TE_B 0.000127179
22 *5872:A *7068:A 0
23 *5872:A *606:16 0
24 *589:12 *6433:B 1.01134e-05
25 *589:12 *6466:A 1.84293e-05
26 *589:12 *1254:5 3.24705e-06
27 *589:15 *6273:A 0.000517249
28 *589:15 *6273:B 2.82583e-05
29 *589:15 *6279:A2 0.000122727
30 *589:15 *6285:A2 0.000313495
31 *589:15 *6549:A1 9.14669e-05
32 *589:15 *6549:B1 0.000100705
33 *589:15 *7075:A 0.00278724
34 *589:15 *7076:A 0.000195893
35 *589:15 *856:9 0.000326017
36 *589:15 *1267:27 1.92172e-05
37 *589:31 *6285:A1 0.000308618
38 *589:31 *6286:A_N 0.000207266
39 *589:31 *6286:B 0.000304802
40 *589:31 *6286:C 7.92715e-05
41 *589:31 *6288:D 1.65872e-05
42 *589:31 *6290:A2 0.000224366
43 *589:31 *6295:B 0.000319954
44 *589:31 *6295:C 3.79145e-06
45 *589:31 *6299:A1 2.41483e-05
46 *589:31 *6299:A2 0.000122378
47 *589:31 *6299:B1_N 0.000527905
48 *589:31 *6300:B1 1.41291e-05
49 *589:31 *7084:A 0.000101118
50 *589:31 *869:21 6.50954e-05
51 *589:42 *591:78 0.00048808
52 *589:56 *5876:A 0.000127418
53 *589:56 *594:56 0
54 *589:61 *5889:A 0
55 *589:61 *5892:A 0
56 *589:61 *5904:A 0
57 *589:61 *5908:A 0.000229821
58 *589:61 *7009:A 5.88662e-05
59 *589:61 *592:16 0
60 *589:61 *594:6 7.58217e-06
61 *589:61 *594:10 0.000257558
62 *589:61 *596:6 0.000251538
63 *589:61 *596:10 0.00033544
64 *589:61 *596:12 0.00858721
65 *589:61 *597:6 0
66 *589:61 *598:18 0
67 *589:61 *599:8 0.00179891
68 la1_data_out[18] *5870:A 5.96936e-05
69 la1_data_out[18] *589:61 2.71397e-05
70 la1_data_out[20] *5872:A 8.91699e-06
71 la1_data_out[3] *5872:A 9.4089e-05
72 *5867:A *589:56 0.000128001
73 *5873:A *589:56 0.000147308
74 *6487:A2 *589:15 0.00012966
75 *6487:B1 *589:15 0.000277488
76 *6488:B *589:12 2.652e-05
77 *6535:A3 *589:15 0.000683015
78 *6747:D *589:15 4.33655e-05
79 *6799:D *589:12 0.000335791
80 *6810:D *589:15 4.64935e-05
81 *63:13 *5870:A 7.48633e-05
82 *386:8 *589:12 2.65667e-05
83 *418:7 *589:15 0.000171288
84 *419:14 *589:15 8.75674e-05
85 *441:13 *589:15 7.6719e-06
86 *443:20 *589:15 0.000676571
87 *585:47 *589:42 0.00262888
88 *585:51 *589:42 0.000561063
89 *586:20 *589:56 0.000209895
90 *586:42 *589:56 0.000165481
91 *587:10 *5872:A 0.000144115
92 *588:8 *589:8 0
93 *588:8 *589:56 0
94 *588:46 *589:42 0.00389312
*RES
1 *5867:X *589:5 13.7491
2 *589:5 *589:8 42.0437
3 *589:8 *589:12 46.5716
4 *589:12 *589:14 4.5
5 *589:14 *589:15 103.325
6 *589:15 *589:31 45.9288
7 *589:31 *589:33 9.87436
8 *589:33 *5871:A 9.24915
9 *589:33 *589:41 5.2234
10 *589:41 *589:42 66.6113
11 *589:42 *5869:A 15.5817
12 *589:5 *589:56 48.1048
13 *589:56 *589:60 16.0382
14 *589:60 *589:61 190.356
15 *589:61 *589:64 19.0885
16 *589:64 *5872:A 28.8014
17 *589:64 *5868:A 9.24915
18 *589:60 *5870:A 18.2442
*END
*D_NET *590 0.0439842
*CONN
*I *5878:A I *D sky130_fd_sc_hd__inv_2
*I *5875:A I *D sky130_fd_sc_hd__inv_2
*I *5877:A I *D sky130_fd_sc_hd__inv_2
*I *5874:A I *D sky130_fd_sc_hd__inv_2
*I *5876:A I *D sky130_fd_sc_hd__inv_2
*I *5873:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *5878:A 0
2 *5875:A 0
3 *5877:A 0
4 *5874:A 0
5 *5876:A 0.000210937
6 *5873:X 0
7 *590:39 0.00415685
8 *590:37 0.00417749
9 *590:35 0.00595493
10 *590:34 0.0060474
11 *590:30 0.00251833
12 *590:29 0.00289765
13 *590:22 0.00121342
14 *590:17 0.00299331
15 *590:16 0.0022723
16 *590:14 0.004366
17 *590:13 0.004366
18 *590:4 0.000210937
19 *590:14 *1097:44 4.41664e-05
20 *590:17 *7064:TE_B 0.000223881
21 *590:22 *7013:A 4.84618e-05
22 *590:22 *7067:A 0
23 *590:22 *7067:TE_B 0.000127179
24 *590:30 *593:8 0
25 *590:30 *606:14 0
26 *590:30 *606:16 0
27 *590:34 *593:8 0
28 *590:34 *606:16 0
29 *590:35 *6185:C 0.000413238
30 *590:35 *6200:A1 0.000118166
31 *590:35 *6201:B 0.000117333
32 *590:35 *6339:A 6.08467e-05
33 *590:35 *783:7 0.000460316
34 *590:35 *783:34 9.16621e-05
35 *590:35 *1319:7 2.20702e-05
36 *590:39 *7065:TE_B 4.26566e-05
37 *6729:D *590:35 1.87611e-05
38 *584:8 *590:14 0
39 *586:11 *590:14 5.41377e-05
40 *587:10 *590:22 0.000628389
41 *589:56 *5876:A 0.000127418
*RES
1 *5873:X *590:4 9.24915
2 *590:4 *5876:A 14.9881
3 *590:4 *590:13 4.5
4 *590:13 *590:14 108.967
5 *590:14 *590:16 4.5
6 *590:16 *590:17 53.4107
7 *590:17 *590:22 32.8404
8 *590:22 *5874:A 9.24915
9 *590:22 *590:29 15.7609
10 *590:29 *590:30 59.9673
11 *590:30 *590:34 7.1625
12 *590:34 *590:35 134.106
13 *590:35 *590:37 0.578717
14 *590:37 *590:39 100.275
15 *590:39 *5877:A 9.24915
16 *590:30 *5875:A 13.7491
17 *590:17 *5878:A 9.24915
*END
*D_NET *591 0.0945989
*CONN
*I *5882:A I *D sky130_fd_sc_hd__inv_2
*I *5880:A I *D sky130_fd_sc_hd__inv_2
*I *5883:A I *D sky130_fd_sc_hd__inv_2
*I *5884:A I *D sky130_fd_sc_hd__inv_2
*I *5881:A I *D sky130_fd_sc_hd__inv_2
*I *5879:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *5882:A 1.05114e-05
2 *5880:A 9.51028e-05
3 *5883:A 9.96452e-05
4 *5884:A 0.000258173
5 *5881:A 0.00014822
6 *5879:X 0
7 *591:96 0.00190721
8 *591:78 0.0124244
9 *591:66 0.0120019
10 *591:59 0.00431503
11 *591:53 0.00469302
12 *591:49 0.00358095
13 *591:29 0.00506736
14 *591:15 0.00221531
15 *591:14 0.00272559
16 *591:8 0.00711686
17 *591:7 0.00650024
18 *591:4 0.00312686
19 *5880:A *7060:A 0
20 *5881:A *6967:A 0.000228593
21 *5881:A *6967:TE_B 6.75138e-05
22 *5881:A *6969:A 0.000170607
23 *5882:A *595:58 4.51176e-05
24 *5883:A *7057:TE_B 7.34948e-06
25 *5883:A *1197:13 7.72722e-05
26 *5884:A *7055:TE_B 2.41274e-06
27 *5884:A *593:29 1.77537e-06
28 *5884:A *593:31 5.41467e-05
29 *591:8 *5951:A 5.36834e-05
30 *591:8 *7005:A 0
31 *591:8 *7006:TE_B 0.000127179
32 *591:8 *593:23 0.000105196
33 *591:8 *604:6 4.10825e-05
34 *591:8 *604:8 0.00038935
35 *591:8 *606:47 0
36 *591:8 *606:51 0
37 *591:8 *1095:27 0
38 *591:8 *1095:29 0
39 *591:8 *1095:34 0
40 *591:14 *7049:A 0
41 *591:15 *6962:TE_B 0.000436825
42 *591:15 *6969:TE_B 0.000110297
43 *591:15 *6985:A 0.000118166
44 *591:15 *6985:TE_B 0.000540793
45 *591:15 *6991:A 0.000147345
46 *591:15 *601:65 0.000399108
47 *591:15 *602:30 0.000137341
48 *591:29 *597:32 0.000353628
49 *591:49 *5915:A 0
50 *591:49 *6447:A 0
51 *591:49 *7086:A 0.000164829
52 *591:49 *7087:A 6.6516e-05
53 *591:49 *593:31 0
54 *591:49 *597:33 0
55 *591:53 *6263:B1_N 0.0002817
56 *591:53 *6580:A 0.000360159
57 *591:53 *6587:B 0.000742567
58 *591:53 *6811:CLK 0.000113968
59 *591:53 *826:15 0.000197841
60 *591:53 *1275:38 0.000266846
61 *591:59 *6235:B 1.75637e-06
62 *591:59 *6262:A 0.00011758
63 *591:59 *6292:A 2.65831e-05
64 *591:59 *6294:A1 0.000110567
65 *591:59 *6294:A2 3.62662e-06
66 *591:59 *6294:B1 0.00033061
67 *591:59 *6304:B 1.43983e-05
68 *591:59 *6304:C 6.92705e-05
69 *591:59 *823:11 0.000736122
70 *591:59 *826:15 0.000229409
71 *591:59 *826:23 0.000180515
72 *591:59 *867:5 2.65831e-05
73 *591:59 *867:7 0.000236357
74 *591:66 *6304:C 4.89898e-06
75 *591:66 *595:58 3.88358e-05
76 *591:66 *874:11 0.000107496
77 *591:66 *874:19 0.00102345
78 *591:66 *874:27 3.61993e-05
79 *591:66 *877:8 2.86013e-06
80 *591:78 *595:58 0.000286405
81 *591:78 *596:51 0.000115235
82 *591:78 *596:59 0.000182839
83 *591:78 *600:44 0.000105921
84 *591:78 *604:60 0.000110809
85 *591:78 *607:43 0.000340367
86 *591:96 *6966:A 0.000117333
87 *591:96 *6990:TE_B 0.00033061
88 io_oeb[1] *5880:A 0
89 io_oeb[26] *591:78 9.94664e-06
90 io_out[13] *591:96 0.00147961
91 io_out[18] *5883:A 2.18741e-05
92 io_out[18] *591:78 0.000631499
93 io_out[29] *5883:A 6.31665e-05
94 io_out[29] *591:78 4.26659e-05
95 io_out[35] *591:78 0.00011255
96 *5845:A *591:78 0.000182601
97 *5859:A *591:78 0.000114156
98 *5859:A *591:96 0.000109878
99 *5864:A *591:15 0.000213914
100 *5973:A *591:15 0.000131218
101 *6543:A *591:49 0.000127179
102 *6564:A *591:49 0
103 *6593:B2 *591:53 6.76492e-05
104 *6743:D *591:59 4.95605e-05
105 *6811:D *591:49 1.87611e-05
106 *6814:D *591:49 6.46135e-05
107 *57:9 *5883:A 1.00846e-05
108 *83:8 *591:78 0.000312005
109 *177:11 *5881:A 0.000387915
110 *371:17 *591:49 0
111 *414:16 *591:49 0
112 *486:8 *591:53 7.97098e-06
113 *583:69 *591:14 3.25371e-05
114 *585:34 *591:7 6.50727e-05
115 *585:34 *591:29 0.00543676
116 *585:34 *591:49 0.000326398
117 *585:47 *591:78 0.000459092
118 *585:51 *591:78 0.000249674
119 *587:40 *591:96 0.000333079
120 *588:8 *591:8 0.00370341
121 *588:8 *591:14 0.0011898
122 *588:21 *5884:A 0.000277502
123 *588:46 *5882:A 3.59934e-05
124 *588:46 *591:66 3.88358e-05
125 *588:46 *591:78 0.000117093
126 *588:54 *591:78 0.000704457
127 *589:42 *591:78 0.00048808
*RES
1 *5879:X *591:4 9.24915
2 *591:4 *591:7 5.2234
3 *591:7 *591:8 207.809
4 *591:8 *591:14 27.1312
5 *591:14 *591:15 56.7384
6 *591:15 *5881:A 25.9566
7 *591:4 *591:29 57.293
8 *591:29 *5884:A 25.5145
9 *591:29 *591:49 48.4411
10 *591:49 *591:53 47.0569
11 *591:53 *591:59 48.7448
12 *591:59 *591:66 29.0454
13 *591:66 *591:78 38.1661
14 *591:78 *5883:A 17.135
15 *591:78 *591:96 48.1839
16 *591:96 *5880:A 15.9964
17 *591:66 *5882:A 14.543
*END
*D_NET *592 0.00471537
*CONN
*I *5898:A I *D sky130_fd_sc_hd__buf_6
*I *5892:A I *D sky130_fd_sc_hd__buf_8
*I *5886:A I *D sky130_fd_sc_hd__buf_8
*I *5904:A I *D sky130_fd_sc_hd__buf_8
*I *5910:A I *D sky130_fd_sc_hd__clkbuf_16
*I *5885:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5898:A 0.000211685
2 *5892:A 0.000201906
3 *5886:A 0.000157356
4 *5904:A 0.000145445
5 *5910:A 0
6 *5885:X 0.000133934
7 *592:16 0.000407412
8 *592:15 0.000306517
9 *592:13 0.00039636
10 *592:7 0.000318609
11 *5886:A *593:7 4.31703e-05
12 *5886:A *593:20 0.000525925
13 *5892:A *594:53 0.000118166
14 *5898:A *1097:44 0.000742581
15 *5904:A *597:6 7.586e-05
16 *592:7 *1097:44 0.000323137
17 *592:13 *1097:44 0.000572574
18 *592:16 *597:6 2.22923e-05
19 *592:16 *597:32 1.24386e-05
20 *589:61 *5892:A 0
21 *589:61 *5904:A 0
22 *589:61 *592:16 0
*RES
1 *5885:X *592:7 13.7342
2 *592:7 *5910:A 9.24915
3 *592:7 *592:13 6.26943
4 *592:13 *592:15 4.5
5 *592:15 *592:16 2.6625
6 *592:16 *5904:A 18.0727
7 *592:16 *5886:A 20.0427
8 *592:15 *5892:A 18.9354
9 *592:13 *5898:A 17.1824
*END
*D_NET *593 0.0728007
*CONN
*I *5889:A I *D sky130_fd_sc_hd__inv_2
*I *5891:A I *D sky130_fd_sc_hd__inv_2
*I *5887:A I *D sky130_fd_sc_hd__inv_2
*I *5890:A I *D sky130_fd_sc_hd__inv_2
*I *5888:A I *D sky130_fd_sc_hd__inv_2
*I *5886:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5889:A 0.000480202
2 *5891:A 8.39641e-05
3 *5887:A 2.19663e-05
4 *5890:A 0.000317723
5 *5888:A 4.79678e-05
6 *5886:X 7.47695e-05
7 *593:46 0.00408012
8 *593:44 0.00503387
9 *593:40 0.00345827
10 *593:31 0.00647465
11 *593:29 0.00457751
12 *593:26 0.00403965
13 *593:25 0.00377196
14 *593:23 0.000619913
15 *593:20 0.00402306
16 *593:19 0.00348711
17 *593:17 0.000512846
18 *593:8 0.00591532
19 *593:7 0.00597477
20 *5888:A *7054:TE_B 2.7837e-05
21 *5889:A *7053:A 0
22 *5889:A *7053:TE_B 4.30017e-06
23 *5889:A *594:6 0.000305013
24 *5889:A *1097:14 0
25 *5890:A *6155:A 0.000247231
26 *5890:A *7012:A 0.000127179
27 *5890:A *605:47 0.000851028
28 *5890:A *757:18 2.36813e-05
29 *593:8 *5946:A 0
30 *593:8 *7009:TE_B 0
31 *593:8 *594:6 0.00848277
32 *593:8 *594:10 0.00160885
33 *593:8 *1097:14 0
34 *593:17 *594:6 8.16827e-05
35 *593:17 *1097:14 0
36 *593:23 *5960:A 0
37 *593:23 *594:56 0
38 *593:23 *606:51 0
39 *593:26 *7034:A 0.000141561
40 *593:26 *594:59 0.000323689
41 *593:29 *7055:A 0.000247231
42 *593:29 *7055:TE_B 3.44886e-05
43 *593:31 *6000:A1 0
44 *593:31 *6348:A 6.80864e-05
45 *593:31 *6439:B 0
46 *593:31 *6540:A1 2.04661e-05
47 *593:31 *6837:CLK 0
48 *593:31 *7094:A 0.000193374
49 *593:31 *640:25 0
50 *593:31 *902:46 0.000127179
51 *593:31 *905:8 0
52 *593:31 *1082:8 0
53 *593:31 *1082:17 0
54 *593:31 *1082:24 0
55 *593:31 *1082:38 0
56 *593:31 *1095:93 0
57 *593:31 *1266:6 1.72799e-05
58 *593:31 *1267:10 2.75563e-05
59 *593:31 *1267:19 0.000576792
60 *593:40 *6370:A 7.14746e-05
61 *593:40 *6439:A 0.000158373
62 *593:40 *6439:B 0
63 *593:40 *6439:D 0
64 *593:40 *6771:CLK 0.000317877
65 *593:40 *7094:A 5.18594e-05
66 *593:40 *895:30 0
67 *593:40 *1067:13 0.000192829
68 *593:40 *1074:8 0
69 *593:44 *6038:A 0.000108087
70 *593:44 *6038:B 2.42273e-05
71 *593:44 *6039:B2 0.000217937
72 *593:46 *6033:A 1.43848e-05
73 *593:46 *6144:B 0.000237689
74 *593:46 *6714:CLK 2.65831e-05
75 *593:46 *6714:D 0.000424005
76 *593:46 *757:18 0.000260489
77 *593:46 *1221:10 3.28416e-06
78 io_oeb[15] *5891:A 6.63327e-05
79 io_oeb[15] *593:23 0.000130104
80 io_oeb[19] *5891:A 0
81 io_oeb[23] *593:29 0
82 io_out[12] *593:8 0
83 *5867:A *593:20 6.50586e-05
84 *5884:A *593:29 1.77537e-06
85 *5884:A *593:31 5.41467e-05
86 *5886:A *593:7 4.31703e-05
87 *5886:A *593:20 0.000525925
88 *6528:A1 *593:31 8.8567e-05
89 *6529:B2 *593:31 0.000315176
90 *6533:A2 *593:31 0.000146507
91 *6564:A *593:31 0
92 *6667:A2 *593:31 0.000182915
93 *6670:A_N *593:31 4.90965e-05
94 *6670:B *593:31 0
95 *6671:A *593:31 0
96 *6680:B1 *593:31 0
97 *6683:A3 *593:31 6.4628e-05
98 *6684:B1 *593:31 5.68225e-06
99 *6685:B *593:31 0
100 *6771:D *593:40 1.60502e-06
101 *6812:D *593:31 4.15008e-05
102 *6816:D *593:31 0
103 *6836:D *593:31 0
104 *76:11 *593:8 0.000586452
105 *274:8 *593:40 0
106 *371:8 *593:31 0
107 *371:17 *593:31 0
108 *403:31 *593:31 3.55432e-05
109 *417:13 *593:31 1.14755e-05
110 *418:37 *593:31 0.000298992
111 *441:16 *593:31 0.000144739
112 *441:18 *593:31 9.88602e-05
113 *443:20 *593:31 5.8261e-05
114 *456:11 *593:31 0
115 *548:29 *593:31 0.000279289
116 *551:14 *593:31 4.15008e-05
117 *555:32 *593:31 0.000190336
118 *588:8 *593:23 0.000460821
119 *588:21 *5887:A 6.50727e-05
120 *589:61 *5889:A 0
121 *590:30 *593:8 0
122 *590:34 *593:8 0
123 *591:8 *593:23 0.000105196
124 *591:49 *593:31 0
*RES
1 *5886:X *593:7 15.5817
2 *593:7 *593:8 212.365
3 *593:8 *5888:A 15.0271
4 *593:7 *593:17 1.41674
5 *593:17 *593:19 4.5
6 *593:19 *593:20 51.1923
7 *593:20 *593:23 21.2811
8 *593:23 *593:25 4.5
9 *593:25 *593:26 57.293
10 *593:26 *593:29 12.5608
11 *593:29 *593:31 119.263
12 *593:31 *593:40 44.7679
13 *593:40 *593:44 29.3096
14 *593:44 *593:46 58.6795
15 *593:46 *5890:A 31.6151
16 *593:29 *5887:A 14.4725
17 *593:23 *5891:A 16.4116
18 *593:17 *5889:A 26.6914
*END
*D_NET *594 0.0820712
*CONN
*I *5896:A I *D sky130_fd_sc_hd__inv_2
*I *5893:A I *D sky130_fd_sc_hd__inv_2
*I *5895:A I *D sky130_fd_sc_hd__inv_2
*I *5894:A I *D sky130_fd_sc_hd__inv_2
*I *5897:A I *D sky130_fd_sc_hd__inv_2
*I *5892:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5896:A 0.000127311
2 *5893:A 8.57341e-05
3 *5895:A 9.29759e-05
4 *5894:A 0
5 *5897:A 0.000428531
6 *5892:X 0
7 *594:59 0.00852219
8 *594:58 0.00843645
9 *594:56 0.000446322
10 *594:53 0.002899
11 *594:37 0.00289326
12 *594:35 0.00362189
13 *594:28 0.000927189
14 *594:25 0.00205952
15 *594:13 0.0024031
16 *594:10 0.0017234
17 *594:8 0.00173243
18 *594:6 0.00249546
19 *594:5 0.00246579
20 *594:4 0.00257999
21 *5893:A *7019:A 0
22 *5893:A *7050:TE_B 7.50722e-05
23 *5895:A *7048:A 0
24 *5895:A *7048:TE_B 0.000104731
25 *5896:A *7047:TE_B 0.000193108
26 *5897:A *7046:TE_B 9.3598e-05
27 *5897:A *7054:TE_B 0.000216458
28 *594:6 *5908:A 0.000529295
29 *594:6 *596:6 0.000542477
30 *594:6 *596:10 0.000744729
31 *594:6 *596:12 0.00322067
32 *594:10 *7046:A 7.86847e-05
33 *594:10 *596:12 0.00158325
34 *594:10 *599:8 0
35 *594:25 *6996:A 2.65831e-05
36 *594:28 *607:10 0.000231363
37 *594:35 *602:30 0.000160734
38 *594:37 *7060:TE_B 0.000489918
39 *594:37 *7063:A 0.000328363
40 *594:37 *599:39 0.00132663
41 *594:37 *602:30 0.000132091
42 *594:53 *5908:A 1.03403e-05
43 *594:53 *5918:A 6.92705e-05
44 *594:53 *599:69 0.000279708
45 *594:56 *7047:TE_B 0.000101148
46 *594:59 *6976:A 0.000152239
47 *594:59 *7034:A 0.000243918
48 *594:59 *7059:A 7.48797e-05
49 *594:59 *7059:TE_B 0.000118166
50 io_oeb[15] *5896:A 0
51 io_oeb[15] *594:56 0
52 io_oeb[27] *594:59 7.92757e-06
53 io_oeb[31] *5895:A 8.8567e-05
54 io_oeb[7] *5896:A 2.6777e-05
55 la1_data_out[14] *594:59 5.46286e-05
56 *5848:A *594:53 0.000171273
57 *5889:A *594:6 0.000305013
58 *5892:A *594:53 0.000118166
59 *76:11 *594:10 0.000736132
60 *583:33 *594:53 0.00185138
61 *587:32 *594:35 0.000361361
62 *587:34 *594:35 0.00104913
63 *587:34 *594:37 0.0114708
64 *588:8 *594:56 0
65 *589:56 *594:56 0
66 *589:61 *594:6 7.58217e-06
67 *589:61 *594:10 0.000257558
68 *593:8 *594:6 0.00848277
69 *593:8 *594:10 0.00160885
70 *593:17 *594:6 8.16827e-05
71 *593:23 *594:56 0
72 *593:26 *594:59 0.000323689
*RES
1 *5892:X *594:4 9.24915
2 *594:4 *594:5 4.5
3 *594:5 *594:6 149.65
4 *594:6 *594:8 0.732798
5 *594:8 *594:10 72.0218
6 *594:10 *594:13 5.07872
7 *594:13 *5897:A 19.8348
8 *594:13 *594:25 46.8187
9 *594:25 *594:28 8.40826
10 *594:28 *5894:A 9.24915
11 *594:28 *594:35 29.3096
12 *594:35 *594:37 130.778
13 *594:37 *5895:A 21.7421
14 *594:4 *594:53 49.0462
15 *594:53 *594:56 12.5608
16 *594:56 *594:58 4.5
17 *594:58 *594:59 126.064
18 *594:59 *5893:A 20.4964
19 *594:56 *5896:A 18.4879
*END
*D_NET *595 0.0525969
*CONN
*I *5903:A I *D sky130_fd_sc_hd__inv_2
*I *5901:A I *D sky130_fd_sc_hd__inv_2
*I *5899:A I *D sky130_fd_sc_hd__inv_2
*I *5902:A I *D sky130_fd_sc_hd__inv_2
*I *5900:A I *D sky130_fd_sc_hd__inv_2
*I *5898:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *5903:A 0.00015021
2 *5901:A 0
3 *5899:A 5.14943e-05
4 *5902:A 2.51492e-05
5 *5900:A 0.000778917
6 *5898:X 0
7 *595:63 0.00358618
8 *595:62 0.00360036
9 *595:58 0.00140774
10 *595:56 0.00144614
11 *595:43 0.000959443
12 *595:35 0.0024284
13 *595:33 0.00197439
14 *595:28 0.000734237
15 *595:27 0.00124676
16 *595:4 0.00181787
17 *5900:A *6980:A 0
18 *5900:A *6980:TE_B 0.000489918
19 *5900:A *7062:A 0.00042812
20 *5900:A *7062:TE_B 0.000127162
21 *5900:A *599:52 0
22 *5900:A *1138:6 0
23 *5902:A *7031:TE_B 6.50727e-05
24 *5903:A *7030:A 4.58003e-05
25 *5903:A *7034:TE_B 4.70005e-05
26 *5903:A *7051:A 0
27 *595:27 *7051:A 6.76384e-05
28 *595:27 *1097:44 0.000913343
29 *595:28 *606:51 0
30 *595:33 *599:71 0.00426765
31 *595:35 *6247:B1 0.000171273
32 *595:35 *599:71 0.00361674
33 *595:43 *7031:TE_B 0.00101138
34 *595:56 *7000:TE_B 0.000179286
35 *595:58 *6311:B 0.000372364
36 *595:58 *6983:TE_B 0.000104731
37 *595:63 *6859:CLK 4.26431e-05
38 *595:63 *1029:7 6.84987e-05
39 *595:63 *1235:10 5.04054e-06
40 io_out[37] *595:35 1.94236e-05
41 io_out[37] *595:43 1.71492e-05
42 *5845:A *595:58 0.00119478
43 *5845:A *595:62 0.000145584
44 *5855:A *595:27 6.50586e-05
45 *5882:A *595:58 4.51176e-05
46 *6859:D *595:63 2.54424e-05
47 *63:13 *5900:A 5.2472e-05
48 *583:17 *595:27 0.000277502
49 *583:33 *595:33 0.000383717
50 *583:35 *595:33 0.00175279
51 *583:35 *595:35 0.0102279
52 *584:19 *595:27 0.000594019
53 *584:21 *595:27 0.00193028
54 *584:60 *595:56 0.000216856
55 *584:60 *595:58 0.000719888
56 *584:62 *595:58 0.000740967
57 *585:47 *595:56 0
58 *585:47 *595:58 0
59 *587:7 *595:27 0.000264025
60 *588:21 *5903:A 0.000113968
61 *588:46 *595:58 0.00119242
62 *588:46 *595:62 6.13961e-05
63 *591:66 *595:58 3.88358e-05
64 *591:78 *595:58 0.000286405
*RES
1 *5898:X *595:4 9.24915
2 *595:4 *5900:A 41.1903
3 *595:4 *595:27 49.4229
4 *595:27 *595:28 4.32351
5 *595:28 *595:33 49.8931
6 *595:33 *595:35 116.636
7 *595:35 *595:43 15.4927
8 *595:43 *5902:A 9.97254
9 *595:43 *595:56 9.51215
10 *595:56 *595:58 62.6664
11 *595:58 *595:62 7.1625
12 *595:62 *595:63 51.1923
13 *595:63 *5899:A 10.6477
14 *595:58 *5901:A 13.7491
15 *595:28 *5903:A 18.1049
*END
*D_NET *596 0.0706236
*CONN
*I *5908:A I *D sky130_fd_sc_hd__inv_2
*I *5906:A I *D sky130_fd_sc_hd__inv_2
*I *5905:A I *D sky130_fd_sc_hd__inv_2
*I *5907:A I *D sky130_fd_sc_hd__inv_2
*I *5909:A I *D sky130_fd_sc_hd__inv_2
*I *5904:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5908:A 0.00075669
2 *5906:A 8.50073e-05
3 *5905:A 3.58004e-05
4 *5907:A 3.08573e-05
5 *5909:A 0.000696873
6 *5904:X 0
7 *596:59 0.00147246
8 *596:57 0.00146737
9 *596:55 0.00150153
10 *596:53 0.00150153
11 *596:51 0.0016077
12 *596:49 0.00223632
13 *596:44 0.00274943
14 *596:22 0.0100574
15 *596:21 0.00801157
16 *596:12 0.00302975
17 *596:10 0.00258598
18 *596:6 0.000411449
19 *596:5 0.00091503
20 *5907:A *7027:TE_B 4.30017e-06
21 *5908:A *6989:A 7.97944e-05
22 *5908:A *6989:TE_B 1.43848e-05
23 *596:22 *6265:A 0.000171273
24 *596:22 *6265:C 6.08467e-05
25 *596:22 *6265:D 0.000118166
26 *596:22 *6267:A2 8.39059e-05
27 *596:22 *6269:A 0.000326398
28 *596:22 *6330:A 0.000399544
29 *596:22 *6330:C 5.89344e-05
30 *596:22 *6510:A1 0.000324151
31 *596:22 *6517:B1 5.0715e-05
32 *596:22 *6554:C 0.000271044
33 *596:22 *6559:B1 2.16355e-05
34 *596:22 *6565:A 0.000164843
35 *596:22 *6742:CLK 0.00012316
36 *596:22 *6814:CLK 0.000744813
37 *596:22 *1269:7 2.16355e-05
38 *596:22 *1269:23 6.99486e-05
39 *596:22 *1273:18 0.000204843
40 *596:22 *1305:7 0.000111722
41 *596:44 *6310:B1 3.82228e-05
42 *596:44 *6311:A 0.000402638
43 *596:44 *6311:B 3.70723e-05
44 *596:44 *6314:A2 2.15348e-05
45 *596:44 *6315:B 4.55574e-05
46 *596:44 *6330:A 7.98171e-06
47 *596:44 *6330:C 4.17482e-05
48 *596:44 *6761:CLK 6.50586e-05
49 *596:44 *879:9 1.09551e-05
50 *596:44 *1300:10 5.56461e-05
51 *596:49 *7029:A 0
52 *596:49 *7029:TE_B 0.000101133
53 *596:49 *7058:A 0
54 *596:49 *7058:TE_B 2.42889e-05
55 *596:49 *1295:9 0.00012063
56 *596:51 *6970:TE_B 0.000101133
57 *596:51 *6998:TE_B 0
58 *596:51 *7043:A 2.07391e-05
59 *596:51 *1127:8 0.000485013
60 *596:55 *5952:A 6.60841e-05
61 *596:55 *5957:A 0.000742293
62 *596:55 *6968:TE_B 0.000101133
63 *596:55 *6981:TE_B 0.000101133
64 *596:55 *7004:TE_B 5.54078e-05
65 *596:55 *7043:A 0
66 *596:55 *7043:TE_B 0
67 *596:55 *600:32 0.000274762
68 *596:55 *600:44 0.000802734
69 *596:55 *605:48 0.000201435
70 *596:55 *1191:13 0.000842279
71 *596:59 *5956:A 0.000392283
72 *596:59 *6992:TE_B 4.27003e-05
73 *596:59 *7038:TE_B 0.000242796
74 *596:59 *7044:TE_B 0.000179286
75 *596:59 *597:65 0.000557495
76 *596:59 *600:32 0.000377629
77 *596:59 *605:48 0.000941269
78 *596:59 *607:43 0
79 io_out[16] *596:55 0
80 la1_data_out[10] *596:59 0.000100851
81 la1_data_out[17] *596:51 0
82 la1_data_out[8] *596:51 0
83 *5845:A *596:51 0.000823418
84 *6512:A1 *596:22 1.19721e-05
85 *6513:B *596:22 0.000224381
86 *6515:B *596:22 0.000543025
87 *6517:A1 *596:22 0.000112642
88 *6517:A2 *596:22 1.00937e-05
89 *6518:C *596:22 0.000497263
90 *6521:A2 *596:22 6.92705e-05
91 *6555:A2 *596:22 0.000107064
92 *6557:A *596:22 0.000366603
93 *6559:A1 *596:22 0.000271058
94 *6559:A2 *596:22 3.99086e-06
95 *6742:D *596:22 0.000223083
96 *6757:D *596:44 0.000220183
97 *6761:D *596:44 2.13584e-05
98 *6814:D *596:22 1.87611e-05
99 *7105:A *596:51 0
100 *462:7 *596:22 1.41689e-05
101 *584:60 *5906:A 3.31736e-05
102 *584:60 *596:49 0.00032493
103 *584:62 *596:49 7.35784e-05
104 *584:62 *596:51 0.000141058
105 *588:46 *596:51 0
106 *588:46 *596:55 0
107 *589:61 *5908:A 0.000229821
108 *589:61 *596:6 0.000251538
109 *589:61 *596:10 0.00033544
110 *589:61 *596:12 0.00858721
111 *591:78 *596:51 0.000115235
112 *591:78 *596:59 0.000182839
113 *594:6 *5908:A 0.000529295
114 *594:6 *596:6 0.000542477
115 *594:6 *596:10 0.000744729
116 *594:6 *596:12 0.00322067
117 *594:10 *596:12 0.00158325
118 *594:53 *5908:A 1.03403e-05
*RES
1 *5904:X *596:5 13.7491
2 *596:5 *596:6 9.30653
3 *596:6 *596:10 13.3003
4 *596:10 *596:12 144.74
5 *596:12 *5909:A 29.4468
6 *596:6 *596:21 4.5
7 *596:21 *596:22 196.498
8 *596:22 *596:44 46.9151
9 *596:44 *596:49 19.7611
10 *596:49 *596:51 51.2104
11 *596:51 *596:53 0.732798
12 *596:53 *596:55 59.1612
13 *596:55 *596:57 0.732798
14 *596:57 *596:59 56.2422
15 *596:59 *5907:A 14.4725
16 *596:49 *5905:A 14.4725
17 *596:44 *5906:A 16.4439
18 *596:5 *5908:A 35.1658
*END
*D_NET *597 0.0785717
*CONN
*I *5915:A I *D sky130_fd_sc_hd__inv_2
*I *5912:A I *D sky130_fd_sc_hd__inv_2
*I *5914:A I *D sky130_fd_sc_hd__inv_2
*I *5911:A I *D sky130_fd_sc_hd__inv_2
*I *5913:A I *D sky130_fd_sc_hd__inv_2
*I *5910:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *5915:A 0.000430481
2 *5912:A 0.000210992
3 *5914:A 3.12765e-05
4 *5911:A 0
5 *5913:A 0.000400715
6 *5910:X 0
7 *597:65 0.00210894
8 *597:64 0.0051971
9 *597:61 0.00461106
10 *597:50 0.00294139
11 *597:39 0.00294195
12 *597:33 0.00564874
13 *597:32 0.0108113
14 *597:11 0.00120936
15 *597:6 0.00840715
16 *597:5 0.0140338
17 *5912:A *6978:TE_B 0.000103139
18 *5912:A *605:48 6.14128e-05
19 *5913:A *7020:A 0
20 *5914:A *7023:TE_B 4.30017e-06
21 *597:6 *5923:A 0
22 *597:6 *5941:A 0
23 *597:6 *7009:A 0
24 *597:6 *598:18 0
25 *597:6 *599:8 0
26 *597:6 *599:50 0
27 *597:6 *599:52 0
28 *597:6 *601:54 0.000683721
29 *597:6 *601:56 0.00121365
30 *597:6 *603:8 3.54138e-05
31 *597:6 *603:13 1.65078e-05
32 *597:11 *5927:A 0.00167678
33 *597:32 *599:52 0
34 *597:33 *6024:A 0
35 *597:33 *6641:A_N 0.00013592
36 *597:33 *6642:A1 6.31809e-05
37 *597:33 *6820:CLK 0.00016554
38 *597:33 *7081:A 0
39 *597:33 *7082:A 0
40 *597:33 *7100:A 0.000160384
41 *597:33 *640:16 0
42 *597:33 *895:46 5.3697e-05
43 *597:33 *895:57 0
44 *597:33 *910:22 0
45 *597:33 *910:38 0.000103047
46 *597:33 *1077:6 0.000134421
47 *597:33 *1083:6 0.00019266
48 *597:33 *1083:26 0.000439153
49 *597:33 *1311:8 0.000146645
50 *597:33 *1312:6 0
51 *597:39 *6350:A 2.04806e-05
52 *597:39 *6353:A 0
53 *597:39 *6360:A2 0.000185992
54 *597:39 *6771:CLK 0
55 *597:39 *7092:A 0.000136538
56 *597:39 *895:30 0
57 *597:39 *910:38 0
58 *597:39 *1088:40 0
59 *597:39 *1088:54 0
60 *597:39 *1090:20 0.000374457
61 *597:39 *1350:9 0
62 *597:39 *1353:9 0
63 *597:50 *6076:A 0.000377259
64 *597:50 *6081:A 0.000681068
65 *597:50 *6332:A 0.000217937
66 *597:50 *895:7 0.000309013
67 *597:50 *1149:30 0.00030158
68 *597:50 *1367:9 0.000396849
69 *597:50 *1367:19 0.000953747
70 *597:50 *1368:11 1.71154e-05
71 *597:61 *6080:A1 0.000576786
72 *597:61 *6080:A2 0.000102804
73 *597:61 *6100:A1 0.000101133
74 *597:61 *6100:A2 0.000111708
75 *597:61 *6100:B1 4.23874e-05
76 *597:61 *6105:A0 0.000411034
77 *597:61 *6109:A0 9.80912e-05
78 *597:61 *6109:A1 4.91225e-06
79 *597:61 *6110:A1 0.000273916
80 *597:61 *686:11 2.36494e-05
81 *597:61 *698:9 5.0715e-05
82 *597:61 *714:5 0.000742435
83 *597:61 *1367:19 0.000283757
84 *597:64 *6103:A2 0.000146566
85 *597:64 *6104:B 1.41291e-05
86 *597:64 *7038:A 9.90116e-05
87 *597:64 *7038:TE_B 4.59271e-05
88 *597:64 *691:11 0.000211478
89 *597:64 *721:7 0.000780525
90 *597:65 *5956:A 0.000175042
91 *597:65 *6972:A 2.54199e-05
92 *597:65 *6972:TE_B 9.34919e-05
93 *597:65 *6988:A 2.692e-05
94 *597:65 *6992:A 5.23399e-05
95 *597:65 *7023:A 3.24593e-05
96 *597:65 *7023:TE_B 0.000694203
97 *597:65 *7027:A 5.3697e-05
98 *597:65 *7027:TE_B 0.000127164
99 *597:65 *7036:A 0
100 *597:65 *605:48 0.0001154
101 *597:65 *607:32 0
102 *597:65 *607:41 0
103 *597:65 *607:43 0
104 io_oeb[12] *597:65 5.25197e-05
105 io_oeb[6] *5912:A 6.46135e-05
106 io_oeb[6] *597:65 2.71397e-05
107 la1_data_out[10] *597:65 4.40325e-05
108 *5904:A *597:6 7.586e-05
109 *6541:B *597:33 0.000205332
110 *6542:C *597:33 1.79672e-05
111 *6546:A2 *597:33 0
112 *6642:A3 *597:33 0
113 *6642:B1 *597:33 0
114 *6665:B *597:33 0
115 *6675:A *597:33 0
116 *6679:A1 *597:33 0.000368782
117 *6682:B *597:33 0.000388232
118 *6683:A2 *597:33 0
119 *6709:C *597:61 6.50586e-05
120 *6770:D *597:39 0
121 *6780:D *597:39 0
122 *6811:D *597:33 1.90218e-05
123 *6815:D *597:33 0
124 *6835:D *597:33 0
125 *7107:A *597:65 3.00122e-05
126 *275:11 *597:39 0
127 *400:15 *597:33 0.00017335
128 *402:8 *597:33 0
129 *414:16 *597:33 0
130 *419:14 *597:33 0
131 *530:15 *597:33 0
132 *545:19 *597:33 0
133 *545:26 *597:33 0
134 *546:25 *597:33 0.000217572
135 *546:34 *597:33 0.000430146
136 *548:17 *597:33 0
137 *581:13 *597:50 0.000587456
138 *584:21 *597:32 0.000480441
139 *585:34 *597:32 0.000353628
140 *587:10 *5913:A 0.00016169
141 *589:61 *597:6 0
142 *591:29 *597:32 0.000353628
143 *591:49 *5915:A 0
144 *591:49 *597:33 0
145 *592:16 *597:6 2.22923e-05
146 *592:16 *597:32 1.24386e-05
147 *596:59 *597:65 0.000557495
*RES
1 *5910:X *597:5 13.7491
2 *597:5 *597:6 211.119
3 *597:6 *597:11 27.8416
4 *597:11 *5913:A 29.2489
5 *597:11 *5911:A 9.24915
6 *597:5 *597:32 22.7264
7 *597:32 *597:33 112.411
8 *597:33 *597:39 48.6755
9 *597:39 *597:50 41.4747
10 *597:50 *597:61 48.4727
11 *597:61 *597:64 49.0371
12 *597:64 *597:65 67.8571
13 *597:65 *5914:A 14.4725
14 *597:64 *5912:A 19.9081
15 *597:32 *5915:A 22.7992
*END
*D_NET *598 0.00254472
*CONN
*I *5935:A I *D sky130_fd_sc_hd__clkbuf_16
*I *5941:A I *D sky130_fd_sc_hd__buf_4
*I *5929:A I *D sky130_fd_sc_hd__buf_8
*I *5917:A I *D sky130_fd_sc_hd__buf_6
*I *5923:A I *D sky130_fd_sc_hd__buf_8
*I *5916:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *5935:A 9.85169e-05
2 *5941:A 0.000114831
3 *5929:A 2.31818e-05
4 *5917:A 0
5 *5923:A 0.000152682
6 *5916:X 0
7 *598:29 0.000214386
8 *598:26 0.000343656
9 *598:18 0.000314837
10 *598:5 0.000298752
11 *5923:A *5840:A 0.000324151
12 *5923:A *599:8 0
13 *5929:A *601:5 6.50586e-05
14 *5935:A *599:50 4.33655e-05
15 *5941:A *599:50 9.14346e-05
16 *5941:A *603:8 5.19205e-05
17 *5941:A *603:13 2.82537e-05
18 *598:18 *599:8 0
19 *598:18 *599:50 0.00011818
20 *598:26 *599:50 0.000153949
21 *598:29 *599:50 6.4628e-05
22 *598:29 *601:54 3.9739e-05
23 *598:29 *603:13 3.20069e-06
24 *589:61 *598:18 0
25 *597:6 *5923:A 0
26 *597:6 *5941:A 0
27 *597:6 *598:18 0
*RES
1 *5916:X *598:5 13.7491
2 *598:5 *5923:A 18.6623
3 *598:5 *598:18 8.4405
4 *598:18 *5917:A 9.24915
5 *598:18 *598:26 4.62973
6 *598:26 *598:29 7.1625
7 *598:29 *5929:A 14.4725
8 *598:29 *5941:A 17.6574
9 *598:26 *5935:A 11.6364
*END
*D_NET *599 0.0621855
*CONN
*I *5920:A I *D sky130_fd_sc_hd__inv_2
*I *5922:A I *D sky130_fd_sc_hd__inv_2
*I *5918:A I *D sky130_fd_sc_hd__inv_2
*I *5921:A I *D sky130_fd_sc_hd__inv_2
*I *5919:A I *D sky130_fd_sc_hd__inv_2
*I *5917:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *5920:A 5.00017e-05
2 *5922:A 0
3 *5918:A 3.46165e-05
4 *5921:A 0
5 *5919:A 0
6 *5917:X 0
7 *599:76 0.000376351
8 *599:71 0.00228023
9 *599:69 0.00276214
10 *599:54 0.000842877
11 *599:52 0.00362832
12 *599:50 0.00501928
13 *599:39 0.00123842
14 *599:23 0.00206561
15 *599:15 0.00249688
16 *599:8 0.00562427
17 *599:7 0.00403618
18 *599:4 0.00147257
19 *5920:A *600:54 0.000543039
20 *599:8 *6991:TE_B 0
21 *599:8 *7046:A 9.3436e-05
22 *599:15 *6996:TE_B 6.50586e-05
23 *599:15 *607:13 0.000174852
24 *599:23 *607:13 0.00151092
25 *599:39 *5969:A 0
26 *599:39 *6964:A 0.000144546
27 *599:39 *602:30 0.000132091
28 *599:39 *607:13 0.00048654
29 *599:39 *607:22 0.000268454
30 *599:50 *601:54 0
31 *599:71 *5963:A 6.08467e-05
32 *599:71 *6247:B1 0.000171273
33 *599:71 *6249:B 9.14669e-05
34 io_oeb[22] *599:8 0
35 io_oeb[2] *5920:A 0.000243918
36 io_out[27] *599:76 0
37 la1_data_out[0] *599:15 0.000383717
38 la1_data_out[29] *599:8 0
39 *5848:A *599:69 4.26431e-05
40 *5850:A *599:15 0.000118144
41 *5900:A *599:52 0
42 *5923:A *599:8 0
43 *5935:A *599:50 4.33655e-05
44 *5941:A *599:50 9.14346e-05
45 *583:33 *599:69 0.000197148
46 *583:33 *599:71 0.000685639
47 *585:11 *599:15 0.000720657
48 *585:32 *599:69 0.000645042
49 *585:32 *599:71 0.000248319
50 *585:34 *599:71 0.0106959
51 *586:11 *599:71 0.00060164
52 *586:19 *599:71 0.000102003
53 *589:61 *599:8 0.00179891
54 *594:10 *599:8 0
55 *594:37 *599:39 0.00132663
56 *594:53 *5918:A 6.92705e-05
57 *594:53 *599:69 0.000279708
58 *595:33 *599:71 0.00426765
59 *595:35 *599:71 0.00361674
60 *597:6 *599:8 0
61 *597:6 *599:50 0
62 *597:6 *599:52 0
63 *597:32 *599:52 0
64 *598:18 *599:8 0
65 *598:18 *599:50 0.00011818
66 *598:26 *599:50 0.000153949
67 *598:29 *599:50 6.4628e-05
*RES
1 *5917:X *599:4 9.24915
2 *599:4 *599:7 6.3326
3 *599:7 *599:8 113.535
4 *599:8 *599:15 49.7725
5 *599:15 *599:23 22.7026
6 *599:23 *5919:A 9.24915
7 *599:23 *599:39 47.5427
8 *599:39 *5921:A 9.24915
9 *599:4 *599:50 41.3321
10 *599:50 *599:52 90.6593
11 *599:52 *599:54 4.5
12 *599:54 *5918:A 10.5513
13 *599:54 *599:69 25.8976
14 *599:69 *599:71 155.458
15 *599:71 *599:76 16.2303
16 *599:76 *5922:A 9.24915
17 *599:76 *5920:A 14.964
*END
*D_NET *600 0.0866388
*CONN
*I *5928:A I *D sky130_fd_sc_hd__inv_2
*I *5925:A I *D sky130_fd_sc_hd__inv_2
*I *5926:A I *D sky130_fd_sc_hd__inv_2
*I *5924:A I *D sky130_fd_sc_hd__inv_2
*I *5927:A I *D sky130_fd_sc_hd__inv_2
*I *5923:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5928:A 0
2 *5925:A 3.02966e-05
3 *5926:A 0.000131652
4 *5924:A 0
5 *5927:A 0.000548697
6 *5923:X 0.000655211
7 *600:58 0.00292327
8 *600:54 0.00301429
9 *600:50 0.00070169
10 *600:45 0.00322169
11 *600:44 0.0036398
12 *600:32 0.000944828
13 *600:31 0.00187225
14 *600:29 0.000627026
15 *600:23 0.013563
16 *600:12 0.0025848
17 *600:11 0.00228035
18 *600:8 0.0138354
19 *5927:A *7054:A 0.00016553
20 *600:8 *601:54 0
21 *600:12 *601:54 0.000648722
22 *600:12 *601:56 0.003947
23 *600:23 *6045:B1 0.000716748
24 *600:23 *6050:A2 5.94977e-06
25 *600:23 *6050:B2 1.15389e-05
26 *600:23 *6063:A 0.000914791
27 *600:23 *6165:B 0.000517249
28 *600:23 *6379:A1 4.52739e-05
29 *600:23 *6379:B1 0.000132219
30 *600:23 *6418:A 0.00126075
31 *600:23 *6423:C 4.66005e-05
32 *600:23 *6778:CLK 0.0002646
33 *600:23 *6779:CLK 0.000325405
34 *600:23 *7007:A 8.50305e-05
35 *600:23 *668:11 3.58951e-06
36 *600:23 *669:5 2.83525e-05
37 *600:23 *669:20 0.000172563
38 *600:23 *750:37 0.000565951
39 *600:23 *750:43 0.000156562
40 *600:23 *772:5 5.03285e-05
41 *600:23 *923:36 0.000192814
42 *600:23 *1334:15 1.92172e-05
43 *600:23 *1334:23 0.000184179
44 *600:23 *1350:15 7.89747e-05
45 *600:23 *1350:29 3.31745e-05
46 *600:23 *1351:7 2.34392e-05
47 *600:23 *1363:9 0.000484737
48 *600:23 *1363:20 0.0033049
49 *600:32 *6992:TE_B 0.000104731
50 *600:32 *7038:TE_B 0.000557846
51 *600:32 *7044:TE_B 8.07939e-05
52 *600:32 *607:43 0.00194056
53 *600:44 *5952:A 5.92192e-05
54 *600:44 *6147:A 5.04829e-06
55 *600:44 *6968:TE_B 0.000104731
56 *600:44 *6981:TE_B 0.000104731
57 *600:44 *7008:TE_B 7.85874e-06
58 *600:44 *604:60 0.00171874
59 *600:45 *6226:D_N 0
60 *600:45 *6310:B1 0.000385673
61 *600:45 *6314:A1 0
62 *600:45 *6979:TE_B 0.000127194
63 *600:45 *7000:TE_B 0
64 *600:45 *841:43 0.000118485
65 *600:45 *879:9 0
66 *600:45 *1298:6 0
67 *600:50 *6974:A 0.000171273
68 *600:50 *6974:TE_B 9.82896e-06
69 *600:54 *6974:TE_B 0.000144695
70 *600:58 *6984:TE_B 2.65667e-05
71 *600:58 *7019:TE_B 0.000163912
72 io_oeb[26] *600:45 4.51176e-05
73 io_oeb[29] *600:45 0.000108091
74 io_oeb[2] *600:50 2.81717e-05
75 io_oeb[2] *600:54 8.9023e-05
76 io_out[10] *600:45 0.000358251
77 io_out[17] *600:45 0
78 io_out[18] *600:32 7.93991e-05
79 io_out[34] *600:45 9.61451e-05
80 io_out[35] *600:45 0.000112225
81 io_out[4] *600:45 9.61451e-05
82 la1_data_out[12] *600:50 0.000397638
83 la1_data_out[21] *600:45 2.02035e-05
84 la1_data_out[31] *5925:A 1.65078e-05
85 la1_data_out[31] *600:45 3.55296e-05
86 *5920:A *600:54 0.000543039
87 *6779:D *600:23 1.87611e-05
88 *6780:D *600:23 2.85566e-05
89 *62:5 *5926:A 0.000171473
90 *62:5 *600:54 0.000155987
91 *62:5 *600:58 0.000715829
92 *83:8 *600:45 0.00177105
93 *87:8 *600:32 9.81553e-05
94 *584:43 *600:50 1.09551e-05
95 *584:43 *600:54 0.00147318
96 *584:49 *600:50 0.00274776
97 *584:49 *600:54 1.67988e-05
98 *584:60 *5925:A 0
99 *585:45 *600:45 0.000119234
100 *585:47 *600:45 0.00220417
101 *585:51 *600:45 0.00084444
102 *588:46 *600:32 0.000113953
103 *588:46 *600:44 9.28327e-05
104 *591:78 *600:44 0.000105921
105 *596:55 *600:32 0.000274762
106 *596:55 *600:44 0.000802734
107 *596:59 *600:32 0.000377629
108 *597:11 *5927:A 0.00167678
*RES
1 *5923:X *600:8 34.1997
2 *600:8 *600:11 7.99641
3 *600:11 *600:12 77.4079
4 *600:12 *5927:A 34.4624
5 *600:8 *600:23 208.422
6 *600:23 *600:29 13.2502
7 *600:29 *600:31 4.5
8 *600:31 *600:32 46.6792
9 *600:32 *5924:A 13.7491
10 *600:31 *600:44 46.7181
11 *600:44 *600:45 111.459
12 *600:45 *600:50 34.0869
13 *600:50 *600:54 17.7955
14 *600:54 *600:58 46.0803
15 *600:58 *5926:A 13.8789
16 *600:45 *5925:A 14.7506
17 *600:29 *5928:A 9.24915
*END
*D_NET *601 0.0773092
*CONN
*I *5931:A I *D sky130_fd_sc_hd__inv_2
*I *5930:A I *D sky130_fd_sc_hd__inv_2
*I *5933:A I *D sky130_fd_sc_hd__inv_2
*I *5932:A I *D sky130_fd_sc_hd__inv_2
*I *5934:A I *D sky130_fd_sc_hd__inv_2
*I *5929:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *5931:A 0.0010513
2 *5930:A 0.000106145
3 *5933:A 3.8149e-05
4 *5932:A 0
5 *5934:A 8.72584e-05
6 *5929:X 6.68415e-05
7 *601:73 0.00399942
8 *601:65 0.00562587
9 *601:64 0.00234075
10 *601:56 0.00228134
11 *601:54 0.00363029
12 *601:43 0.00206895
13 *601:33 0.00564328
14 *601:31 0.00363881
15 *601:29 0.0039918
16 *601:27 0.00491693
17 *601:16 0.00148843
18 *601:10 0.00424331
19 *601:9 0.00434787
20 *601:5 0.00152692
21 *5930:A *7060:A 0
22 *5930:A *7060:TE_B 0
23 *5930:A *7063:A 0
24 *5934:A *1097:11 0.000266832
25 *601:5 *603:13 4.66492e-05
26 *601:5 *603:30 6.73351e-05
27 *601:9 *5942:A 0.00048346
28 *601:9 *603:13 0.00118396
29 *601:10 *6997:TE_B 5.36834e-05
30 *601:10 *7013:TE_B 4.58577e-05
31 *601:10 *7015:A 0.00015321
32 *601:10 *7015:TE_B 0
33 *601:10 *7026:A 3.46262e-05
34 *601:10 *7053:A 0
35 *601:10 *7064:TE_B 0.000200236
36 *601:10 *606:33 0
37 *601:10 *1097:11 0
38 *601:10 *1097:14 0
39 *601:16 *6989:A 0.000565488
40 *601:16 *7026:A 0
41 *601:16 *1097:11 0.000238879
42 *601:27 *1097:11 6.49003e-05
43 *601:29 *7039:A 3.28898e-06
44 *601:29 *7047:A 2.14173e-05
45 *601:29 *7051:TE_B 0.000647008
46 *601:33 *6984:A 0.000171288
47 *601:33 *7056:A 0.000543039
48 *601:33 *7056:TE_B 2.20702e-05
49 *601:33 *1116:8 0.000171288
50 *601:43 *7019:A 0
51 *601:43 *7019:TE_B 3.1218e-05
52 *601:43 *7021:A 0
53 *601:43 *7050:A 0.000489918
54 *601:43 *7050:TE_B 4.0752e-05
55 *601:54 *603:13 2.95757e-05
56 *601:56 *6996:A 0.000226281
57 *601:64 *6962:A 6.50727e-05
58 *601:64 *7041:A 6.50727e-05
59 *601:64 *1037:8 0.00011935
60 *601:65 *6964:A 2.16355e-05
61 *601:65 *6985:A 7.65564e-05
62 *601:65 *7002:A 0.000243918
63 *601:65 *7022:TE_B 1.43848e-05
64 *601:65 *602:30 0.000582826
65 *601:73 *5938:A 0.00287977
66 *601:73 *6973:A 0.000128091
67 *601:73 *7018:A 5.24855e-05
68 *601:73 *7033:TE_B 0.000171288
69 *601:73 *7035:A 0.000219769
70 *601:73 *602:30 0.000398939
71 io_oeb[19] *601:29 3.02812e-05
72 io_oeb[21] *601:27 8.32962e-05
73 io_oeb[5] *601:10 0
74 io_out[19] *601:10 7.86825e-06
75 io_out[25] *601:43 0
76 io_out[32] *601:16 0
77 io_out[3] *601:10 0
78 *5858:A *601:10 0
79 *5864:A *601:65 0.000386928
80 *5929:A *601:5 6.50586e-05
81 *5973:A *601:65 0.00189804
82 *7104:A *601:33 0.000266566
83 *7106:A *601:29 2.65831e-05
84 *84:6 *601:10 0.00197627
85 *583:69 *601:65 0.00056402
86 *583:73 *601:65 0.000278889
87 *583:73 *601:73 0.000432726
88 *584:33 *601:43 0.000220809
89 *584:43 *601:43 0
90 *585:8 *601:64 0.000115889
91 *587:10 *601:10 0
92 *587:32 *601:64 0.00190026
93 *587:40 *5930:A 0.000123597
94 *587:40 *601:73 6.50727e-05
95 *591:15 *601:65 0.000399108
96 *597:6 *601:54 0.000683721
97 *597:6 *601:56 0.00121365
98 *598:29 *601:54 3.9739e-05
99 *599:50 *601:54 0
100 *600:8 *601:54 0
101 *600:12 *601:54 0.000648722
102 *600:12 *601:56 0.003947
*RES
1 *5929:X *601:5 11.6364
2 *601:5 *601:9 22.4161
3 *601:9 *601:10 115.819
4 *601:10 *601:16 19.8765
5 *601:16 *5934:A 12.191
6 *601:16 *601:27 22.6785
7 *601:27 *601:29 58.4022
8 *601:29 *601:31 1.80849
9 *601:31 *601:33 84.7458
10 *601:33 *601:43 45.2892
11 *601:43 *5932:A 9.24915
12 *601:5 *601:54 38.7949
13 *601:54 *601:56 77.4079
14 *601:56 *601:64 35.8818
15 *601:64 *601:65 60.066
16 *601:65 *5933:A 10.2378
17 *601:65 *601:73 103.325
18 *601:73 *5930:A 21.3269
19 *601:54 *5931:A 37.4042
*END
*D_NET *602 0.0832919
*CONN
*I *5937:A I *D sky130_fd_sc_hd__inv_2
*I *5940:A I *D sky130_fd_sc_hd__inv_2
*I *5938:A I *D sky130_fd_sc_hd__inv_2
*I *5936:A I *D sky130_fd_sc_hd__inv_2
*I *5939:A I *D sky130_fd_sc_hd__inv_2
*I *5935:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *5937:A 0.00155662
2 *5940:A 0
3 *5938:A 0.000642769
4 *5936:A 0
5 *5939:A 0.00138054
6 *5935:X 0
7 *602:61 0.00480338
8 *602:60 0.00480338
9 *602:58 0.00734447
10 *602:56 0.00723471
11 *602:48 0.00422953
12 *602:30 0.00558358
13 *602:22 0.00902651
14 *602:20 0.00731104
15 *602:8 0.00453075
16 *602:7 0.00320032
17 *602:4 0.000492765
18 *5937:A *6711:CLK 0.000423922
19 *5937:A *1094:76 0.0010026
20 *5938:A *7016:A 0.000118166
21 *5938:A *7016:TE_B 4.26566e-05
22 *5938:A *7018:A 0.000128091
23 *602:8 *1138:6 0.00223931
24 *602:22 *6962:A 0
25 *602:30 *7032:A 0
26 *602:48 *6789:CLK 2.1027e-05
27 *602:48 *606:10 2.65831e-05
28 *602:48 *606:46 0.000371284
29 *602:56 *6369:A_N 0.000528842
30 *602:56 *6408:A1 2.56976e-05
31 *602:56 *6419:A2 0.000131249
32 *602:56 *6789:CLK 7.92757e-06
33 *602:56 *773:13 0.000118166
34 *602:56 *801:56 4.17341e-05
35 *602:56 *934:46 0.00104412
36 *602:56 *1331:13 0.00128365
37 *602:56 *1331:21 5.9852e-05
38 *602:56 *1333:10 0.000253916
39 *602:58 *6006:A 4.58003e-05
40 *602:58 *6033:B 0.000164829
41 *602:58 *6039:B1 0.000487686
42 *602:58 *6857:CLK 2.65831e-05
43 *602:58 *6857:D 1.87611e-05
44 *602:58 *801:56 1.98326e-05
45 *602:58 *907:24 0.00246662
46 *602:58 *1094:39 0.000132414
47 *602:58 *1094:47 0.000844363
48 *602:58 *1094:68 0.00143942
49 *602:58 *1094:76 6.92705e-05
50 *602:58 *1228:7 9.44688e-05
51 *602:61 *5981:A 0
52 *602:61 *6129:A 4.58259e-05
53 *602:61 *6129:B 0.00024873
54 *602:61 *6131:A 0.000127179
55 *602:61 *6131:B 0.000325369
56 *602:61 *6132:A_N 6.23202e-05
57 *602:61 *6228:C_N 0
58 *602:61 *6290:A2 0
59 *602:61 *6291:A 0
60 *602:61 *6293:B1 0
61 *602:61 *6295:A 0.000118553
62 *602:61 *6327:A2 0.00015428
63 *602:61 *6329:C 0.000266494
64 *602:61 *745:10 3.58185e-05
65 *602:61 *747:10 0
66 *602:61 *747:12 0
67 *602:61 *747:14 0
68 *602:61 *864:10 0
69 *602:61 *865:9 9.4477e-05
70 *602:61 *867:20 0
71 *602:61 *867:24 0
72 *602:61 *889:21 2.71542e-05
73 *602:61 *889:28 8.36326e-05
74 *602:61 *1085:35 0
75 *602:61 *1085:37 0
76 *602:61 *1085:39 0
77 *602:61 *1085:41 0
78 *602:61 *1087:10 0
79 *602:61 *1217:43 0
80 *602:61 *1288:8 0
81 *602:61 *1288:17 0
82 *602:61 *1288:29 0
83 *602:61 *1300:10 0
84 *602:61 *1300:22 0
85 *5959:A *602:48 1.19856e-05
86 *5973:A *602:30 0.000159297
87 *6701:A *602:61 0
88 *6712:D *602:61 0
89 *6719:D *602:61 0.000290433
90 *6762:D *602:61 0
91 *6789:D *602:48 0.000140786
92 *582:9 *602:48 0.000113289
93 *583:14 *602:8 0
94 *583:14 *602:20 0
95 *583:14 *602:22 0
96 *583:69 *602:30 0.000146733
97 *587:32 *602:30 0.000117868
98 *587:34 *602:30 0.000478723
99 *591:15 *602:30 0.000137341
100 *594:35 *602:30 0.000160734
101 *594:37 *602:30 0.000132091
102 *599:39 *602:30 0.000132091
103 *601:65 *602:30 0.000582826
104 *601:73 *5938:A 0.00287977
105 *601:73 *602:30 0.000398939
*RES
1 *5935:X *602:4 9.24915
2 *602:4 *602:7 5.2234
3 *602:7 *602:8 92.357
4 *602:8 *5939:A 44.9757
5 *602:4 *602:20 14.6693
6 *602:20 *602:22 101.7
7 *602:22 *602:30 25.8496
8 *602:30 *5936:A 9.24915
9 *602:30 *5938:A 40.524
10 *602:20 *602:48 47.952
11 *602:48 *602:56 43.0782
12 *602:56 *602:58 95.8379
13 *602:58 *602:60 4.5
14 *602:60 *602:61 128.484
15 *602:61 *5940:A 13.7491
16 *602:58 *5937:A 41.0786
*END
*D_NET *603 0.0421751
*CONN
*I *5944:A I *D sky130_fd_sc_hd__inv_2
*I *5945:A I *D sky130_fd_sc_hd__inv_2
*I *5943:A I *D sky130_fd_sc_hd__inv_2
*I *5946:A I *D sky130_fd_sc_hd__inv_2
*I *5942:A I *D sky130_fd_sc_hd__inv_2
*I *5941:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *5944:A 0.000239572
2 *5945:A 0
3 *5943:A 0.000299446
4 *5946:A 0.000536295
5 *5942:A 0.000183415
6 *5941:X 0.000180738
7 *603:52 0.00056403
8 *603:40 0.000623904
9 *603:38 0.00276182
10 *603:30 0.0137392
11 *603:29 0.0109774
12 *603:13 0.00114864
13 *603:8 0.000609673
14 *5943:A *7008:A 0
15 *5943:A *7012:TE_B 5.67857e-05
16 *5943:A *757:18 0.000249768
17 *5944:A *6153:A 2.41274e-06
18 *5944:A *7010:A 0.0002212
19 *5944:A *7010:TE_B 0.000241102
20 *5946:A *1097:14 0.0011861
21 *603:30 *5976:A 3.82228e-05
22 *603:30 *5977:A 7.92757e-06
23 *603:30 *5978:A2 0.0005768
24 *603:30 *5978:A3 0.000117292
25 *603:30 *5987:B 0.000311235
26 *603:30 *6401:A 2.32967e-05
27 *603:30 *6401:B 7.89491e-05
28 *603:30 *6409:B 1.39663e-05
29 *603:30 *6410:B1 4.60686e-06
30 *603:30 *6413:C 0.00010307
31 *603:30 *6414:A 1.9547e-05
32 *603:30 *6775:CLK 1.43983e-05
33 *603:30 *609:14 9.00962e-06
34 *603:30 *609:33 2.50864e-05
35 *603:30 *609:44 6.50586e-05
36 *603:30 *616:24 0.000771557
37 *603:30 *616:38 5.0715e-05
38 *603:30 *935:24 0.00046538
39 *603:30 *940:9 1.68741e-05
40 *603:30 *940:27 1.40978e-05
41 *603:30 *940:37 5.96914e-05
42 *603:38 *6132:B 0.000430366
43 *603:52 *6150:A 0.000149628
44 *603:52 *7008:A 0
45 *603:52 *7010:A 0
46 *603:52 *757:18 0.000141001
47 *603:52 *757:27 0.000394828
48 *603:52 *757:39 0.000170592
49 *5941:A *603:8 5.19205e-05
50 *5941:A *603:13 2.82537e-05
51 *6775:D *603:30 6.51321e-05
52 *83:8 *5944:A 3.31736e-05
53 *83:8 *603:52 0
54 *214:13 *603:38 0.000137247
55 *214:17 *603:30 0.000371284
56 *214:17 *603:38 0.00154074
57 *214:28 *603:30 0.000186525
58 *593:8 *5946:A 0
59 *597:6 *603:8 3.54138e-05
60 *597:6 *603:13 1.65078e-05
61 *598:29 *603:13 3.20069e-06
62 *601:5 *603:13 4.66492e-05
63 *601:5 *603:30 6.73351e-05
64 *601:9 *5942:A 0.00048346
65 *601:9 *603:13 0.00118396
66 *601:54 *603:13 2.95757e-05
*RES
1 *5941:X *603:8 18.3836
2 *603:8 *603:13 19.396
3 *603:13 *5942:A 15.5186
4 *603:13 *5946:A 38.3522
5 *603:8 *603:29 4.5
6 *603:29 *603:30 167.104
7 *603:30 *603:38 48.6363
8 *603:38 *603:40 4.5
9 *603:40 *5943:A 22.6783
10 *603:40 *603:52 16.7133
11 *603:52 *5945:A 9.24915
12 *603:52 *5944:A 26.763
*END
*D_NET *604 0.0304473
*CONN
*I *5952:A I *D sky130_fd_sc_hd__inv_2
*I *5948:A I *D sky130_fd_sc_hd__inv_2
*I *5949:A I *D sky130_fd_sc_hd__inv_2
*I *5950:A I *D sky130_fd_sc_hd__inv_2
*I *5951:A I *D sky130_fd_sc_hd__inv_2
*I *5947:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *5952:A 0.000109827
2 *5948:A 0
3 *5949:A 0
4 *5950:A 8.752e-05
5 *5951:A 0.00015449
6 *5947:X 9.17861e-05
7 *604:60 0.000546648
8 *604:59 0.00182422
9 *604:47 0.00157449
10 *604:43 0.00426076
11 *604:41 0.00497695
12 *604:37 0.0018225
13 *604:33 0.00251047
14 *604:8 0.000934775
15 *604:6 0.0023758
16 *5951:A *7005:TE_B 1.84293e-05
17 *5952:A *7004:TE_B 0.000130777
18 *604:8 *6418:B 0
19 *604:8 *954:9 0
20 *604:33 *6164:B 0.000113968
21 *604:33 *6164:C 0.000217937
22 *604:33 *6172:B 0.000115934
23 *604:33 *6423:C 0.000115028
24 *604:33 *750:23 0.000132556
25 *604:33 *951:20 0.000155579
26 *604:33 *1334:10 1.73618e-05
27 *604:37 *6172:B 9.8904e-05
28 *604:37 *750:23 0.000436811
29 *604:37 *910:38 0.000260251
30 *604:37 *910:48 6.50586e-05
31 *604:37 *911:7 6.08467e-05
32 *604:41 *6040:A2 6.82628e-05
33 *604:41 *6040:A3 0.000164815
34 *604:41 *6040:B1 8.42922e-05
35 *604:41 *6047:B 0.000213725
36 *604:41 *664:7 5.13677e-05
37 *604:41 *910:38 0.000568096
38 *604:41 *1350:9 0.000466373
39 *604:43 *6050:A2 4.87301e-05
40 *604:43 *6062:A 2.01874e-05
41 *604:43 *6062:B 0.000168843
42 *604:43 *6715:CLK 0.000191876
43 *604:43 *6715:D 2.54559e-05
44 *604:43 *1093:7 3.6408e-05
45 *604:43 *1363:23 0.000197928
46 *604:43 *1365:8 9.39395e-05
47 *604:43 *1365:25 4.23858e-05
48 *604:47 *6062:A 1.09551e-05
49 *604:47 *6062:B 7.32658e-06
50 *6779:D *604:41 0.000229559
51 *6790:D *604:33 3.18826e-06
52 *588:46 *5952:A 0.000391283
53 *588:46 *604:60 0.00171364
54 *591:8 *5951:A 5.36834e-05
55 *591:8 *604:6 4.10825e-05
56 *591:8 *604:8 0.00038935
57 *591:78 *604:60 0.000110809
58 *596:55 *5952:A 6.60841e-05
59 *600:44 *5952:A 5.92192e-05
60 *600:44 *604:60 0.00171874
*RES
1 *5947:X *604:6 16.4116
2 *604:6 *604:8 21.3488
3 *604:8 *5951:A 18.1049
4 *604:8 *5950:A 15.5817
5 *604:6 *604:33 37.4627
6 *604:33 *604:37 25.7047
7 *604:37 *604:41 28.8876
8 *604:41 *604:43 62.2844
9 *604:43 *604:47 4.89498
10 *604:47 *5949:A 9.24915
11 *604:47 *604:59 35.7748
12 *604:59 *604:60 29.6539
13 *604:60 *5948:A 13.7491
14 *604:60 *5952:A 20.5642
*END
*D_NET *605 0.0465913
*CONN
*I *5957:A I *D sky130_fd_sc_hd__inv_2
*I *5955:A I *D sky130_fd_sc_hd__inv_2
*I *5956:A I *D sky130_fd_sc_hd__inv_2
*I *5958:A I *D sky130_fd_sc_hd__inv_2
*I *5954:A I *D sky130_fd_sc_hd__inv_2
*I *5953:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *5957:A 0.00108237
2 *5955:A 4.17153e-05
3 *5956:A 0.000173887
4 *5958:A 0
5 *5954:A 0.00165069
6 *5953:X 0
7 *605:48 0.00110883
8 *605:47 0.00257661
9 *605:41 0.00841387
10 *605:39 0.0081512
11 *605:33 0.00289603
12 *605:14 0.00204318
13 *605:9 0.00129255
14 *605:8 0.00175124
15 *605:4 0.00340887
16 *5957:A *6981:A 0
17 *5957:A *7004:A 0
18 *5957:A *7043:TE_B 1.77537e-06
19 *5957:A *1191:13 0.000282948
20 *605:8 *606:10 0.000486862
21 *605:9 *1037:7 1.43848e-05
22 *605:33 *6169:A1 5.49367e-05
23 *605:33 *6169:A2 6.78549e-05
24 *605:33 *6169:B1 8.52321e-05
25 *605:33 *6169:C1 0.000110297
26 *605:33 *6372:A2 0.000111722
27 *605:33 *6405:C 6.50727e-05
28 *605:33 *6419:A1 2.32591e-05
29 *605:33 *6419:A2 1.67404e-05
30 *605:33 *6419:A3 3.99086e-06
31 *605:33 *6419:B1 9.43124e-05
32 *605:33 *792:48 0.000891233
33 *605:39 *6169:A1 0.000268798
34 *605:39 *6169:B1 3.75603e-05
35 *605:39 *6372:B1 0.000532383
36 *605:41 *6042:B 0.000115615
37 *605:41 *6043:A 0.000260505
38 *605:41 *6727:CLK 9.91731e-05
39 *605:48 *6968:A 0
40 *605:48 *6978:TE_B 2.69064e-05
41 *605:48 *6986:TE_B 3.58185e-05
42 *605:48 *1191:13 0.00055933
43 io_oeb[6] *605:48 0
44 io_out[16] *5957:A 0
45 io_out[23] *5957:A 0
46 *5890:A *605:47 0.000851028
47 *5912:A *605:48 6.14128e-05
48 *5947:A *605:33 0.000313495
49 *5965:A *605:8 0.00022778
50 *6716:D *605:41 0.000359378
51 *6727:D *605:41 0.000276239
52 *6777:D *605:41 0.000399583
53 *6778:D *605:39 0.000107535
54 *6778:D *605:41 0.00013168
55 *6790:D *605:33 6.50586e-05
56 *583:50 *605:8 0.00239259
57 *585:8 *605:14 0
58 *596:55 *5957:A 0.000742293
59 *596:55 *605:48 0.000201435
60 *596:59 *5956:A 0.000392283
61 *596:59 *605:48 0.000941269
62 *597:65 *5956:A 0.000175042
63 *597:65 *605:48 0.0001154
*RES
1 *5953:X *605:4 9.24915
2 *605:4 *605:8 49.4505
3 *605:8 *605:9 21.2437
4 *605:9 *605:14 18.7218
5 *605:14 *5954:A 46.5764
6 *605:9 *5958:A 9.24915
7 *605:4 *605:33 49.0704
8 *605:33 *605:39 11.4417
9 *605:39 *605:41 116.636
10 *605:41 *605:47 19.3899
11 *605:47 *605:48 33.8064
12 *605:48 *5956:A 21.2876
13 *605:48 *5955:A 14.4725
14 *605:47 *5957:A 48.0031
*END
*D_NET *606 0.0396816
*CONN
*I *5960:A I *D sky130_fd_sc_hd__inv_2
*I *5963:A I *D sky130_fd_sc_hd__inv_2
*I *5964:A I *D sky130_fd_sc_hd__inv_2
*I *5961:A I *D sky130_fd_sc_hd__inv_2
*I *5962:A I *D sky130_fd_sc_hd__inv_2
*I *5959:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *5960:A 0.00102297
2 *5963:A 1.47608e-05
3 *5964:A 4.17154e-05
4 *5961:A 3.89636e-05
5 *5962:A 0
6 *5959:X 0
7 *606:51 0.00322087
8 *606:49 0.00220891
9 *606:47 0.00249726
10 *606:46 0.00272128
11 *606:33 0.00239396
12 *606:32 0.00235225
13 *606:24 0.000303371
14 *606:16 0.00193489
15 *606:14 0.00231714
16 *606:11 0.00397808
17 *606:10 0.00473349
18 *606:4 0.00112304
19 *5960:A *7039:TE_B 7.0656e-05
20 *5960:A *7047:A 0.000128091
21 *5960:A *7047:TE_B 4.66876e-05
22 *5960:A *7051:A 0.000337654
23 *606:10 *607:8 0
24 *606:10 *607:10 0
25 *606:16 *7068:A 0.000167593
26 *606:33 *7001:TE_B 0
27 *606:33 *7013:TE_B 0
28 *606:33 *7064:A 0
29 *606:33 *7064:TE_B 0
30 *606:46 *6789:CLK 4.24529e-05
31 *606:47 *6414:A 0
32 *606:47 *6414:B 0
33 *606:47 *6796:CLK 0.00026769
34 *606:47 *1095:29 8.23857e-05
35 *606:47 *1095:34 0.000329117
36 *606:47 *1095:47 9.77382e-05
37 *606:51 *7051:A 0.000134323
38 *606:51 *1095:27 0.000452016
39 *606:51 *1095:29 2.62787e-05
40 io_oeb[10] *606:14 0
41 io_oeb[10] *606:33 0
42 io_oeb[35] *606:33 0
43 io_out[31] *606:16 0
44 io_out[7] *606:33 0
45 io_out[9] *606:16 0
46 la1_data_out[20] *606:16 0
47 *5872:A *606:16 0
48 *5965:A *606:10 0.000231363
49 *6463:A *606:47 0
50 *6798:D *606:47 0.000122098
51 *6802:D *606:47 6.03796e-06
52 *6802:D *606:51 0
53 *582:12 *606:10 5.66515e-05
54 *582:16 *606:10 5.3697e-05
55 *583:33 *5963:A 6.08467e-05
56 *583:50 *606:10 3.21568e-05
57 *587:10 *606:33 0.00508751
58 *588:8 *606:10 0
59 *590:30 *606:14 0
60 *590:30 *606:16 0
61 *590:34 *606:16 0
62 *591:8 *606:47 0
63 *591:8 *606:51 0
64 *593:23 *5960:A 0
65 *593:23 *606:51 0
66 *595:28 *606:51 0
67 *599:71 *5963:A 6.08467e-05
68 *601:10 *606:33 0
69 *602:48 *606:10 2.65831e-05
70 *602:48 *606:46 0.000371284
71 *605:8 *606:10 0.000486862
*RES
1 *5959:X *606:4 9.24915
2 *606:4 *606:10 37.301
3 *606:10 *606:11 55.0746
4 *606:11 *606:14 7.1625
5 *606:14 *606:16 49.586
6 *606:16 *5962:A 13.7491
7 *606:14 *606:24 10.7694
8 *606:24 *5961:A 9.97254
9 *606:24 *606:32 4.5
10 *606:32 *606:33 86.5434
11 *606:33 *5964:A 14.4725
12 *606:4 *606:46 14.1212
13 *606:46 *606:47 69.2128
14 *606:47 *606:49 0.732798
15 *606:49 *606:51 57.3659
16 *606:51 *5963:A 14.4725
17 *606:51 *5960:A 40.3022
*END
*D_NET *607 0.0431925
*CONN
*I *5970:A I *D sky130_fd_sc_hd__inv_2
*I *5969:A I *D sky130_fd_sc_hd__inv_2
*I *5967:A I *D sky130_fd_sc_hd__inv_2
*I *5968:A I *D sky130_fd_sc_hd__inv_2
*I *5966:A I *D sky130_fd_sc_hd__inv_2
*I *5965:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *5970:A 0
2 *5969:A 2.06324e-05
3 *5967:A 4.17153e-05
4 *5968:A 0
5 *5966:A 3.5247e-05
6 *5965:X 0.000406002
7 *607:59 0.00229223
8 *607:58 0.00229223
9 *607:43 0.00112304
10 *607:41 0.00162373
11 *607:32 0.000741383
12 *607:29 0.00558219
13 *607:22 0.00711304
14 *607:13 0.00260212
15 *607:10 0.00440037
16 *607:8 0.00391948
17 *607:10 *7049:TE_B 0.000133479
18 *607:22 *7035:TE_B 0
19 *607:29 *7032:A 0.00011818
20 *607:29 *7032:TE_B 8.84157e-05
21 *607:29 *7036:TE_B 0.000952764
22 *607:32 *7036:TE_B 0.000492431
23 *607:41 *6988:A 2.692e-05
24 io_oeb[0] *607:29 0.000171288
25 io_oeb[3] *607:22 5.19349e-05
26 io_out[18] *607:32 0.000251197
27 io_out[18] *607:41 0.000452667
28 io_out[18] *607:43 0.00329602
29 la1_data_out[23] *607:10 1.07248e-05
30 *591:78 *607:43 0.000340367
31 *594:28 *607:10 0.000231363
32 *596:59 *607:43 0
33 *597:65 *607:32 0
34 *597:65 *607:41 0
35 *597:65 *607:43 0
36 *599:15 *607:13 0.000174852
37 *599:23 *607:13 0.00151092
38 *599:39 *5969:A 0
39 *599:39 *607:13 0.00048654
40 *599:39 *607:22 0.000268454
41 *600:32 *607:43 0.00194056
42 *606:10 *607:8 0
43 *606:10 *607:10 0
*RES
1 *5965:X *607:8 23.0907
2 *607:8 *607:10 89.8655
3 *607:10 *607:13 36.2812
4 *607:13 *607:22 48.5986
5 *607:22 *5966:A 10.2378
6 *607:22 *607:29 122.736
7 *607:29 *607:32 14.2218
8 *607:32 *5968:A 13.7491
9 *607:32 *607:41 17.9169
10 *607:41 *607:43 56.2422
11 *607:43 *5967:A 14.4725
12 *607:13 *5969:A 9.82786
13 *607:8 *607:58 4.5
14 *607:58 *607:59 52.8561
15 *607:59 *5970:A 9.24915
*END
*D_NET *608 0.00203382
*CONN
*I *5975:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5998:A I *D sky130_fd_sc_hd__or2_2
*I *5974:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5975:A 7.04366e-05
2 *5998:A 0
3 *5974:Y 0.000391115
4 *608:10 0.000461551
5 *5975:A *5980:A 0.000436811
6 *5975:A *615:20 0.000326398
7 *5975:A *1220:8 1.40978e-05
8 *608:10 *5974:A 9.90116e-05
9 *608:10 *5984:A 0.000108071
10 *608:10 *615:10 6.31665e-05
11 *608:10 *1066:10 6.31665e-05
*RES
1 *5974:Y *608:10 26.0719
2 *608:10 *5998:A 9.24915
3 *608:10 *5975:A 14.4335
*END
*D_NET *609 0.00722252
*CONN
*I *5994:A3 I *D sky130_fd_sc_hd__o31a_1
*I *5985:A I *D sky130_fd_sc_hd__nor2b_1
*I *5986:B I *D sky130_fd_sc_hd__and2b_1
*I *6436:C I *D sky130_fd_sc_hd__or4_1
*I *5977:C I *D sky130_fd_sc_hd__nor4_1
*I *5976:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5994:A3 0.000387198
2 *5985:A 5.60819e-05
3 *5986:B 0
4 *6436:C 5.4612e-05
5 *5977:C 0.000451902
6 *5976:X 2.06324e-05
7 *609:44 0.000665098
8 *609:33 0.000613771
9 *609:14 0.000806361
10 *609:5 0.000712431
11 *5977:C *5979:A 0.000148144
12 *5977:C *1343:5 4.43961e-05
13 *5977:C *1343:19 7.62817e-05
14 *5977:C *1345:10 0.000224783
15 *5985:A *5987:A 0.000100162
16 *5994:A3 *5987:A 0.000239718
17 *5994:A3 *6030:A3 1.82696e-05
18 *5994:A3 *616:46 2.15348e-05
19 *5994:A3 *623:9 4.64023e-05
20 *5994:A3 *644:8 0.000237038
21 *5994:A3 *650:8 0
22 *5994:A3 *1218:11 0.000158357
23 *6436:C *6436:A 2.16355e-05
24 *6436:C *6436:D 1.41976e-05
25 *609:14 *5976:A 7.92757e-06
26 *609:14 *5979:B 3.46206e-05
27 *609:14 *1344:19 0.000149628
28 *609:14 *1346:23 0.000107496
29 *609:33 *616:24 0.000392617
30 *609:33 *616:38 4.82966e-05
31 *609:44 *6004:B 0.000257972
32 *609:44 *616:38 0.000119659
33 *609:44 *617:8 0
34 *609:44 *1219:58 3.25539e-05
35 *214:28 *609:33 0.000727034
36 *214:28 *609:44 1.43712e-05
37 *361:9 *609:14 7.86847e-05
38 *365:6 *5977:C 2.21765e-05
39 *532:19 *5994:A3 1.13219e-05
40 *603:30 *609:14 9.00962e-06
41 *603:30 *609:33 2.50864e-05
42 *603:30 *609:44 6.50586e-05
*RES
1 *5976:X *609:5 9.82786
2 *609:5 *609:14 15.7376
3 *609:14 *5977:C 30.6452
4 *609:14 *6436:C 10.5271
5 *609:5 *609:33 15.9871
6 *609:33 *5986:B 9.24915
7 *609:33 *609:44 16.1232
8 *609:44 *5985:A 11.1059
9 *609:44 *5994:A3 28.8903
*END
*D_NET *610 0.00181574
*CONN
*I *5979:A I *D sky130_fd_sc_hd__nor2_2
*I *5977:Y O *D sky130_fd_sc_hd__nor4_1
*CAP
1 *5979:A 0.000231279
2 *5977:Y 0.000231279
3 *5979:A *5982:A 0.000613622
4 *5979:A *1345:10 1.87269e-05
5 *5979:A *1346:8 0
6 *5977:C *5979:A 0.000148144
7 *6440:A *5979:A 0.000406794
8 *363:11 *5979:A 5.05252e-05
9 *365:6 *5979:A 0.000115374
*RES
1 *5977:Y *5979:A 38.643
*END
*D_NET *611 0.000759857
*CONN
*I *5979:B I *D sky130_fd_sc_hd__nor2_2
*I *5978:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *5979:B 0.000145721
2 *5978:X 0.000145721
3 *5979:B *5990:A 9.2346e-06
4 *5979:B *612:11 0.000294256
5 *5979:B *1344:6 9.34396e-06
6 *5979:B *1344:19 4.22752e-05
7 *361:9 *5979:B 7.86847e-05
8 *609:14 *5979:B 3.46206e-05
*RES
1 *5978:X *5979:B 33.4828
*END
*D_NET *612 0.00737617
*CONN
*I *5996:B1 I *D sky130_fd_sc_hd__o21ai_2
*I *5980:A I *D sky130_fd_sc_hd__clkinv_2
*I *5999:A I *D sky130_fd_sc_hd__nand2_1
*I *5979:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *5996:B1 0.000161102
2 *5980:A 0.000643352
3 *5999:A 0.000666562
4 *5979:Y 0.000363411
5 *612:16 0.00147436
6 *612:11 0.000688955
7 *5980:A *615:20 3.8122e-05
8 *5980:A *628:10 6.08467e-05
9 *5980:A *1217:12 6.44576e-05
10 *5980:A *1220:8 2.7318e-06
11 *5996:B1 *615:10 0.000118166
12 *5996:B1 *626:8 0.00011818
13 *5996:B1 *910:9 0.000729664
14 *5999:A *813:21 0
15 *5999:A *907:20 0
16 *5999:A *1220:8 1.83795e-06
17 *612:11 *5990:A 0.00029134
18 *612:11 *665:17 0
19 *612:11 *910:9 0.000266846
20 *612:11 *1343:35 0.000118485
21 *612:11 *1343:45 4.3116e-06
22 *612:16 *6483:B 0
23 *612:16 *907:20 0
24 *612:16 *1066:10 0.000405584
25 *612:16 *1220:8 0.000133775
26 *612:16 *1343:45 7.23866e-05
27 *5975:A *5980:A 0.000436811
28 *5979:B *612:11 0.000294256
29 *361:9 *612:11 0.000220628
*RES
1 *5979:Y *612:11 32.0514
2 *612:11 *612:16 16.2303
3 *612:16 *5999:A 28.4296
4 *612:16 *5980:A 20.3894
5 *612:11 *5996:B1 17.1824
*END
*D_NET *613 0.0105124
*CONN
*I *6703:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6609:A I *D sky130_fd_sc_hd__or3_1
*I *6702:A I *D sky130_fd_sc_hd__nand2_1
*I *6005:A0 I *D sky130_fd_sc_hd__mux2_1
*I *5981:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6703:A1 6.40453e-05
2 *6609:A 0.000587115
3 *6702:A 2.97789e-05
4 *6005:A0 0.00120822
5 *5981:Y 0.00034983
6 *613:18 0.00257598
7 *613:10 0.00145813
8 *613:9 0.000809863
9 *613:5 0.000576035
10 *6005:A0 *6017:A2 0.000483488
11 *6005:A0 *6035:B1 0.000171273
12 *6005:A0 *6125:B 9.43604e-05
13 *6005:A0 *6126:B 2.85274e-05
14 *6005:A0 *6843:CLK 4.15559e-05
15 *6005:A0 *621:24 9.96342e-05
16 *6005:A0 *659:8 0
17 *6005:A0 *659:18 8.90486e-05
18 *6005:A0 *1215:8 0.000387915
19 *6005:A0 *1219:42 0.000107101
20 *6609:A *1235:17 1.62659e-05
21 *613:18 *6124:A2 0.00012904
22 *613:18 *6126:A 7.50872e-05
23 *613:18 *6130:A 0.000101246
24 *613:18 *6856:D 7.98962e-05
25 *613:18 *1029:8 0
26 *613:18 *1218:36 5.43198e-05
27 *6609:C *6609:A 3.95367e-05
28 *6638:A *613:18 0
29 *6700:C *6609:A 0.000205909
30 *6702:B *6609:A 0.000120052
31 *6702:B *613:10 0.000146098
32 *6702:B *613:18 1.07248e-05
33 *6703:A2 *6703:A1 4.80635e-06
34 *6703:A2 *613:9 1.31897e-05
35 *6703:B1 *6609:A 8.52802e-05
36 *6703:B1 *6702:A 1.43983e-05
37 *6703:B1 *613:10 7.14746e-05
38 *6829:D *613:18 4.27003e-05
39 *6843:D *6005:A0 1.87611e-05
40 *6860:D *6609:A 0
41 *573:9 *6609:A 4.66492e-05
42 *573:18 *6609:A 7.50722e-05
*RES
1 *5981:Y *613:5 14.4094
2 *613:5 *613:9 6.88721
3 *613:9 *613:10 2.6625
4 *613:10 *613:18 40.8712
5 *613:18 *6005:A0 47.6184
6 *613:10 *6702:A 14.4725
7 *613:9 *6609:A 25.947
8 *613:5 *6703:A1 11.3711
*END
*D_NET *614 0.0085089
*CONN
*I *6004:A I *D sky130_fd_sc_hd__or3b_1
*I *5988:A I *D sky130_fd_sc_hd__nor3_1
*I *6019:A I *D sky130_fd_sc_hd__nor2_1
*I *5991:A I *D sky130_fd_sc_hd__xor2_1
*I *6436:B I *D sky130_fd_sc_hd__or4_1
*I *5982:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6004:A 0
2 *5988:A 0.000152217
3 *6019:A 0.000398487
4 *5991:A 0
5 *6436:B 4.19109e-05
6 *5982:X 9.19746e-05
7 *614:40 0.000714092
8 *614:35 0.0012221
9 *614:21 0.0012522
10 *614:9 0.000327376
11 *5988:A *5997:A2 0.000171273
12 *5988:A *644:8 0.000217587
13 *5988:A *649:13 1.65872e-05
14 *5988:A *1218:11 0.00035688
15 *5988:A *1219:68 0.000264572
16 *6019:A *5994:A1 0.000217951
17 *6019:A *5994:A2 6.08467e-05
18 *6019:A *6022:B1 4.82966e-05
19 *6019:A *621:24 5.05252e-05
20 *6019:A *633:10 1.87469e-05
21 *6436:B *6436:A 7.02172e-06
22 *6436:B *6436:D 1.61631e-05
23 *614:9 *621:8 0
24 *614:9 *1066:10 2.12377e-05
25 *614:21 *6436:A 7.97944e-05
26 *614:21 *6436:D 4.48869e-05
27 *614:21 *621:24 3.01683e-06
28 *614:21 *622:7 0
29 *614:35 *5987:B 0.000170577
30 *614:35 *5995:A 0.000224381
31 *614:35 *6017:A2 6.50727e-05
32 *614:35 *6036:A2 5.51483e-06
33 *614:35 *621:24 3.55491e-05
34 *614:35 *622:7 0.000328363
35 *614:35 *644:8 0.000327446
36 *614:35 *650:8 0
37 *614:35 *910:9 2.16355e-05
38 *614:35 *1219:26 0.000355477
39 *614:40 *644:8 0.000296304
40 *614:40 *910:9 2.16355e-05
41 *614:40 *1218:11 0.000101148
42 *614:40 *1219:58 8.47466e-05
43 *532:19 *6019:A 0.000290294
44 *532:21 *6019:A 0.000385012
*RES
1 *5982:X *614:9 20.3893
2 *614:9 *6436:B 9.97254
3 *614:9 *614:21 6.17298
4 *614:21 *5991:A 9.24915
5 *614:21 *614:35 31.285
6 *614:35 *614:40 10.7927
7 *614:40 *6019:A 32.986
8 *614:40 *5988:A 21.7084
9 *614:35 *6004:A 9.24915
*END
*D_NET *615 0.00580459
*CONN
*I *6004:B I *D sky130_fd_sc_hd__or3b_1
*I *5993:A I *D sky130_fd_sc_hd__nor2_1
*I *5988:B I *D sky130_fd_sc_hd__nor3_1
*I *6001:A I *D sky130_fd_sc_hd__or2_1
*I *5998:B I *D sky130_fd_sc_hd__or2_2
*I *5983:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6004:B 0.000308745
2 *5993:A 0
3 *5988:B 0
4 *6001:A 1.98947e-05
5 *5998:B 0
6 *5983:X 0.000270756
7 *615:41 0.000467595
8 *615:32 0.000369342
9 *615:20 0.000428027
10 *615:10 0.000468396
11 *6001:A *5997:A2 6.08467e-05
12 *6001:A *1219:68 2.16355e-05
13 *6004:B *6004:C_N 2.16355e-05
14 *6004:B *910:9 2.86013e-06
15 *6004:B *1219:58 0.000446029
16 *615:10 *616:6 0.000169078
17 *615:10 *626:8 0
18 *615:10 *1066:10 0
19 *615:20 *626:8 0.000143032
20 *615:20 *1217:12 1.61631e-05
21 *615:20 *1220:8 8.07438e-05
22 *615:32 *5997:A2 0.000761013
23 *615:32 *619:8 6.50727e-05
24 *615:32 *626:8 4.55115e-05
25 *615:32 *631:10 0.000434578
26 *615:32 *649:13 6.50727e-05
27 *615:41 *619:8 6.50586e-05
28 *615:41 *649:13 5.98693e-05
29 *615:41 *1217:12 0.000155272
30 *615:41 *1219:68 1.87125e-05
31 *5975:A *615:20 0.000326398
32 *5980:A *615:20 3.8122e-05
33 *5996:B1 *615:10 0.000118166
34 *214:28 *615:20 0
35 *532:19 *615:10 0
36 *532:19 *615:20 3.58208e-05
37 *608:10 *615:10 6.31665e-05
38 *609:44 *6004:B 0.000257972
*RES
1 *5983:X *615:10 26.3422
2 *615:10 *5998:B 9.24915
3 *615:10 *615:20 12.3468
4 *615:20 *6001:A 14.4725
5 *615:20 *615:32 15.0985
6 *615:32 *5988:B 9.24915
7 *615:32 *615:41 9.13165
8 *615:41 *5993:A 13.7491
9 *615:41 *6004:B 23.8207
*END
*D_NET *616 0.0115494
*CONN
*I *5994:A2 I *D sky130_fd_sc_hd__o31a_1
*I *6122:A I *D sky130_fd_sc_hd__nor2_1
*I *5985:B_N I *D sky130_fd_sc_hd__nor2b_1
*I *5986:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6436:A I *D sky130_fd_sc_hd__or4_1
*I *5984:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *5994:A2 7.98688e-05
2 *6122:A 0.00136201
3 *5985:B_N 0
4 *5986:A_N 0
5 *6436:A 0.000351025
6 *5984:X 0.000219317
7 *616:46 0.00170209
8 *616:38 0.000563972
9 *616:24 0.000497839
10 *616:6 0.000764417
11 *5994:A2 *621:24 0.000191541
12 *5994:A2 *650:8 0.000182915
13 *6122:A *6016:A 0.000232356
14 *6122:A *6016:B 0.000271058
15 *6122:A *6017:B1 1.71154e-05
16 *6122:A *6121:A 0.000776135
17 *6122:A *6121:B 3.14978e-05
18 *6122:A *6124:B1 8.43707e-05
19 *6122:A *621:24 0.000118485
20 *6122:A *634:9 0.000181539
21 *6122:A *650:8 0.000122083
22 *6122:A *737:7 4.80635e-06
23 *6122:A *739:24 2.1801e-05
24 *6122:A *910:9 0.00110787
25 *6436:A *6436:D 2.29299e-05
26 *6436:A *1066:10 0
27 *616:6 *626:8 0
28 *616:6 *1066:10 0
29 *616:38 *5987:A 9.01967e-05
30 *616:38 *5995:A 0
31 *616:38 *617:8 6.31809e-05
32 *616:38 *1219:58 0
33 *616:46 *5987:A 0.000253916
34 *616:46 *1218:11 2.20702e-05
35 *616:46 *1218:35 3.21413e-05
36 *5994:A3 *616:46 2.15348e-05
37 *6019:A *5994:A2 6.08467e-05
38 *6436:B *6436:A 7.02172e-06
39 *6436:C *6436:A 2.16355e-05
40 *6693:C *6122:A 0.000377273
41 *532:19 *5994:A2 6.08467e-05
42 *603:30 *616:24 0.000771557
43 *603:30 *616:38 5.0715e-05
44 *609:33 *616:24 0.000392617
45 *609:33 *616:38 4.82966e-05
46 *609:44 *616:38 0.000119659
47 *614:21 *6436:A 7.97944e-05
48 *615:10 *616:6 0.000169078
*RES
1 *5984:X *616:6 19.7337
2 *616:6 *6436:A 21.8719
3 *616:6 *616:24 17.063
4 *616:24 *5986:A_N 9.24915
5 *616:24 *616:38 17.704
6 *616:38 *5985:B_N 9.24915
7 *616:38 *616:46 10.7694
8 *616:46 *6122:A 48.9591
9 *616:46 *5994:A2 17.9655
*END
*D_NET *617 0.00207643
*CONN
*I *5987:A I *D sky130_fd_sc_hd__nor2_2
*I *6004:C_N I *D sky130_fd_sc_hd__or3b_1
*I *5996:A1 I *D sky130_fd_sc_hd__o21ai_2
*I *5985:Y O *D sky130_fd_sc_hd__nor2b_1
*CAP
1 *5987:A 0.000138824
2 *6004:C_N 0.00016129
3 *5996:A1 0.000125732
4 *5985:Y 0
5 *617:8 0.000399161
6 *617:4 0.000250963
7 *5996:A1 *5996:A2 0.000144695
8 *5996:A1 *910:9 1.00846e-05
9 *6004:C_N *910:9 6.24083e-05
10 *617:8 *5995:A 1.44611e-05
11 *617:8 *1220:33 0
12 *5985:A *5987:A 0.000100162
13 *5994:A3 *5987:A 0.000239718
14 *6004:B *6004:C_N 2.16355e-05
15 *609:44 *617:8 0
16 *616:38 *5987:A 9.01967e-05
17 *616:38 *617:8 6.31809e-05
18 *616:46 *5987:A 0.000253916
*RES
1 *5985:Y *617:4 9.24915
2 *617:4 *617:8 12.0778
3 *617:8 *5996:A1 13.3002
4 *617:8 *6004:C_N 13.3243
5 *617:4 *5987:A 15.1569
*END
*D_NET *618 0.00122462
*CONN
*I *5987:B I *D sky130_fd_sc_hd__nor2_2
*I *5986:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *5987:B 0.00022792
2 *5986:X 0.00022792
3 *5987:B *644:8 6.42805e-05
4 *5987:B *650:8 9.31199e-05
5 *214:28 *5987:B 0.000129573
6 *603:30 *5987:B 0.000311235
7 *614:35 *5987:B 0.000170577
*RES
1 *5986:X *5987:B 36.9792
*END
*D_NET *619 0.00461596
*CONN
*I *6015:A2_N I *D sky130_fd_sc_hd__o2bb2a_1
*I *6029:C I *D sky130_fd_sc_hd__and3_1
*I *5989:A I *D sky130_fd_sc_hd__inv_2
*I *5988:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *6015:A2_N 0.000346088
2 *6029:C 1.65675e-05
3 *5989:A 0.000351844
4 *5988:Y 0.000211245
5 *619:23 0.000462497
6 *619:8 0.00066293
7 *5989:A *6002:A1 6.50586e-05
8 *5989:A *6002:A2 5.03285e-05
9 *5989:A *6002:C1 6.99486e-05
10 *5989:A *6031:A2 8.09383e-05
11 *5989:A *6031:B1 9.63981e-05
12 *5989:A *637:46 0.00102165
13 *6015:A2_N *6009:B1 2.21765e-05
14 *6015:A2_N *6029:A 0.000116201
15 *6015:A2_N *644:8 4.3116e-06
16 *6015:A2_N *1217:12 0.000116454
17 *6015:A2_N *1217:26 0.000118485
18 *6015:A2_N *1281:17 0.000317721
19 *6029:C *6029:A 2.23105e-05
20 *619:8 *644:8 1.77965e-05
21 *619:8 *649:13 3.8122e-05
22 *619:8 *1217:12 9.32927e-05
23 *619:23 *644:8 3.16271e-05
24 *619:23 *1217:12 0.000151835
25 *615:32 *619:8 6.50727e-05
26 *615:41 *619:8 6.50586e-05
*RES
1 *5988:Y *619:8 17.9683
2 *619:8 *5989:A 29.1093
3 *619:8 *619:23 3.07775
4 *619:23 *6029:C 14.0144
5 *619:23 *6015:A2_N 22.8148
*END
*D_NET *620 0.00154734
*CONN
*I *6003:A2 I *D sky130_fd_sc_hd__o22ai_1
*I *6022:A1 I *D sky130_fd_sc_hd__o22a_1
*I *5989:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6003:A2 0
2 *6022:A1 0.000199279
3 *5989:Y 0.000433288
4 *620:9 0.000632566
5 *6022:A1 *6003:B1 0.000164843
6 *6022:A1 *6022:A2 4.30017e-06
7 *6022:A1 *6022:B1 7.65976e-05
8 *6022:A1 *633:10 3.64685e-05
9 *620:9 *6019:B 0
10 *620:9 *6693:B 0
*RES
1 *5989:Y *620:9 24.8261
2 *620:9 *6022:A1 15.2533
3 *620:9 *6003:A2 9.24915
*END
*D_NET *621 0.00661888
*CONN
*I *6009:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6030:A2 I *D sky130_fd_sc_hd__a31o_1
*I *5991:B I *D sky130_fd_sc_hd__xor2_1
*I *5990:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6009:A2 0.000250253
2 *6030:A2 0
3 *5991:B 0
4 *5990:Y 0.000110338
5 *621:24 0.00155753
6 *621:8 0.00141762
7 *6009:A2 *5994:A1 0
8 *6009:A2 *6002:A1 9.25341e-05
9 *6009:A2 *6009:A1 0.000113968
10 *6009:A2 *6015:A1_N 4.12119e-05
11 *6009:A2 *6022:A2 0.000137404
12 *621:8 *1220:33 0
13 *621:24 *5994:A1 0
14 *621:24 *6003:B1 9.60216e-05
15 *621:24 *6022:A2 0.000294805
16 *621:24 *6022:B1 1.2693e-05
17 *621:24 *6030:A3 3.49955e-05
18 *621:24 *6031:B1 2.55493e-05
19 *621:24 *6036:A2 0.000366603
20 *621:24 *6037:B1 7.52542e-05
21 *621:24 *622:7 7.32422e-05
22 *621:24 *633:10 0.00019268
23 *621:24 *644:13 8.51541e-05
24 *621:24 *644:18 0.000190414
25 *621:24 *650:8 0.000172572
26 *621:24 *659:8 0
27 *621:24 *659:18 0.000405513
28 *621:24 *1219:7 0.00011818
29 *621:24 *1219:26 0.00020979
30 *5994:A2 *621:24 0.000191541
31 *6005:A0 *621:24 9.96342e-05
32 *6019:A *621:24 5.05252e-05
33 *6122:A *621:24 0.000118485
34 *522:9 *6009:A2 4.58003e-05
35 *614:9 *621:8 0
36 *614:21 *621:24 3.01683e-06
37 *614:35 *621:24 3.55491e-05
*RES
1 *5990:Y *621:8 20.4964
2 *621:8 *5991:B 9.24915
3 *621:8 *621:24 47.9078
4 *621:24 *6030:A2 13.7491
5 *621:24 *6009:A2 21.8422
*END
*D_NET *622 0.00170633
*CONN
*I *5995:A I *D sky130_fd_sc_hd__inv_2
*I *5992:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5991:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *5995:A 0.00030505
2 *5992:A 0
3 *5991:X 8.91398e-05
4 *622:7 0.00039419
5 *5995:A *1219:26 0.00021243
6 *5995:A *1219:58 0
7 *5995:A *1220:33 0
8 *622:7 *1219:26 6.50727e-05
9 *614:21 *622:7 0
10 *614:35 *5995:A 0.000224381
11 *614:35 *622:7 0.000328363
12 *616:38 *5995:A 0
13 *617:8 *5995:A 1.44611e-05
14 *621:24 *622:7 7.32422e-05
*RES
1 *5991:X *622:7 13.7342
2 *622:7 *5992:A 9.24915
3 *622:7 *5995:A 27.0361
*END
*D_NET *623 0.00224593
*CONN
*I *5994:B1 I *D sky130_fd_sc_hd__o31a_1
*I *6030:A3 I *D sky130_fd_sc_hd__a31o_1
*I *5993:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *5994:B1 0
2 *6030:A3 0.000536108
3 *5993:Y 0.000144199
4 *623:9 0.000680307
5 *6030:A3 *6002:A1 0.000143032
6 *6030:A3 *6002:B1 6.17194e-05
7 *6030:A3 *6002:B2 1.91391e-05
8 *6030:A3 *6022:A2 5.64929e-05
9 *6030:A3 *6030:A1 4.31703e-05
10 *6030:A3 *6030:B1 1.03403e-05
11 *6030:A3 *644:8 0
12 *6030:A3 *650:8 0.000122068
13 *623:9 *1217:12 2.41274e-06
14 *5994:A3 *6030:A3 1.82696e-05
15 *5994:A3 *623:9 4.64023e-05
16 *532:19 *623:9 0.000327273
17 *621:24 *6030:A3 3.49955e-05
*RES
1 *5993:Y *623:9 14.0477
2 *623:9 *6030:A3 31.2544
3 *623:9 *5994:B1 9.24915
*END
*D_NET *624 0.000598934
*CONN
*I *6003:B1 I *D sky130_fd_sc_hd__o22ai_1
*I *5994:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *6003:B1 9.71883e-05
2 *5994:X 9.71883e-05
3 *6003:B1 *6022:B1 0.000135919
4 *6003:B1 *633:10 7.77309e-06
5 *6022:A1 *6003:B1 0.000164843
6 *621:24 *6003:B1 9.60216e-05
*RES
1 *5994:X *6003:B1 31.1629
*END
*D_NET *625 0.000752516
*CONN
*I *5996:A2 I *D sky130_fd_sc_hd__o21ai_2
*I *5995:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *5996:A2 8.66215e-05
2 *5995:Y 8.66215e-05
3 *5996:A2 *910:9 0.000434578
4 *5996:A1 *5996:A2 0.000144695
*RES
1 *5995:Y *5996:A2 23.6826
*END
*D_NET *626 0.00653229
*CONN
*I *6014:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6027:A2 I *D sky130_fd_sc_hd__o22ai_1
*I *6021:A2 I *D sky130_fd_sc_hd__o221a_1
*I *6002:A2 I *D sky130_fd_sc_hd__o221a_1
*I *5996:Y O *D sky130_fd_sc_hd__o21ai_2
*CAP
1 *6014:A2 0.000105333
2 *6027:A2 0.000357123
3 *6021:A2 0
4 *6002:A2 0.000480672
5 *5996:Y 0.000531197
6 *626:26 0.000497637
7 *626:19 0.000122583
8 *626:8 0.00109927
9 *6002:A2 *6002:C1 0.000725452
10 *6002:A2 *6031:A2 0.000464127
11 *6002:A2 *637:8 0.000122223
12 *6002:A2 *1066:19 5.24855e-05
13 *6014:A2 *6014:B1 2.12954e-05
14 *6014:A2 *6014:C1 0.000126375
15 *6014:A2 *6027:A1 9.24241e-05
16 *6014:A2 *1281:17 4.30017e-06
17 *6014:A2 *1281:19 4.91225e-06
18 *6027:A2 *6027:B2 0.000570342
19 *626:8 *637:8 0
20 *626:8 *910:9 2.41483e-05
21 *626:19 *637:8 0
22 *626:26 *6014:C1 0
23 *626:26 *6027:A1 4.22063e-05
24 *626:26 *637:8 0
25 *5989:A *6002:A2 5.03285e-05
26 *5996:B1 *626:8 0.00011818
27 *214:28 *626:8 0
28 *532:19 *6014:A2 8.89094e-05
29 *532:19 *626:8 0.000438358
30 *532:19 *626:19 0.000135905
31 *532:19 *626:26 6.79599e-05
32 *615:10 *626:8 0
33 *615:20 *626:8 0.000143032
34 *615:32 *626:8 4.55115e-05
35 *616:6 *626:8 0
*RES
1 *5996:Y *626:8 29.4561
2 *626:8 *6002:A2 30.0256
3 *626:8 *626:19 2.6625
4 *626:19 *6021:A2 13.7491
5 *626:19 *626:26 1.41674
6 *626:26 *6027:A2 20.0186
7 *626:26 *6014:A2 17.8478
*END
*D_NET *627 0.00480035
*CONN
*I *6012:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *6002:B1 I *D sky130_fd_sc_hd__o221a_1
*I *6027:B1 I *D sky130_fd_sc_hd__o22ai_1
*I *6021:B1 I *D sky130_fd_sc_hd__o221a_1
*I *5997:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6012:B1_N 0
2 *6002:B1 0.000330673
3 *6027:B1 0
4 *6021:B1 2.37251e-05
5 *5997:Y 0.000394528
6 *627:23 0.000408752
7 *627:13 0.000335027
8 *627:10 0.000627752
9 *6002:B1 *6002:A1 5.66868e-06
10 *6002:B1 *6027:A1 0
11 *6002:B1 *6029:A 0.000207266
12 *6002:B1 *6029:B 1.03403e-05
13 *6002:B1 *6030:B1 6.23875e-05
14 *6002:B1 *6031:A2 6.46998e-05
15 *6002:B1 *644:8 6.74667e-05
16 *6002:B1 *649:13 6.75138e-05
17 *6021:B1 *6027:A1 1.61631e-05
18 *6021:B1 *6027:B2 2.41483e-05
19 *627:10 *5997:A2 0.000275256
20 *627:10 *6021:A1 1.07248e-05
21 *627:10 *6021:B2 0
22 *627:10 *1066:10 3.98321e-05
23 *627:10 *1317:50 0
24 *627:13 *6012:A2 3.01683e-06
25 *627:13 *6021:A1 0.000540793
26 *627:13 *6021:B2 5.73392e-05
27 *627:13 *6027:B2 1.99271e-05
28 *627:13 *640:25 0
29 *627:13 *649:13 0.000375013
30 *627:23 *6027:A1 0.000153949
31 *627:23 *6027:B2 2.82583e-05
32 *627:23 *6031:A2 1.71154e-05
33 *627:23 *649:13 0.000571293
34 *6030:A3 *6002:B1 6.17194e-05
*RES
1 *5997:Y *627:10 26.7602
2 *627:10 *627:13 9.62117
3 *627:13 *6021:B1 10.5513
4 *627:13 *627:23 6.26943
5 *627:23 *6027:B1 9.24915
6 *627:23 *6002:B1 28.7297
7 *627:10 *6012:B1_N 9.24915
*END
*D_NET *628 0.00586151
*CONN
*I *5999:B I *D sky130_fd_sc_hd__nand2_1
*I *6012:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *6026:A2 I *D sky130_fd_sc_hd__o22a_1
*I *6000:A2 I *D sky130_fd_sc_hd__o22a_1
*I *6020:B1 I *D sky130_fd_sc_hd__o21a_1
*I *5998:X O *D sky130_fd_sc_hd__or2_2
*CAP
1 *5999:B 0
2 *6012:A2 0.000189465
3 *6026:A2 8.97947e-05
4 *6000:A2 0
5 *6020:B1 0
6 *5998:X 0.00011853
7 *628:37 0.000366652
8 *628:28 0.000325649
9 *628:23 0.000599477
10 *628:10 0.00047975
11 *6012:A2 *6026:B1 0.000405513
12 *6012:A2 *6027:B2 0.00082273
13 *6012:A2 *640:25 0.0001964
14 *6026:A2 *6026:A1 5.46928e-05
15 *6026:A2 *6026:B1 0.000207266
16 *6026:A2 *6027:B2 0.000113968
17 *628:10 *1066:10 0.000143032
18 *628:10 *1220:8 9.19886e-06
19 *628:23 *5997:A1 0.000109097
20 *628:23 *5997:A2 0.000525979
21 *628:23 *5997:B1 2.41483e-05
22 *628:23 *1317:50 0.000213725
23 *628:28 *6662:A 0.000107496
24 *628:28 *902:21 0.000200236
25 *628:37 *902:21 0.00022117
26 *5980:A *628:10 6.08467e-05
27 *366:8 *628:28 9.20398e-05
28 *366:8 *628:37 8.61936e-05
29 *532:19 *628:10 0
30 *545:7 *6026:A2 9.54448e-05
31 *627:13 *6012:A2 3.01683e-06
*RES
1 *5998:X *628:10 21.635
2 *628:10 *6020:B1 9.24915
3 *628:10 *628:23 12.4665
4 *628:23 *628:28 12.044
5 *628:28 *6000:A2 13.7491
6 *628:28 *628:37 8.40826
7 *628:37 *6026:A2 13.3002
8 *628:37 *6012:A2 22.0291
9 *628:23 *5999:B 9.24915
*END
*D_NET *629 0.00322985
*CONN
*I *6013:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6026:B1 I *D sky130_fd_sc_hd__o22a_1
*I *6000:B1 I *D sky130_fd_sc_hd__o22a_1
*I *5999:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6013:A2 0.00047458
2 *6026:B1 0.000113442
3 *6000:B1 0.000136499
4 *5999:Y 0.000116696
5 *629:15 0.000724098
6 *629:6 0.000389271
7 *6013:A2 *6013:A1 0.000256846
8 *6013:A2 *813:21 0
9 *6013:A2 *1314:5 6.50586e-05
10 *6013:A2 *1317:50 4.447e-05
11 *6026:B1 *6026:A1 6.08467e-05
12 *6026:B1 *640:25 0.000230505
13 *629:6 *6002:B2 4.75721e-06
14 *629:6 *813:21 0
15 *629:6 *907:20 0
16 *629:15 *813:21 0
17 *629:15 *907:20 0
18 *6012:A2 *6026:B1 0.000405513
19 *6026:A2 *6026:B1 0.000207266
*RES
1 *5999:Y *629:6 16.4116
2 *629:6 *6000:B1 16.691
3 *629:6 *629:15 3.07775
4 *629:15 *6026:B1 20.5732
5 *629:15 *6013:A2 22.1265
*END
*D_NET *630 0.00392487
*CONN
*I *6002:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6000:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *6002:B2 0.00193651
2 *6000:X 0.00193651
3 *6002:B2 *644:8 4.20184e-06
4 *6002:B2 *813:21 2.37478e-05
5 *6030:A3 *6002:B2 1.91391e-05
6 *629:6 *6002:B2 4.75721e-06
*RES
1 *6000:X *6002:B2 39.4029
*END
*D_NET *631 0.00255933
*CONN
*I *6002:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6008:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6001:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6002:C1 0.000135959
2 *6008:A 0
3 *6001:X 0.000373968
4 *631:10 0.000509927
5 *6002:C1 *637:8 0.000171288
6 *6002:C1 *637:28 6.03996e-05
7 *6002:C1 *637:46 2.99978e-05
8 *631:10 *649:13 0
9 *631:10 *1066:20 4.78118e-05
10 *5989:A *6002:C1 6.99486e-05
11 *6002:A2 *6002:C1 0.000725452
12 *214:28 *631:10 0
13 *615:32 *631:10 0.000434578
*RES
1 *6001:X *631:10 25.5173
2 *631:10 *6008:A 9.24915
3 *631:10 *6002:C1 17.1824
*END
*D_NET *632 0.000887711
*CONN
*I *6003:B2 I *D sky130_fd_sc_hd__o22ai_1
*I *6002:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *6003:B2 0.000222077
2 *6002:X 0.000222077
3 *6003:B2 *5994:A1 0.000122068
4 *6003:B2 *6003:A1 5.0459e-05
5 *6003:B2 *637:46 0.00027103
*RES
1 *6002:X *6003:B2 32.6874
*END
*D_NET *633 0.00298246
*CONN
*I *6005:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6037:B1 I *D sky130_fd_sc_hd__a2bb2o_1
*I *6003:Y O *D sky130_fd_sc_hd__o22ai_1
*CAP
1 *6005:A1 0
2 *6037:B1 0.000259486
3 *6003:Y 0.000647761
4 *633:10 0.000907247
5 *6037:B1 *6032:B 0
6 *6037:B1 *6035:B1 0
7 *6037:B1 *650:8 0.000324463
8 *633:10 *5994:A1 0.000100655
9 *633:10 *6017:A1 2.22342e-05
10 *633:10 *6022:B1 9.75356e-05
11 *633:10 *634:12 7.84205e-05
12 *633:10 *659:8 0
13 *6003:B1 *633:10 7.77309e-06
14 *6019:A *633:10 1.87469e-05
15 *6022:A1 *633:10 3.64685e-05
16 *214:28 *6037:B1 0.000213739
17 *621:24 *6037:B1 7.52542e-05
18 *621:24 *633:10 0.00019268
*RES
1 *6003:Y *633:10 35.2018
2 *633:10 *6037:B1 26.6209
3 *633:10 *6005:A1 9.24915
*END
*D_NET *634 0.00212186
*CONN
*I *6016:B I *D sky130_fd_sc_hd__nor2_1
*I *6005:S I *D sky130_fd_sc_hd__mux2_1
*I *6017:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6004:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6016:B 7.38223e-05
2 *6005:S 0
3 *6017:A1 0.000179788
4 *6004:X 0.000239554
5 *634:12 0.000300777
6 *634:9 0.000434365
7 *6016:B *6017:B1 4.30017e-06
8 *6017:A1 *659:8 0
9 *634:9 *910:9 2.41916e-05
10 *634:12 *659:8 0
11 *6122:A *6016:B 0.000271058
12 *6122:A *634:9 0.000181539
13 *214:17 *6017:A1 4.0752e-05
14 *214:28 *6017:A1 0.000271058
15 *633:10 *6017:A1 2.22342e-05
16 *633:10 *634:12 7.84205e-05
*RES
1 *6004:X *634:9 15.5668
2 *634:9 *634:12 7.993
3 *634:12 *6017:A1 18.8017
4 *634:12 *6005:S 13.7491
5 *634:9 *6016:B 12.191
*END
*D_NET *635 0.00318383
*CONN
*I *6035:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *6036:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6006:A I *D sky130_fd_sc_hd__inv_2
*I *6038:A I *D sky130_fd_sc_hd__or2_1
*I *6005:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6035:A1 0
2 *6036:B1 0.00014336
3 *6006:A 3.38065e-05
4 *6038:A 0.000170959
5 *6005:X 0.000391853
6 *635:12 0.000283703
7 *635:10 0.000374439
8 *635:8 0.000543994
9 *6006:A *1228:7 6.50586e-05
10 *6036:B1 *6036:A1 6.50586e-05
11 *6036:B1 *6037:A2_N 0.000113197
12 *6038:A *6038:B 0.000270301
13 *635:8 *1219:42 1.44467e-05
14 *635:8 *1219:58 3.10924e-05
15 *635:10 *6036:A2 0
16 *635:10 *6038:B 7.77309e-06
17 *635:10 *644:18 5.47736e-05
18 *635:10 *1219:42 9.2557e-05
19 *635:12 *6038:B 5.65074e-05
20 *635:12 *1219:42 5.66868e-06
21 *214:28 *635:8 0.000168023
22 *218:9 *6038:A 9.28816e-05
23 *218:9 *635:12 5.04879e-05
24 *593:44 *6038:A 0.000108087
25 *602:58 *6006:A 4.58003e-05
*RES
1 *6005:X *635:8 20.602
2 *635:8 *635:10 4.32351
3 *635:10 *635:12 2.6625
4 *635:12 *6038:A 20.5992
5 *635:12 *6006:A 15.0271
6 *635:10 *6036:B1 17.8243
7 *635:8 *6035:A1 13.7491
*END
*D_NET *636 0.010237
*CONN
*I *6617:B I *D sky130_fd_sc_hd__and2_1
*I *6615:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6616:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6614:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *6015:A1_N I *D sky130_fd_sc_hd__o2bb2a_1
*I *6007:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6617:B 2.06324e-05
2 *6615:A1 0.000163931
3 *6616:A1 0
4 *6614:A1 0.000331658
5 *6015:A1_N 0.000626968
6 *6007:X 0.000899022
7 *636:37 0.000446724
8 *636:32 0.000850149
9 *636:13 0.00122278
10 *636:11 0.000944315
11 *6015:A1_N *5994:A1 5.8261e-05
12 *6015:A1_N *6002:A1 0
13 *6015:A1_N *6003:A1 0
14 *6015:A1_N *6605:A 0
15 *6015:A1_N *6607:A1 5.68225e-06
16 *6015:A1_N *6624:B 0
17 *6015:A1_N *829:29 0
18 *6015:A1_N *907:52 0
19 *6015:A1_N *1217:26 0.000340969
20 *6015:A1_N *1281:17 0.000336757
21 *6614:A1 *1066:20 0
22 *6615:A1 *1238:16 7.85411e-06
23 *636:11 *6007:A 6.50727e-05
24 *636:11 *7088:A 6.36773e-05
25 *636:11 *7089:A 6.3657e-05
26 *636:11 *656:8 0
27 *636:11 *1235:30 0
28 *636:11 *1237:8 0
29 *636:11 *1237:10 0
30 *636:11 *1240:8 0
31 *636:11 *1240:15 0
32 *636:32 *6628:A1 9.67379e-05
33 *636:37 *6616:B1 6.08467e-05
34 *636:37 *1238:16 0.00047703
35 *6009:A2 *6015:A1_N 4.12119e-05
36 *6607:A2 *6015:A1_N 0.000214058
37 *6609:C *636:11 4.47578e-05
38 *6610:A *6015:A1_N 0
39 *6610:B *6015:A1_N 0.000101118
40 *6615:A2 *6615:A1 4.35484e-05
41 *6615:A2 *636:32 0.00013592
42 *6615:B1 *6614:A1 3.24105e-05
43 *6615:B1 *6615:A1 1.96769e-05
44 *6615:B1 *636:37 2.97421e-05
45 *6615:C1 *636:37 0.000328363
46 *6616:A2 *6614:A1 0
47 *6619:C *6615:A1 0
48 *6619:C *636:32 0
49 *6622:A *636:32 7.82659e-05
50 *6622:B *636:32 0
51 *6624:C *636:13 2.41483e-05
52 *6624:C *636:32 5.19205e-05
53 *6625:A *6015:A1_N 0.00011818
54 *6625:A *636:11 0.00014642
55 *6625:A *636:13 0.00015511
56 *6626:A3 *636:32 0
57 *6627:B *636:32 0
58 *500:8 *6015:A1_N 0.000104731
59 *504:5 *636:11 6.50727e-05
60 *504:9 *636:11 0.00105055
61 *504:23 *636:32 0
62 *506:10 *6614:A1 0
63 *506:33 *636:32 0.000190057
64 *511:5 *6015:A1_N 0.000113968
65 *511:5 *636:13 6.50727e-05
*RES
1 *6007:X *636:11 45.6378
2 *636:11 *636:13 1.8326
3 *636:13 *6015:A1_N 39.1731
4 *636:13 *636:32 19.4247
5 *636:32 *636:37 10.9476
6 *636:37 *6614:A1 25.5173
7 *636:37 *6616:A1 9.24915
8 *636:32 *6615:A1 17.6107
9 *636:11 *6617:B 9.82786
*END
*D_NET *637 0.00787946
*CONN
*I *6031:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6019:B I *D sky130_fd_sc_hd__nor2_1
*I *6009:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6014:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6021:C1 I *D sky130_fd_sc_hd__o221a_1
*I *6008:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6031:A1 7.8023e-05
2 *6019:B 0.000209567
3 *6009:B1 0.00047049
4 *6014:C1 0.000351302
5 *6021:C1 0
6 *6008:X 0
7 *637:46 0.000824795
8 *637:28 0.00123362
9 *637:8 0.000632735
10 *637:4 0.00050736
11 *6009:B1 *6029:A 0
12 *6009:B1 *6031:A2 0.000200236
13 *6009:B1 *649:13 0.000183778
14 *6009:B1 *1066:20 0
15 *6009:B1 *1217:12 4.20666e-05
16 *6009:B1 *1217:26 4.72727e-05
17 *6014:C1 *6014:B1 8.79721e-06
18 *6014:C1 *6027:A1 3.85032e-05
19 *6014:C1 *1066:20 0
20 *6014:C1 *1281:17 1.62073e-05
21 *6019:B *6003:A1 7.77309e-06
22 *6019:B *659:8 0.000316675
23 *6031:A1 *6003:A1 1.90335e-05
24 *637:8 *1066:19 0.000271044
25 *637:8 *1066:20 0
26 *637:46 *659:8 0.000111708
27 *5989:A *637:46 0.00102165
28 *6002:A2 *637:8 0.000122223
29 *6002:C1 *637:8 0.000171288
30 *6002:C1 *637:28 6.03996e-05
31 *6002:C1 *637:46 2.99978e-05
32 *6003:B2 *637:46 0.00027103
33 *6014:A2 *6014:C1 0.000126375
34 *6015:A2_N *6009:B1 2.21765e-05
35 *214:28 *637:8 3.0577e-05
36 *522:9 *6009:B1 0.000426168
37 *532:21 *6019:B 2.65831e-05
38 *620:9 *6019:B 0
39 *626:8 *637:8 0
40 *626:19 *637:8 0
41 *626:26 *6014:C1 0
42 *626:26 *637:8 0
*RES
1 *6008:X *637:4 9.24915
2 *637:4 *637:8 13.1532
3 *637:8 *6021:C1 13.7491
4 *637:8 *6014:C1 20.752
5 *637:4 *637:28 3.93045
6 *637:28 *6009:B1 33.4071
7 *637:28 *637:46 18.1722
8 *637:46 *6019:B 20.4571
9 *637:46 *6031:A1 15.4834
*END
*D_NET *638 0.000870592
*CONN
*I *6015:B1 I *D sky130_fd_sc_hd__o2bb2a_1
*I *6009:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6015:B1 0.000162175
2 *6009:Y 0.000162175
3 *6015:B1 *6002:A1 5.30785e-05
4 *6015:B1 *6029:A 5.30785e-05
5 *6015:B1 *1217:26 0.000222149
6 *6015:B1 *1281:17 0.000217937
*RES
1 *6009:Y *6015:B1 33.7938
*END
*D_NET *639 0.00111991
*CONN
*I *6630:D I *D sky130_fd_sc_hd__and4_1
*I *6014:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6010:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6630:D 8.06626e-05
2 *6014:A1 0.000173415
3 *6010:Y 9.84652e-05
4 *639:7 0.000352543
5 *6014:A1 *6027:A1 0.000247216
6 *6014:A1 *1066:20 0
7 *6630:D *6027:A1 0.000134421
8 *6630:D *1066:20 0
9 *6630:D *1246:10 3.31882e-05
*RES
1 *6010:Y *639:7 15.0271
2 *639:7 *6014:A1 18.8055
3 *639:7 *6630:D 16.4116
*END
*D_NET *640 0.00956709
*CONN
*I *6665:A I *D sky130_fd_sc_hd__nand2_1
*I *6669:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6668:B I *D sky130_fd_sc_hd__and3_1
*I *6012:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *6667:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6011:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6665:A 0
2 *6669:A1 0.000122652
3 *6668:B 5.61729e-05
4 *6012:A1 0
5 *6667:A1 1.98947e-05
6 *6011:X 9.79059e-05
7 *640:33 0.000296999
8 *640:25 0.00186503
9 *640:17 0.00202172
10 *640:16 0.000228066
11 *640:5 0.000307348
12 *6669:A1 *6669:B1 0.000107496
13 *640:5 *6011:A 0.00011818
14 *640:16 *1312:6 0.000118485
15 *640:16 *1312:8 0.000261916
16 *640:17 *6668:A 6.08467e-05
17 *640:25 *6000:A1 0.000776541
18 *640:25 *6026:A1 0.000262339
19 *640:25 *1312:8 2.09495e-05
20 *640:33 *6668:A 3.40423e-05
21 *6012:A2 *640:25 0.0001964
22 *6026:B1 *640:25 0.000230505
23 *6604:B *6667:A1 2.16355e-05
24 *6604:B *640:5 0.000291278
25 *6665:B *640:16 8.62625e-06
26 *6667:A2 *6669:A1 3.31733e-05
27 *6667:B1 *640:17 1.58551e-05
28 *6667:B1 *640:33 6.92705e-05
29 *6681:A *640:25 4.41134e-05
30 *6685:B *640:25 0.000306512
31 *6835:D *640:16 0.000125695
32 *371:8 *6669:A1 8.81696e-05
33 *371:8 *640:25 0.000102359
34 *545:7 *640:25 5.81185e-06
35 *548:8 *640:25 2.65831e-05
36 *548:20 *6668:B 9.19886e-06
37 *548:20 *640:17 2.15348e-05
38 *548:20 *640:33 7.02172e-06
39 *548:29 *6669:A1 0.000176675
40 *550:7 *6667:A1 6.08467e-05
41 *550:7 *640:5 0.000775032
42 *561:6 *640:25 0.000174205
43 *593:31 *640:25 0
44 *597:33 *640:16 0
45 *627:13 *640:25 0
*RES
1 *6011:X *640:5 17.737
2 *640:5 *6667:A1 9.97254
3 *640:5 *640:16 15.815
4 *640:16 *640:17 1.278
5 *640:17 *640:25 47.7403
6 *640:25 *6012:A1 9.24915
7 *640:17 *640:33 3.37585
8 *640:33 *6668:B 10.5513
9 *640:33 *6669:A1 23.0201
10 *640:16 *6665:A 9.24915
*END
*D_NET *641 0.000517204
*CONN
*I *6013:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6012:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *6013:B1 0.000149287
2 *6012:X 0.000149287
3 *6013:B1 *6014:B1 9.14505e-05
4 *6013:B1 *6021:A1 0.000127179
5 *532:19 *6013:B1 0
*RES
1 *6012:X *6013:B1 32.1327
*END
*D_NET *642 0.00138972
*CONN
*I *6014:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6013:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6014:B1 0.000204221
2 *6013:Y 0.000204221
3 *6014:B1 *1281:17 1.09551e-05
4 *6014:B1 *1281:19 0.000848781
5 *6013:B1 *6014:B1 9.14505e-05
6 *6014:A2 *6014:B1 2.12954e-05
7 *6014:C1 *6014:B1 8.79721e-06
*RES
1 *6013:Y *6014:B1 28.1195
*END
*D_NET *643 0.00141808
*CONN
*I *6015:B2 I *D sky130_fd_sc_hd__o2bb2a_1
*I *6014:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6015:B2 0.000614339
2 *6014:X 0.000614339
3 *6015:B2 *1217:26 6.23875e-05
4 *6015:B2 *1281:17 0.00012701
*RES
1 *6014:X *6015:B2 28.0953
*END
*D_NET *644 0.00816221
*CONN
*I *6017:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6035:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *6036:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6038:B I *D sky130_fd_sc_hd__or2_1
*I *6015:X O *D sky130_fd_sc_hd__o2bb2a_1
*CAP
1 *6017:A2 0.000652032
2 *6035:A2 0
3 *6036:A1 9.36721e-06
4 *6038:B 0.000363385
5 *6015:X 0.000941483
6 *644:18 0.000590965
7 *644:13 0.000335362
8 *644:8 0.00171066
9 *6017:A2 *6017:B1 3.67528e-06
10 *6017:A2 *6035:B1 0.000155201
11 *6017:A2 *6036:A2 5.04829e-06
12 *6017:A2 *659:8 4.70005e-05
13 *6017:A2 *659:18 4.33819e-05
14 *6017:A2 *1219:26 0
15 *6017:A2 *1227:10 3.00073e-05
16 *6036:A1 *6037:A2_N 6.50586e-05
17 *6038:B *6857:CLK 0
18 *644:8 *6002:A1 0
19 *644:8 *6029:A 0.000165495
20 *644:8 *1217:12 0
21 *644:8 *1217:26 0.000118245
22 *644:8 *1218:11 7.77309e-06
23 *644:8 *1219:58 0.000198968
24 *644:13 *650:8 0
25 *644:13 *1219:42 9.75356e-05
26 *644:13 *1219:58 8.62625e-06
27 *644:18 *6036:A2 3.34802e-05
28 *5987:B *644:8 6.42805e-05
29 *5988:A *644:8 0.000217587
30 *5994:A3 *644:8 0.000237038
31 *6002:B1 *644:8 6.74667e-05
32 *6002:B2 *644:8 4.20184e-06
33 *6005:A0 *6017:A2 0.000483488
34 *6015:A2_N *644:8 4.3116e-06
35 *6030:A3 *644:8 0
36 *6036:B1 *6036:A1 6.50586e-05
37 *6038:A *6038:B 0.000270301
38 *218:9 *6038:B 0
39 *229:6 *644:13 8.62625e-06
40 *567:14 *6017:A2 0
41 *593:44 *6038:B 2.42273e-05
42 *614:35 *6017:A2 6.50727e-05
43 *614:35 *644:8 0.000327446
44 *614:40 *644:8 0.000296304
45 *619:8 *644:8 1.77965e-05
46 *619:23 *644:8 3.16271e-05
47 *621:24 *644:13 8.51541e-05
48 *621:24 *644:18 0.000190414
49 *635:10 *6038:B 7.77309e-06
50 *635:10 *644:18 5.47736e-05
51 *635:12 *6038:B 5.65074e-05
*RES
1 *6015:X *644:8 41.7771
2 *644:8 *644:13 7.33269
3 *644:13 *644:18 9.2724
4 *644:18 *6038:B 23.9212
5 *644:18 *6036:A1 14.4725
6 *644:13 *6035:A2 9.24915
7 *644:8 *6017:A2 35.8956
*END
*D_NET *645 0.000601379
*CONN
*I *6017:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6016:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6017:B1 0.000262786
2 *6016:Y 0.000262786
3 *6017:B1 *6016:A 5.0715e-05
4 *6017:B1 *659:8 0
5 *6016:B *6017:B1 4.30017e-06
6 *6017:A2 *6017:B1 3.67528e-06
7 *6122:A *6017:B1 1.71154e-05
8 *567:14 *6017:B1 0
*RES
1 *6016:Y *6017:B1 32.548
*END
*D_NET *646 0.00206681
*CONN
*I *6022:A2 I *D sky130_fd_sc_hd__o22a_1
*I *6018:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6022:A2 0.000521563
2 *6018:X 0.000521563
3 *6022:A2 *6002:A1 0.000306512
4 *6022:A2 *6022:B1 1.00937e-05
5 *6022:A2 *1217:26 0.000149018
6 *6022:A2 *1281:11 6.50586e-05
7 *6009:A2 *6022:A2 0.000137404
8 *6022:A1 *6022:A2 4.30017e-06
9 *6030:A3 *6022:A2 5.64929e-05
10 *621:24 *6022:A2 0.000294805
*RES
1 *6018:X *6022:A2 40.8881
*END
*D_NET *647 0.00147848
*CONN
*I *6022:B1 I *D sky130_fd_sc_hd__o22a_1
*I *6019:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6022:B1 0.00035556
2 *6019:Y 0.00035556
3 *6022:B1 *5994:A1 0.000164829
4 *6022:B1 *649:13 4.24529e-05
5 *6022:B1 *650:8 0.000164815
6 *6003:B1 *6022:B1 0.000135919
7 *6019:A *6022:B1 4.82966e-05
8 *6022:A1 *6022:B1 7.65976e-05
9 *6022:A2 *6022:B1 1.00937e-05
10 *532:21 *6022:B1 1.41291e-05
11 *621:24 *6022:B1 1.2693e-05
12 *633:10 *6022:B1 9.75356e-05
*RES
1 *6019:Y *6022:B1 38.2039
*END
*D_NET *648 0.00152487
*CONN
*I *6021:B2 I *D sky130_fd_sc_hd__o221a_1
*I *6020:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6021:B2 0.00031711
2 *6020:X 0.00031711
3 *6021:B2 *6021:A1 0.00033061
4 *6021:B2 *6027:B2 0.00047703
5 *6021:B2 *1066:10 2.56676e-05
6 *532:19 *6021:B2 0
7 *627:10 *6021:B2 0
8 *627:13 *6021:B2 5.73392e-05
*RES
1 *6020:X *6021:B2 38.643
*END
*D_NET *649 0.0038546
*CONN
*I *6022:B2 I *D sky130_fd_sc_hd__o22a_1
*I *6021:X O *D sky130_fd_sc_hd__o221a_1
*CAP
1 *6022:B2 0
2 *6021:X 0.00105821
3 *649:13 0.00105821
4 *649:13 *5997:A2 0.000118166
5 *649:13 *650:8 2.42273e-05
6 *649:13 *1066:20 0
7 *649:13 *1217:12 1.5471e-05
8 *649:13 *1218:11 0.000160617
9 *5988:A *649:13 1.65872e-05
10 *6002:B1 *649:13 6.75138e-05
11 *6009:B1 *649:13 0.000183778
12 *6022:B1 *649:13 4.24529e-05
13 *615:32 *649:13 6.50727e-05
14 *615:41 *649:13 5.98693e-05
15 *619:8 *649:13 3.8122e-05
16 *627:13 *649:13 0.000375013
17 *627:23 *649:13 0.000571293
18 *631:10 *649:13 0
*RES
1 *6021:X *649:13 41.9274
2 *649:13 *6022:B2 9.24915
*END
*D_NET *650 0.0042215
*CONN
*I *6034:A I *D sky130_fd_sc_hd__inv_2
*I *6032:A I *D sky130_fd_sc_hd__nor2_1
*I *6037:B2 I *D sky130_fd_sc_hd__a2bb2o_1
*I *6039:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6022:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *6034:A 2.87676e-05
2 *6032:A 0.000168534
3 *6037:B2 0
4 *6039:A2 0.000120996
5 *6022:X 0.000784846
6 *650:24 0.000233547
7 *650:19 3.62452e-05
8 *650:8 0.000905842
9 *6032:A *6032:B 0
10 *6032:A *6039:B1 0.000277502
11 *6032:A *6039:B2 1.07248e-05
12 *6034:A *6695:B1_N 9.9028e-05
13 *6034:A *1219:42 9.39797e-05
14 *6039:A2 *6715:D 1.07248e-05
15 *650:24 *6695:B1_N 9.40969e-05
16 *650:24 *1219:42 9.90116e-05
17 *5987:B *650:8 9.31199e-05
18 *5994:A2 *650:8 0.000182915
19 *5994:A3 *650:8 0
20 *6022:B1 *650:8 0.000164815
21 *6030:A3 *650:8 0.000122068
22 *6037:B1 *650:8 0.000324463
23 *6122:A *650:8 0.000122083
24 *6727:D *6039:A2 4.77123e-05
25 *229:6 *6039:A2 3.67528e-06
26 *229:6 *650:8 0
27 *614:35 *650:8 0
28 *621:24 *650:8 0.000172572
29 *644:13 *650:8 0
30 *649:13 *650:8 2.42273e-05
*RES
1 *6022:X *650:8 35.9635
2 *650:8 *6039:A2 17.5597
3 *650:8 *650:19 4.5
4 *650:19 *6037:B2 9.24915
5 *650:19 *650:24 2.38721
6 *650:24 *6032:A 24.2687
7 *650:24 *6034:A 11.6364
*END
*D_NET *651 0.0036069
*CONN
*I *6630:B I *D sky130_fd_sc_hd__and4_1
*I *6027:A1 I *D sky130_fd_sc_hd__o22ai_1
*I *6023:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6630:B 4.95021e-05
2 *6027:A1 0.000500791
3 *6023:Y 0.000319775
4 *651:6 0.000870067
5 *6027:A1 *6010:A 0
6 *6027:A1 *6027:B2 0.000375621
7 *6027:A1 *1066:20 0
8 *6027:A1 *1246:10 2.19131e-05
9 *6630:B *6630:C 2.41274e-06
10 *651:6 *1246:10 3.00073e-05
11 *651:6 *1246:34 0.000177927
12 *6002:B1 *6027:A1 0
13 *6014:A1 *6027:A1 0.000247216
14 *6014:A2 *6027:A1 9.24241e-05
15 *6014:C1 *6027:A1 3.85032e-05
16 *6021:B1 *6027:A1 1.61631e-05
17 *6630:D *6027:A1 0.000134421
18 *6644:A *6027:A1 1.44611e-05
19 *6644:A *651:6 0.000148226
20 *6645:C *6630:B 7.97098e-06
21 *6646:A *651:6 5.62332e-05
22 *530:16 *651:6 6.81008e-05
23 *530:18 *651:6 7.37927e-05
24 *532:19 *6027:A1 0.000165219
25 *626:26 *6027:A1 4.22063e-05
26 *627:23 *6027:A1 0.000153949
*RES
1 *6023:Y *651:6 25.5472
2 *651:6 *6027:A1 30.812
3 *651:6 *6630:B 14.4725
*END
*D_NET *652 0.00249364
*CONN
*I *6026:A1 I *D sky130_fd_sc_hd__o22a_1
*I *6024:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6026:A1 0.000319718
2 *6024:Y 0.000319718
3 *6026:A2 *6026:A1 5.46928e-05
4 *6026:B1 *6026:A1 6.08467e-05
5 *366:8 *6026:A1 0.000733113
6 *402:8 *6026:A1 0.000733113
7 *545:7 *6026:A1 1.00937e-05
8 *640:25 *6026:A1 0.000262339
*RES
1 *6024:Y *6026:A1 44.0626
*END
*D_NET *653 0.000589476
*CONN
*I *6026:B2 I *D sky130_fd_sc_hd__o22a_1
*I *6025:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6026:B2 0.00011246
2 *6025:Y 0.00011246
3 *6026:B2 *6000:A1 2.63704e-05
4 *6026:B2 *895:57 0.000169093
5 *402:8 *6026:B2 0.000169093
*RES
1 *6025:Y *6026:B2 31.854
*END
*D_NET *654 0.00449488
*CONN
*I *6027:B2 I *D sky130_fd_sc_hd__o22ai_1
*I *6026:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *6027:B2 0.000677139
2 *6026:X 0.000677139
3 *6027:B2 *6021:A1 8.90486e-05
4 *6027:B2 *6031:A2 1.61631e-05
5 *6012:A2 *6027:B2 0.00082273
6 *6021:B1 *6027:B2 2.41483e-05
7 *6021:B2 *6027:B2 0.00047703
8 *6026:A2 *6027:B2 0.000113968
9 *6027:A1 *6027:B2 0.000375621
10 *6027:A2 *6027:B2 0.000570342
11 *545:7 *6027:B2 0.000603368
12 *627:13 *6027:B2 1.99271e-05
13 *627:23 *6027:B2 2.82583e-05
*RES
1 *6026:X *6027:B2 46.4214
*END
*D_NET *655 0.00350336
*CONN
*I *6031:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6027:Y O *D sky130_fd_sc_hd__o22ai_1
*CAP
1 *6031:A2 0.00111448
2 *6027:Y 0.00111448
3 *6031:A2 *6002:A1 2.16355e-05
4 *6031:A2 *6031:B1 0.000217951
5 *6031:A2 *1217:12 0.000191541
6 *5989:A *6031:A2 8.09383e-05
7 *6002:A2 *6031:A2 0.000464127
8 *6002:B1 *6031:A2 6.46998e-05
9 *6009:B1 *6031:A2 0.000200236
10 *6027:B2 *6031:A2 1.61631e-05
11 *627:23 *6031:A2 1.71154e-05
*RES
1 *6027:Y *6031:A2 48.401
*END
*D_NET *656 0.00899887
*CONN
*I *6606:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *6626:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6623:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6621:A I *D sky130_fd_sc_hd__and3_1
*I *6029:A I *D sky130_fd_sc_hd__and3_1
*I *6028:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6606:A_N 0.000222368
2 *6626:A2 0
3 *6623:B1 0.000109662
4 *6621:A 9.87043e-05
5 *6029:A 0.000642969
6 *6028:X 0.000246495
7 *656:22 0.000854982
8 *656:20 0.000373027
9 *656:16 0.00116266
10 *656:8 0.00148147
11 *6029:A *6002:A1 0
12 *6029:A *829:24 0.000179303
13 *6029:A *829:29 0.000182869
14 *6029:A *907:13 9.24241e-05
15 *6606:A_N *7088:A 4.99109e-05
16 *6621:A *907:13 0.000271044
17 *656:8 *6606:D 0
18 *656:8 *6608:D_N 5.3381e-05
19 *656:8 *1084:38 0
20 *656:8 *1238:16 0.000120067
21 *656:8 *1238:21 0.0001839
22 *656:16 *6628:A1 0.000238567
23 *656:16 *7088:A 0.000123387
24 *656:20 *6626:A1 6.50727e-05
25 *656:20 *829:24 0.000165495
26 *656:20 *1127:13 1.41689e-05
27 *656:22 *829:24 0.000207379
28 *6002:B1 *6029:A 0.000207266
29 *6009:B1 *6029:A 0
30 *6015:A2_N *6029:A 0.000116201
31 *6015:B1 *6029:A 5.30785e-05
32 *6029:C *6029:A 2.23105e-05
33 *6607:B1_N *6029:A 0
34 *6612:B *656:20 0.000124674
35 *6618:A2 *656:20 6.92004e-05
36 *6618:A2 *656:22 1.09738e-05
37 *6619:C *656:16 8.62625e-06
38 *6621:B *6621:A 1.65872e-05
39 *6621:C *6621:A 0.000134659
40 *6621:C *656:22 6.25467e-05
41 *6622:A *656:16 7.56859e-06
42 *6623:A2 *6623:B1 6.50586e-05
43 *6624:D *6623:B1 6.49003e-05
44 *6626:B1 *656:20 0.000211492
45 *6628:A2 *656:16 0.000100307
46 *6645:B *6029:A 0
47 *499:29 *6623:B1 6.73186e-05
48 *501:8 *656:16 8.96528e-05
49 *501:33 *656:8 1.53009e-05
50 *506:5 *656:16 2.16355e-05
51 *506:33 *656:16 8.65358e-05
52 *511:7 *6623:B1 0.000113968
53 *514:8 *6621:A 2.42138e-05
54 *522:9 *6029:A 0
55 *636:11 *656:8 0
56 *644:8 *6029:A 0.000165495
*RES
1 *6028:X *656:8 25.8947
2 *656:8 *656:16 27.9508
3 *656:16 *656:20 11.0742
4 *656:20 *656:22 3.90826
5 *656:22 *6029:A 33.3327
6 *656:22 *6621:A 18.2342
7 *656:20 *6623:B1 17.8243
8 *656:16 *6626:A2 9.24915
9 *656:8 *6606:A_N 12.7697
*END
*D_NET *657 0.000437507
*CONN
*I *6030:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6029:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6030:B1 0.000182389
2 *6029:X 0.000182389
3 *6002:B1 *6030:B1 6.23875e-05
4 *6030:A3 *6030:B1 1.03403e-05
*RES
1 *6029:X *6030:B1 22.5734
*END
*D_NET *658 0.000566485
*CONN
*I *6031:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6030:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6031:B1 9.44034e-05
2 *6030:X 9.44034e-05
3 *6031:B1 *5994:A1 3.77804e-05
4 *5989:A *6031:B1 9.63981e-05
5 *6031:A2 *6031:B1 0.000217951
6 *621:24 *6031:B1 2.55493e-05
*RES
1 *6030:X *6031:B1 31.7175
*END
*D_NET *659 0.00522582
*CONN
*I *6035:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *6036:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6032:B I *D sky130_fd_sc_hd__nor2_1
*I *6031:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6035:A3 0
2 *6036:A2 0.000162459
3 *6032:B 0.000284294
4 *6031:Y 0.000823725
5 *659:18 0.000348637
6 *659:8 0.0012942
7 *6032:B *6033:B 1.44467e-05
8 *6032:B *6035:B1 0
9 *6032:B *1227:10 0
10 *6036:A2 *6857:D 0
11 *6036:A2 *1219:26 0.000202298
12 *659:8 *5994:A1 0
13 *659:8 *6003:A1 0.000148114
14 *659:8 *1227:10 0
15 *659:18 *6035:B1 0.000523679
16 *6005:A0 *659:8 0
17 *6005:A0 *659:18 8.90486e-05
18 *6017:A1 *659:8 0
19 *6017:A2 *6036:A2 5.04829e-06
20 *6017:A2 *659:8 4.70005e-05
21 *6017:A2 *659:18 4.33819e-05
22 *6017:B1 *659:8 0
23 *6019:B *659:8 0.000316675
24 *6032:A *6032:B 0
25 *6037:B1 *6032:B 0
26 *567:14 *659:8 0
27 *614:35 *6036:A2 5.51483e-06
28 *621:24 *6036:A2 0.000366603
29 *621:24 *659:8 0
30 *621:24 *659:18 0.000405513
31 *633:10 *659:8 0
32 *634:12 *659:8 0
33 *635:10 *6036:A2 0
34 *637:46 *659:8 0.000111708
35 *644:18 *6036:A2 3.34802e-05
*RES
1 *6031:Y *659:8 34.2997
2 *659:8 *6032:B 20.1489
3 *659:8 *659:18 13.5424
4 *659:18 *6036:A2 24.5474
5 *659:18 *6035:A3 9.24915
*END
*D_NET *660 0.00153272
*CONN
*I *6033:B I *D sky130_fd_sc_hd__xor2_1
*I *6039:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6032:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6033:B 0.000168571
2 *6039:B1 7.4936e-05
3 *6032:Y 0
4 *660:4 0.000243507
5 *6033:B *6039:B2 0
6 *6033:B *1227:10 0.000101246
7 *6032:A *6039:B1 0.000277502
8 *6032:B *6033:B 1.44467e-05
9 *602:58 *6033:B 0.000164829
10 *602:58 *6039:B1 0.000487686
*RES
1 *6032:Y *660:4 9.24915
2 *660:4 *6039:B1 14.4094
3 *660:4 *6033:B 23.99
*END
*D_NET *661 0.00124975
*CONN
*I *6035:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *6034:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6035:B1 0.000199798
2 *6034:Y 0.000199798
3 *6005:A0 *6035:B1 0.000171273
4 *6017:A2 *6035:B1 0.000155201
5 *6032:B *6035:B1 0
6 *6037:B1 *6035:B1 0
7 *659:18 *6035:B1 0.000523679
*RES
1 *6034:Y *6035:B1 35.8756
*END
*D_NET *662 0.00120775
*CONN
*I *6037:A2_N I *D sky130_fd_sc_hd__a2bb2o_1
*I *6036:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6037:A2_N 0.000163195
2 *6036:X 0.000163195
3 *6037:A2_N *6695:B1_N 0.000680783
4 *6037:A2_N *1219:42 2.23259e-05
5 *6036:A1 *6037:A2_N 6.50586e-05
6 *6036:B1 *6037:A2_N 0.000113197
*RES
1 *6036:X *6037:A2_N 26.4556
*END
*D_NET *663 0.000738685
*CONN
*I *6039:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6038:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6039:B2 0.000234933
2 *6038:X 0.000234933
3 *6039:B2 *6715:D 4.01573e-05
4 *6032:A *6039:B2 1.07248e-05
5 *6033:B *6039:B2 0
6 *593:44 *6039:B2 0.000217937
*RES
1 *6038:X *6039:B2 32.548
*END
*D_NET *664 0.0025638
*CONN
*I *6042:A I *D sky130_fd_sc_hd__and2_1
*I *6052:B I *D sky130_fd_sc_hd__xnor2_1
*I *6040:Y O *D sky130_fd_sc_hd__o31ai_1
*CAP
1 *6042:A 0.000164535
2 *6052:B 0.000363453
3 *6040:Y 0.000389053
4 *664:7 0.00091704
5 *6042:A *6042:B 6.50586e-05
6 *6042:A *6047:A 5.0608e-05
7 *6042:A *1066:10 0
8 *6052:B *6044:A 0.000175689
9 *6052:B *6047:A 0.000134323
10 *6052:B *693:11 5.67995e-05
11 *6052:B *1066:10 0
12 *664:7 *6040:B1 5.04829e-06
13 *664:7 *6047:B 8.65358e-05
14 *362:8 *6042:A 5.62332e-05
15 *362:8 *6052:B 4.80597e-05
16 *604:41 *664:7 5.13677e-05
*RES
1 *6040:Y *664:7 19.464
2 *664:7 *6052:B 23.0557
3 *664:7 *6042:A 18.7961
*END
*D_NET *665 0.0066776
*CONN
*I *6483:B I *D sky130_fd_sc_hd__or3_2
*I *6441:B I *D sky130_fd_sc_hd__nor3_2
*I *6042:B I *D sky130_fd_sc_hd__and2_1
*I *6041:X O *D sky130_fd_sc_hd__or4_2
*CAP
1 *6483:B 0.00029088
2 *6441:B 8.41853e-05
3 *6042:B 8.31606e-05
4 *6041:X 0.000444106
5 *665:17 0.000923951
6 *665:8 0.00107615
7 *6441:B *910:9 0.000470571
8 *6483:B *907:20 0.000214043
9 *6483:B *1343:45 0
10 *665:8 *6040:A2 1.93378e-05
11 *665:8 *6041:B 0.000111722
12 *665:8 *6044:B 0.00015511
13 *665:8 *6044:C 0.000120546
14 *665:8 *1351:10 1.47102e-05
15 *665:8 *1351:23 1.93378e-05
16 *665:8 *1351:32 3.65842e-05
17 *665:8 *1353:9 1.43848e-05
18 *665:8 *1353:25 3.21683e-05
19 *665:17 *5990:A 7.08412e-05
20 *665:17 *907:20 0.000740257
21 *665:17 *1343:35 2.61857e-05
22 *665:17 *1346:8 2.54199e-05
23 *6042:A *6042:B 6.50586e-05
24 *6441:A *6441:B 0.000164829
25 *6441:C *6441:B 7.24449e-05
26 *6483:A *6483:B 2.65667e-05
27 *6771:D *665:8 0
28 *6771:D *665:17 0
29 *361:9 *6441:B 0.000160617
30 *362:8 *665:8 0.000531296
31 *362:8 *665:17 0.00056752
32 *363:11 *665:17 0
33 *605:41 *6042:B 0.000115615
34 *612:11 *665:17 0
35 *612:16 *6483:B 0
*RES
1 *6041:X *665:8 28.6312
2 *665:8 *6042:B 16.691
3 *665:8 *665:17 20.5183
4 *665:17 *6441:B 19.4881
5 *665:17 *6483:B 20.0446
*END
*D_NET *666 0.00092941
*CONN
*I *6043:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6042:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6043:A 0.000334453
2 *6042:X 0.000334453
3 *6043:A *1066:10 0
4 *6043:A *1220:33 0
5 *605:41 *6043:A 0.000260505
*RES
1 *6042:X *6043:A 37.676
*END
*D_NET *667 0.00602093
*CONN
*I *6046:B I *D sky130_fd_sc_hd__nand3b_1
*I *6049:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6174:A I *D sky130_fd_sc_hd__nand2_1
*I *6072:C I *D sky130_fd_sc_hd__and3_1
*I *6044:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *6046:B 9.8419e-05
2 *6049:A1 6.43179e-05
3 *6174:A 0
4 *6072:C 0
5 *6044:X 0.000148417
6 *667:39 0.00126857
7 *667:23 0.00142226
8 *667:11 0.00046484
9 *6046:B *6049:A2 0.000123582
10 *6046:B *6049:B1_N 0
11 *6046:B *1094:29 1.12605e-05
12 *6049:A1 *6049:A2 7.50722e-05
13 *667:11 *6072:B 6.54278e-05
14 *667:11 *668:11 8.92191e-05
15 *667:11 *693:11 0.000271058
16 *667:23 *6848:D 1.61631e-05
17 *667:23 *668:11 0.000168112
18 *667:23 *668:31 3.03484e-05
19 *667:23 *1093:15 1.67988e-05
20 *667:39 *6705:B1 9.58779e-05
21 *667:39 *6848:D 1.92172e-05
22 *667:39 *6849:D 0.0002065
23 *667:39 *7098:A 0.000341492
24 *667:39 *1093:15 0.000481241
25 *667:39 *1094:10 6.14949e-06
26 *667:39 *1094:29 0.000536581
*RES
1 *6044:X *667:11 15.0845
2 *667:11 *6072:C 9.24915
3 *667:11 *667:23 6.5829
4 *667:23 *6174:A 9.24915
5 *667:23 *667:39 33.1947
6 *667:39 *6049:A1 15.5811
7 *667:39 *6046:B 16.4116
*END
*D_NET *668 0.00565936
*CONN
*I *6049:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6046:C I *D sky130_fd_sc_hd__nand3b_1
*I *6174:B I *D sky130_fd_sc_hd__nand2_1
*I *6045:Y O *D sky130_fd_sc_hd__o21ai_2
*CAP
1 *6049:A2 0.000127641
2 *6046:C 2.06324e-05
3 *6174:B 0
4 *6045:Y 0.00034288
5 *668:31 0.00126165
6 *668:11 0.00145626
7 *6049:A2 *1094:29 0.000149643
8 *6049:A2 *1363:20 4.31539e-05
9 *668:11 *6045:B1 1.31657e-05
10 *668:11 *6072:B 2.85274e-05
11 *668:11 *6847:CLK 0
12 *668:11 *1066:10 0.000148114
13 *668:11 *1093:15 1.41976e-05
14 *668:11 *1093:16 0
15 *668:31 *6046:A_N 0.000224395
16 *668:31 *6705:A2 0.000328363
17 *668:31 *6847:CLK 0.000101118
18 *668:31 *1093:15 6.08467e-05
19 *668:31 *1093:16 5.41377e-05
20 *668:31 *1220:33 0
21 *668:31 *1363:9 8.24199e-07
22 *668:31 *1363:20 0.000635529
23 *6046:B *6049:A2 0.000123582
24 *6049:A1 *6049:A2 7.50722e-05
25 *577:11 *668:31 0.000158357
26 *600:23 *668:11 3.58951e-06
27 *667:11 *668:11 8.92191e-05
28 *667:23 *668:11 0.000168112
29 *667:23 *668:31 3.03484e-05
*RES
1 *6045:Y *668:11 27.9045
2 *668:11 *6174:B 9.24915
3 *668:11 *668:31 40.6707
4 *668:31 *6046:C 9.82786
5 *668:31 *6049:A2 23.0201
*END
*D_NET *669 0.00293391
*CONN
*I *6058:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6061:B I *D sky130_fd_sc_hd__and2b_1
*I *6050:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6046:Y O *D sky130_fd_sc_hd__nand3b_1
*CAP
1 *6058:A1 0
2 *6061:B 0.000219983
3 *6050:B1 1.74043e-05
4 *6046:Y 0.00029402
5 *669:20 0.000489711
6 *669:5 0.000581153
7 *6061:B *6061:A_N 0.000101148
8 *6061:B *1029:8 5.6676e-05
9 *669:5 *6050:B2 3.24516e-05
10 *669:5 *1363:20 0.000292214
11 *669:20 *6050:A2 1.82708e-05
12 *669:20 *6051:B1 1.65269e-05
13 *669:20 *6060:A 6.31665e-05
14 *669:20 *6060:B 0.000313481
15 *669:20 *6063:A 6.08467e-05
16 *669:20 *670:12 0.000144531
17 *669:20 *1029:8 3.14055e-05
18 *600:23 *669:5 2.83525e-05
19 *600:23 *669:20 0.000172563
*RES
1 *6046:Y *669:5 18.2916
2 *669:5 *6050:B1 9.82786
3 *669:5 *669:20 15.4252
4 *669:20 *6061:B 19.8392
5 *669:20 *6058:A1 13.7491
*END
*D_NET *670 0.00570854
*CONN
*I *6063:A I *D sky130_fd_sc_hd__nor2_1
*I *6067:B I *D sky130_fd_sc_hd__xor2_1
*I *6058:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6050:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6048:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6063:A 0.000175464
2 *6067:B 0.000265017
3 *6058:A2 1.51576e-05
4 *6050:B2 0.00043101
5 *6048:X 0.000373159
6 *670:25 0.00030941
7 *670:12 0.000531739
8 *670:8 0.000620117
9 *6050:B2 *6051:A1 0.000144531
10 *6050:B2 *671:21 0.000438405
11 *6050:B2 *1365:25 0.000151741
12 *6058:A2 *6058:B1 3.01683e-06
13 *6058:A2 *671:21 1.03434e-05
14 *6063:A *6060:B 2.15348e-05
15 *6063:A *681:12 0.000110123
16 *6067:B *6058:B1 7.22498e-05
17 *6067:B *6060:A 5.56461e-05
18 *6067:B *671:21 7.34948e-06
19 *670:8 *1029:8 8.89094e-05
20 *670:8 *1363:23 0.000543039
21 *670:12 *1029:8 0.000169093
22 *670:25 *671:21 7.32658e-06
23 *600:23 *6050:B2 1.15389e-05
24 *600:23 *6063:A 0.000914791
25 *669:5 *6050:B2 3.24516e-05
26 *669:20 *6063:A 6.08467e-05
27 *669:20 *670:12 0.000144531
*RES
1 *6048:X *670:8 21.296
2 *670:8 *670:12 7.57775
3 *670:12 *6050:B2 27.5669
4 *670:12 *670:25 0.988641
5 *670:25 *6058:A2 9.82786
6 *670:25 *6067:B 16.6278
7 *670:8 *6063:A 23.9249
*END
*D_NET *671 0.00311335
*CONN
*I *6058:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6061:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6050:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6049:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6058:B1 7.61214e-05
2 *6061:A_N 8.48008e-05
3 *6050:C1 0.000124392
4 *6049:Y 0.000357952
5 *671:21 0.000443027
6 *671:5 0.000764449
7 *6050:C1 *1365:25 0.000195154
8 *6061:A_N *1029:8 0.000104731
9 *671:5 *1094:29 0.00021569
10 *671:21 *6051:B1 2.57847e-05
11 *671:21 *673:13 1.26616e-05
12 *671:21 *1365:25 6.87482e-05
13 *6050:B2 *671:21 0.000438405
14 *6058:A2 *6058:B1 3.01683e-06
15 *6058:A2 *671:21 1.03434e-05
16 *6061:B *6061:A_N 0.000101148
17 *6067:B *6058:B1 7.22498e-05
18 *6067:B *671:21 7.34948e-06
19 *670:25 *671:21 7.32658e-06
*RES
1 *6049:Y *671:5 18.8462
2 *671:5 *6050:C1 21.7421
3 *671:5 *671:21 9.52471
4 *671:21 *6061:A_N 21.3833
5 *671:21 *6058:B1 11.6605
*END
*D_NET *672 0.000588846
*CONN
*I *6051:B1 I *D sky130_fd_sc_hd__o21ai_2
*I *6050:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6051:B1 0.000231346
2 *6050:X 0.000231346
3 *6051:B1 *6060:B 2.57847e-05
4 *6051:B1 *673:13 2.57847e-05
5 *6051:B1 *1029:8 3.22726e-05
6 *6051:B1 *1365:25 0
7 *669:20 *6051:B1 1.65269e-05
8 *671:21 *6051:B1 2.57847e-05
*RES
1 *6050:X *6051:B1 32.1622
*END
*D_NET *673 0.00168798
*CONN
*I *6078:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *6056:A I *D sky130_fd_sc_hd__xor2_2
*I *6051:Y O *D sky130_fd_sc_hd__o21ai_2
*CAP
1 *6078:A2 0.000301089
2 *6056:A 0
3 *6051:Y 0.000371675
4 *673:13 0.000672764
5 *6078:A2 *756:17 0.000149924
6 *673:13 *756:17 5.93193e-05
7 *673:13 *1029:8 9.47659e-05
8 *6051:B1 *673:13 2.57847e-05
9 *671:21 *673:13 1.26616e-05
*RES
1 *6051:Y *673:13 28.0623
2 *673:13 *6056:A 9.24915
3 *673:13 *6078:A2 17.2306
*END
*D_NET *674 0.00134679
*CONN
*I *6078:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *6055:A I *D sky130_fd_sc_hd__nand2_1
*I *6053:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6078:A1 0
2 *6055:A 0.000158944
3 *6053:Y 0.000438003
4 *674:8 0.000596947
5 *6055:A *6054:A 0
6 *6055:A *6056:B 7.34948e-06
7 *6055:A *6078:B1_N 0
8 *6055:A *698:9 5.80222e-05
9 *674:8 *6053:A 0
10 *674:8 *6054:A 0
11 *674:8 *698:9 3.67528e-06
12 *674:8 *1365:16 8.38457e-05
*RES
1 *6053:Y *674:8 21.1566
2 *674:8 *6055:A 17.9655
3 *674:8 *6078:A1 13.7491
*END
*D_NET *675 0.00072573
*CONN
*I *6078:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *6055:B I *D sky130_fd_sc_hd__nand2_1
*I *6054:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6078:B1_N 0.000130254
2 *6055:B 0.000156781
3 *6054:X 0
4 *675:4 0.000287035
5 *6078:B1_N *6054:A 3.34802e-05
6 *6078:B1_N *756:17 0.00011818
7 *6055:A *6078:B1_N 0
*RES
1 *6054:X *675:4 9.24915
2 *675:4 *6055:B 13.1796
3 *675:4 *6078:B1_N 20.9439
*END
*D_NET *676 0.00111227
*CONN
*I *6056:B I *D sky130_fd_sc_hd__xor2_2
*I *6055:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6056:B 0.000552458
2 *6055:Y 0.000552458
3 *6056:B *698:9 0
4 *6055:A *6056:B 7.34948e-06
*RES
1 *6055:Y *6056:B 26.7658
*END
*D_NET *677 0.00531818
*CONN
*I *6107:A I *D sky130_fd_sc_hd__nor2_1
*I *6099:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6091:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6114:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6057:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6056:X O *D sky130_fd_sc_hd__xor2_2
*CAP
1 *6107:A 0.000169783
2 *6099:B1 8.752e-05
3 *6091:A 7.89565e-05
4 *6114:C1 0.00010413
5 *6057:A 3.2628e-05
6 *6056:X 9.21312e-05
7 *677:19 0.000403138
8 *677:12 0.000560424
9 *677:8 0.000505061
10 *677:6 0.000371712
11 *6091:A *6071:A 0.000154145
12 *6091:A *690:25 0.000275256
13 *6091:A *691:11 1.41853e-05
14 *6091:A *708:13 0.000370815
15 *6107:A *6100:A3 0.000171288
16 *6114:C1 *6071:A 6.08697e-06
17 *6114:C1 *6071:B 8.52652e-05
18 *6114:C1 *6088:A1_N 0.000146645
19 *6114:C1 *6090:C 5.30345e-05
20 *6114:C1 *6092:A 6.50727e-05
21 *6114:C1 *6092:C_N 6.92705e-05
22 *6114:C1 *678:31 1.45944e-05
23 *677:6 *1029:8 6.14128e-05
24 *677:8 *6100:A3 0
25 *677:8 *6100:B1 1.82832e-05
26 *677:8 *1029:8 0.000104412
27 *677:12 *6100:A1 0
28 *677:12 *6100:A3 0
29 *677:12 *6100:B1 4.01437e-05
30 *677:12 *6109:A0 5.82465e-05
31 *677:12 *678:8 0
32 *677:12 *1029:8 0.000238214
33 *677:19 *6071:A 0.000167076
34 *677:19 *6106:A0 0.00014226
35 *677:19 *678:5 0.000277488
36 *677:19 *678:28 0.000379505
*RES
1 *6056:X *677:6 16.4116
2 *677:6 *677:8 3.90826
3 *677:8 *677:12 14.2218
4 *677:12 *6057:A 9.97254
5 *677:12 *677:19 9.59705
6 *677:19 *6114:C1 22.8808
7 *677:19 *6091:A 14.4094
8 *677:8 *6099:B1 15.5817
9 *677:6 *6107:A 18.3548
*END
*D_NET *678 0.00741396
*CONN
*I *6071:A I *D sky130_fd_sc_hd__and3_1
*I *6090:B I *D sky130_fd_sc_hd__nand3_1
*I *6085:A I *D sky130_fd_sc_hd__or2_1
*I *6100:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6104:A I *D sky130_fd_sc_hd__nor2_1
*I *6057:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6071:A 8.25144e-05
2 *6090:B 3.90775e-05
3 *6085:A 0.000262113
4 *6100:A1 0.000124004
5 *6104:A 0.000526808
6 *6057:X 7.48492e-05
7 *678:31 0.000738617
8 *678:28 0.000623749
9 *678:8 0.000816113
10 *678:5 0.000343958
11 *6071:A *6106:A0 0.00021207
12 *6071:A *691:11 0.000101411
13 *6085:A *6088:A1_N 0.000277502
14 *6085:A *6088:B1 0
15 *6085:A *6093:A2 0.00027329
16 *6085:A *6112:A1 6.50586e-05
17 *6085:A *6118:A0 0
18 *6090:B *6088:A1_N 4.88955e-05
19 *6090:B *6090:A 6.8116e-05
20 *6090:B *6093:A2 0.000107496
21 *6090:B *6118:A0 1.01361e-05
22 *6090:B *705:23 1.61631e-05
23 *6100:A1 *6100:A3 5.22654e-06
24 *6100:A1 *6109:A0 0
25 *6100:A1 *686:11 0
26 *6104:A *6104:B 0.000988288
27 *6104:A *6105:A1 1.41291e-05
28 *6104:A *6109:A0 0.000122378
29 *678:8 *6106:A0 7.86847e-05
30 *678:8 *6106:S 0
31 *678:8 *686:11 0
32 *678:8 *1029:8 0
33 *678:31 *6088:A1_N 0.000293286
34 *678:31 *6093:A2 0
35 *678:31 *6118:A0 0
36 *6091:A *6071:A 0.000154145
37 *6114:C1 *6071:A 6.08697e-06
38 *6114:C1 *678:31 1.45944e-05
39 *597:61 *6100:A1 0.000101133
40 *677:12 *6100:A1 0
41 *677:12 *678:8 0
42 *677:19 *6071:A 0.000167076
43 *677:19 *678:5 0.000277488
44 *677:19 *678:28 0.000379505
*RES
1 *6057:X *678:5 12.191
2 *678:5 *678:8 8.82351
3 *678:8 *6104:A 29.8809
4 *678:8 *6100:A1 16.8269
5 *678:5 *678:28 8.55102
6 *678:28 *678:31 17.1286
7 *678:31 *6085:A 26.6531
8 *678:31 *6090:B 11.0817
9 *678:28 *6071:A 18.2471
*END
*D_NET *679 0.000448204
*CONN
*I *6060:A I *D sky130_fd_sc_hd__xnor2_1
*I *6058:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6060:A 0.000164696
2 *6058:X 0.000164696
3 *6067:B *6060:A 5.56461e-05
4 *669:20 *6060:A 6.31665e-05
*RES
1 *6058:X *6060:A 31.4388
*END
*D_NET *680 0.000997262
*CONN
*I *6060:B I *D sky130_fd_sc_hd__xnor2_1
*I *6059:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6060:B 0.000297135
2 *6059:X 0.000297135
3 *6060:B *6050:A2 4.21912e-05
4 *6051:B1 *6060:B 2.57847e-05
5 *6063:A *6060:B 2.15348e-05
6 *669:20 *6060:B 0.000313481
*RES
1 *6059:X *6060:B 35.4576
*END
*D_NET *681 0.00452583
*CONN
*I *6098:A I *D sky130_fd_sc_hd__or3b_1
*I *6069:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6065:A I *D sky130_fd_sc_hd__or2_1
*I *6084:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6060:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6098:A 0.000110797
2 *6069:A 5.87091e-05
3 *6065:A 0.000160946
4 *6084:A 0.000106241
5 *6060:Y 0.000771068
6 *681:16 0.000299818
7 *681:14 0.000191748
8 *681:12 0.000982273
9 *6065:A *6099:A1 1.65872e-05
10 *6065:A *6099:A2 5.3103e-05
11 *6065:A *685:20 6.50586e-05
12 *6069:A *6099:A1 0.000164829
13 *6084:A *6100:A2 6.50586e-05
14 *6084:A *6106:S 2.15348e-05
15 *6084:A *6108:B1 0.000111658
16 *6084:A *6108:C1 0.000186242
17 *6084:A *686:6 0
18 *6084:A *690:20 0
19 *6098:A *716:7 0.000122986
20 *681:12 *6064:A_N 6.82914e-05
21 *681:12 *6067:A 5.3697e-05
22 *681:12 *684:6 0.000140439
23 *681:12 *684:10 9.0014e-05
24 *681:12 *685:8 9.03933e-05
25 *681:12 *687:6 0
26 *681:12 *688:8 0
27 *681:14 *6108:C1 0.000123582
28 *681:14 *685:8 0.000212574
29 *681:14 *687:6 0
30 *681:16 *6108:B1 2.09495e-05
31 *681:16 *6108:C1 7.65861e-05
32 *681:16 *685:8 5.05252e-05
33 *6063:A *681:12 0.000110123
*RES
1 *6060:Y *681:12 32.7204
2 *681:12 *681:14 3.90826
3 *681:14 *681:16 1.41674
4 *681:16 *6084:A 17.6896
5 *681:16 *6065:A 16.7151
6 *681:14 *6069:A 15.5817
7 *681:12 *6098:A 16.7151
*END
*D_NET *682 0.00138984
*CONN
*I *6101:A I *D sky130_fd_sc_hd__and2_1
*I *6067:A I *D sky130_fd_sc_hd__xor2_1
*I *6064:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6061:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6101:A 8.27426e-05
2 *6067:A 0.000104112
3 *6064:A_N 0.000190356
4 *6061:X 0.000216818
5 *682:9 0.000294468
6 *682:7 0.000299561
7 *6064:A_N *756:17 7.97944e-05
8 *681:12 *6064:A_N 6.82914e-05
9 *681:12 *6067:A 5.3697e-05
*RES
1 *6061:X *682:7 14.4335
2 *682:7 *682:9 4.5
3 *682:9 *6064:A_N 19.49
4 *682:9 *6067:A 16.8269
5 *682:7 *6101:A 11.0817
*END
*D_NET *683 0.000372244
*CONN
*I *6063:B I *D sky130_fd_sc_hd__nor2_1
*I *6062:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6063:B 0.000153586
2 *6062:Y 0.000153586
3 *6063:B *6062:A 6.50727e-05
4 *6063:B *7007:TE_B 0
*RES
1 *6062:Y *6063:B 30.4689
*END
*D_NET *684 0.00122506
*CONN
*I *6101:B I *D sky130_fd_sc_hd__and2_1
*I *6066:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6064:B I *D sky130_fd_sc_hd__and2b_1
*I *6063:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6101:B 0
2 *6066:A 3.58265e-05
3 *6064:B 9.78311e-05
4 *6063:Y 0.000178636
5 *684:10 0.000246321
6 *684:6 0.0002913
7 *6064:B *756:22 9.63981e-05
8 *6066:A *756:22 4.82966e-05
9 *684:6 *688:8 0
10 *684:10 *688:8 0
11 *681:12 *684:6 0.000140439
12 *681:12 *684:10 9.0014e-05
*RES
1 *6063:Y *684:6 19.3184
2 *684:6 *684:10 7.993
3 *684:10 *6064:B 12.2151
4 *684:10 *6066:A 10.5271
5 *684:6 *6101:B 13.7491
*END
*D_NET *685 0.00435057
*CONN
*I *6099:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6065:B I *D sky130_fd_sc_hd__or2_1
*I *6108:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6064:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6099:A2 0.000124806
2 *6065:B 0
3 *6108:B1 0.000219505
4 *6064:X 0.000269067
5 *685:20 0.000136012
6 *685:8 0.000499778
7 *6099:A2 *6099:A1 0.00050489
8 *6108:B1 *6100:A2 1.71784e-05
9 *6108:B1 *6108:A1 4.71631e-05
10 *6108:B1 *6108:B2 1.47046e-05
11 *6108:B1 *6108:C1 0.000789244
12 *6108:B1 *6109:A1 0.00103119
13 *6108:B1 *686:6 0
14 *685:8 *756:22 6.50586e-05
15 *685:20 *6099:A1 2.77129e-05
16 *6065:A *6099:A2 5.3103e-05
17 *6065:A *685:20 6.50586e-05
18 *6084:A *6108:B1 0.000111658
19 *681:12 *685:8 9.03933e-05
20 *681:14 *685:8 0.000212574
21 *681:16 *6108:B1 2.09495e-05
22 *681:16 *685:8 5.05252e-05
*RES
1 *6064:X *685:8 20.8723
2 *685:8 *6108:B1 27.2573
3 *685:8 *685:20 5.2234
4 *685:20 *6065:B 9.24915
5 *685:20 *6099:A2 14.9881
*END
*D_NET *686 0.00206987
*CONN
*I *6100:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6071:B I *D sky130_fd_sc_hd__and3_1
*I *6103:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *6065:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6100:B2 1.26553e-05
2 *6071:B 0.000140224
3 *6103:B1_N 0
4 *6065:X 0.000103393
5 *686:11 0.000345691
6 *686:6 0.000321515
7 *6071:B *6090:C 6.28168e-05
8 *6071:B *6100:A2 0.000205349
9 *6071:B *6106:A0 0
10 *6071:B *6106:S 1.09738e-05
11 *6071:B *721:7 6.50586e-05
12 *6100:B2 *6100:A2 6.50586e-05
13 *6100:B2 *6109:A1 2.65667e-05
14 *686:6 *6100:A3 0
15 *686:11 *6100:A2 0
16 *686:11 *6106:S 0.000277488
17 *686:11 *690:20 0
18 *686:11 *721:7 0.000324166
19 *6084:A *686:6 0
20 *6100:A1 *686:11 0
21 *6108:B1 *686:6 0
22 *6114:C1 *6071:B 8.52652e-05
23 *597:61 *686:11 2.36494e-05
24 *678:8 *686:11 0
*RES
1 *6065:X *686:6 15.9964
2 *686:6 *686:11 11.4894
3 *686:11 *6103:B1_N 9.24915
4 *686:11 *6071:B 23.7113
5 *686:6 *6100:B2 14.4725
*END
*D_NET *687 0.00636147
*CONN
*I *6098:B I *D sky130_fd_sc_hd__or3b_1
*I *6108:A1 I *D sky130_fd_sc_hd__a221o_1
*I *6089:A I *D sky130_fd_sc_hd__nand2_1
*I *6068:A I *D sky130_fd_sc_hd__or2_1
*I *6087:A I *D sky130_fd_sc_hd__nor2_1
*I *6066:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6098:B 0
2 *6108:A1 8.32259e-05
3 *6089:A 0.000416171
4 *6068:A 2.59228e-05
5 *6087:A 0.000149438
6 *6066:X 0.000147943
7 *687:24 0.000366501
8 *687:17 0.000872603
9 *687:11 0.000578599
10 *687:6 0.000378023
11 *6068:A *6114:A2 6.50727e-05
12 *6087:A *6086:A 0.000122083
13 *6087:A *6087:B 0.00021569
14 *6087:A *6117:A0 4.70005e-05
15 *6087:A *706:7 0.0002646
16 *6089:A *6089:B 7.33085e-05
17 *6089:A *690:43 0.0001371
18 *6108:A1 *6108:A2 0.000493934
19 *6108:A1 *6108:B2 1.47046e-05
20 *6108:A1 *6108:C1 0.000277502
21 *6108:A1 *6109:A1 1.19856e-05
22 *687:6 *688:8 7.41203e-05
23 *687:6 *688:17 4.33056e-05
24 *687:11 *6108:A2 0.000271044
25 *687:11 *6108:C1 0.000266846
26 *687:11 *688:17 0.00014014
27 *687:11 *690:20 7.87126e-05
28 *687:17 *6070:B 0.00011594
29 *687:17 *688:17 8.62321e-06
30 *687:17 *690:25 6.50586e-05
31 *687:17 *690:43 6.08467e-05
32 *687:17 *710:42 0.000245235
33 *687:24 *6070:B 2.95757e-05
34 *687:24 *6117:A0 8.73769e-05
35 *687:24 *710:6 1.40496e-05
36 *687:24 *710:25 4.2281e-05
37 *687:24 *710:42 2.97451e-05
38 *6108:B1 *6108:A1 4.71631e-05
39 *681:12 *687:6 0
40 *681:14 *687:6 0
*RES
1 *6066:X *687:6 18.0727
2 *687:6 *687:11 13.4263
3 *687:11 *687:17 15.8473
4 *687:17 *687:24 10.5578
5 *687:24 *6087:A 20.0715
6 *687:24 *6068:A 14.4725
7 *687:17 *6089:A 16.5072
8 *687:11 *6108:A1 14.9881
9 *687:6 *6098:B 13.7491
*END
*D_NET *688 0.00393414
*CONN
*I *6098:C_N I *D sky130_fd_sc_hd__or3b_1
*I *6068:B I *D sky130_fd_sc_hd__or2_1
*I *6086:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6067:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6098:C_N 0
2 *6068:B 0
3 *6086:A 8.00133e-05
4 *6067:X 0.000595533
5 *688:17 0.000825371
6 *688:8 0.00134089
7 *6086:A *6117:A0 1.32509e-05
8 *6086:A *710:25 7.0954e-05
9 *688:8 *719:8 0
10 *688:17 *6070:A 6.65016e-05
11 *688:17 *6092:A 4.3937e-05
12 *688:17 *6092:B 7.08723e-06
13 *688:17 *6103:A2 0
14 *688:17 *6114:A2 0.000213739
15 *688:17 *690:20 0
16 *688:17 *690:25 0
17 *688:17 *691:11 0.000161452
18 *688:17 *703:10 0
19 *688:17 *710:6 2.29201e-05
20 *688:17 *710:25 2.96442e-05
21 *688:17 *710:42 7.45712e-05
22 *688:17 *719:8 0
23 *688:17 *719:14 0
24 *6087:A *6086:A 0.000122083
25 *681:12 *688:8 0
26 *684:6 *688:8 0
27 *684:10 *688:8 0
28 *687:6 *688:8 7.41203e-05
29 *687:6 *688:17 4.33056e-05
30 *687:11 *688:17 0.00014014
31 *687:17 *688:17 8.62321e-06
*RES
1 *6067:X *688:8 28.4918
2 *688:8 *688:17 25.4026
3 *688:17 *6086:A 21.3269
4 *688:17 *6068:B 9.24915
5 *688:8 *6098:C_N 13.7491
*END
*D_NET *689 0.0040735
*CONN
*I *6070:A I *D sky130_fd_sc_hd__nand2_1
*I *6117:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6068:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6070:A 0.000707335
2 *6117:A1 0.000485569
3 *6068:X 0
4 *689:5 0.0011929
5 *6070:A *6070:B 7.01495e-05
6 *6070:A *6103:A2 0.000310901
7 *6070:A *6114:A2 4.12533e-05
8 *6070:A *6117:A0 0.000161966
9 *6070:A *690:25 2.50531e-05
10 *6070:A *719:14 7.35006e-05
11 *6117:A1 *6113:A 0.000277502
12 *6117:A1 *6113:B 4.33819e-05
13 *6117:A1 *6114:A2 3.98472e-05
14 *6117:A1 *6117:A0 0.000419281
15 *6117:A1 *6117:S 0.000158357
16 *688:17 *6070:A 6.65016e-05
*RES
1 *6068:X *689:5 13.7491
2 *689:5 *6117:A1 28.3822
3 *689:5 *6070:A 42.7656
*END
*D_NET *690 0.00506518
*CONN
*I *6103:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *6116:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6089:B I *D sky130_fd_sc_hd__nand2_1
*I *6070:B I *D sky130_fd_sc_hd__nand2_1
*I *6099:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6069:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6103:A1 0
2 *6116:A1 0
3 *6089:B 0.000109914
4 *6070:B 0.000147134
5 *6099:A1 0.000319908
6 *6069:X 5.96516e-05
7 *690:43 0.000210064
8 *690:25 0.000404025
9 *690:20 0.000386032
10 *690:5 0.000608851
11 *6070:B *6103:A2 6.92705e-05
12 *6070:B *710:42 9.2346e-06
13 *6070:B *719:14 0
14 *6089:B *6116:A2 1.41976e-05
15 *6089:B *708:9 0.000147083
16 *690:20 *6108:C1 4.11983e-05
17 *690:20 *703:10 0.000127196
18 *690:25 *691:11 0.000271058
19 *690:25 *703:10 0.000174175
20 *690:25 *708:13 6.49003e-05
21 *690:43 *6116:A2 2.15184e-05
22 *690:43 *708:9 6.85321e-05
23 *690:43 *708:13 0.000166214
24 *6065:A *6099:A1 1.65872e-05
25 *6069:A *6099:A1 0.000164829
26 *6070:A *6070:B 7.01495e-05
27 *6070:A *690:25 2.50531e-05
28 *6084:A *690:20 0
29 *6089:A *6089:B 7.33085e-05
30 *6089:A *690:43 0.0001371
31 *6091:A *690:25 0.000275256
32 *6099:A2 *6099:A1 0.00050489
33 *685:20 *6099:A1 2.77129e-05
34 *686:11 *690:20 0
35 *687:11 *690:20 7.87126e-05
36 *687:17 *6070:B 0.00011594
37 *687:17 *690:25 6.50586e-05
38 *687:17 *690:43 6.08467e-05
39 *687:24 *6070:B 2.95757e-05
40 *688:17 *690:20 0
41 *688:17 *690:25 0
*RES
1 *6069:X *690:5 10.5271
2 *690:5 *6099:A1 19.6178
3 *690:5 *690:20 10.8998
4 *690:20 *690:25 12.1834
5 *690:25 *6070:B 22.3678
6 *690:25 *690:43 4.79853
7 *690:43 *6089:B 14.4576
8 *690:43 *6116:A1 9.24915
9 *690:20 *6103:A1 13.7491
*END
*D_NET *691 0.00304883
*CONN
*I *6071:C I *D sky130_fd_sc_hd__and3_1
*I *6106:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6070:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6071:C 0
2 *6106:A0 0.000361676
3 *6070:Y 0.000381779
4 *691:11 0.000743455
5 *691:11 *6103:A2 0.000211478
6 *691:11 *710:42 0.000157839
7 *6071:A *6106:A0 0.00021207
8 *6071:A *691:11 0.000101411
9 *6071:B *6106:A0 0
10 *6091:A *691:11 1.41853e-05
11 *597:64 *691:11 0.000211478
12 *677:19 *6106:A0 0.00014226
13 *678:8 *6106:A0 7.86847e-05
14 *688:17 *691:11 0.000161452
15 *690:25 *691:11 0.000271058
*RES
1 *6070:Y *691:11 28.4162
2 *691:11 *6106:A0 25.2843
3 *691:11 *6071:C 9.24915
*END
*D_NET *692 0.0025037
*CONN
*I *6088:A1_N I *D sky130_fd_sc_hd__o2bb2a_1
*I *6071:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6088:A1_N 0.00059024
2 *6071:X 0.00059024
3 *6088:A1_N *6088:B2 2.20702e-05
4 *6088:A1_N *6090:C 0.000151635
5 *6088:A1_N *6093:A2 2.15184e-05
6 *6088:A1_N *6118:A0 0.000236373
7 *6088:A1_N *6119:A1 0.000119701
8 *6088:A1_N *6119:S 5.58918e-06
9 *6085:A *6088:A1_N 0.000277502
10 *6090:B *6088:A1_N 4.88955e-05
11 *6114:C1 *6088:A1_N 0.000146645
12 *678:31 *6088:A1_N 0.000293286
*RES
1 *6071:X *6088:A1_N 49.6759
*END
*D_NET *693 0.00297583
*CONN
*I *6073:B I *D sky130_fd_sc_hd__nor2_1
*I *6074:B I *D sky130_fd_sc_hd__nand2_1
*I *6072:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6073:B 0
2 *6074:B 0.000299543
3 *6072:X 0.000549594
4 *693:11 0.000849137
5 *6074:B *6075:B_N 7.23987e-05
6 *6074:B *6081:A 0.000324151
7 *6074:B *694:8 0.000324493
8 *6074:B *756:5 3.14978e-05
9 *6074:B *1149:30 5.04829e-06
10 *693:11 *756:5 2.65667e-05
11 *693:11 *1366:11 0
12 *6052:B *693:11 5.67995e-05
13 *362:8 *6074:B 0.00016554
14 *362:8 *693:11 0
15 *667:11 *693:11 0.000271058
*RES
1 *6072:X *693:11 30.8056
2 *693:11 *6074:B 30.0168
3 *693:11 *6073:B 9.24915
*END
*D_NET *694 0.00106293
*CONN
*I *6081:B I *D sky130_fd_sc_hd__xor2_1
*I *6075:A I *D sky130_fd_sc_hd__or2b_1
*I *6073:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6081:B 0
2 *6075:A 7.05872e-05
3 *6073:Y 0.000250893
4 *694:8 0.000321481
5 *6075:A *6075:B_N 7.50722e-05
6 *6075:A *6076:A 0
7 *694:8 *6076:A 0
8 *694:8 *1091:119 0
9 *6074:B *694:8 0.000324493
10 *6861:D *694:8 0
11 *362:8 *6075:A 1.65078e-05
12 *362:8 *694:8 3.89332e-06
*RES
1 *6073:Y *694:8 20.4571
2 *694:8 *6075:A 15.9964
3 *694:8 *6081:B 13.7491
*END
*D_NET *695 0.000934294
*CONN
*I *6075:B_N I *D sky130_fd_sc_hd__or2b_1
*I *6074:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6075:B_N 0.000326846
2 *6074:Y 0.000326846
3 *6075:B_N *1091:119 0
4 *6075:B_N *1149:30 6.50586e-05
5 *6074:B *6075:B_N 7.23987e-05
6 *6075:A *6075:B_N 7.50722e-05
7 *362:8 *6075:B_N 6.80719e-05
*RES
1 *6074:Y *6075:B_N 34.2118
*END
*D_NET *696 0.000909612
*CONN
*I *6076:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6075:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *6076:A 0.000147042
2 *6075:X 0.000147042
3 *6076:A *1091:119 0.000153225
4 *6076:A *1368:11 8.5044e-05
5 *6075:A *6076:A 0
6 *597:50 *6076:A 0.000377259
7 *694:8 *6076:A 0
*RES
1 *6075:X *6076:A 34.2118
*END
*D_NET *697 0.00320989
*CONN
*I *6094:B I *D sky130_fd_sc_hd__nor2_1
*I *6080:A1 I *D sky130_fd_sc_hd__o21bai_1
*I *6077:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6094:B 0.000159296
2 *6080:A1 0.000107159
3 *6077:Y 0.000649913
4 *697:8 0.000916369
5 *6080:A1 *6079:B 1.80257e-05
6 *6080:A1 *6080:A2 9.64684e-05
7 *6080:A1 *6080:B1_N 0.000271044
8 *6080:A1 *1367:19 2.41274e-06
9 *6094:B *6079:B 1.78514e-05
10 *6094:B *6080:B1_N 8.74104e-05
11 *6094:B *6094:A 0.000224395
12 *6094:B *6095:A 0
13 *6094:B *698:9 0
14 *697:8 *6077:A 2.65831e-05
15 *697:8 *6079:B 8.62321e-06
16 *697:8 *6080:B1_N 2.95757e-05
17 *697:8 *6083:A 0
18 *697:8 *6095:A 0
19 *697:8 *702:9 1.79807e-05
20 *597:61 *6080:A1 0.000576786
*RES
1 *6077:Y *697:8 25.8637
2 *697:8 *6080:A1 20.5973
3 *697:8 *6094:B 18.7989
*END
*D_NET *698 0.00231406
*CONN
*I *6095:B I *D sky130_fd_sc_hd__xnor2_2
*I *6080:A2 I *D sky130_fd_sc_hd__o21bai_1
*I *6078:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *6095:B 0
2 *6080:A2 0.000236573
3 *6078:X 0.000461689
4 *698:9 0.000698263
5 *6080:A2 *6079:B 0.000330596
6 *6080:A2 *6080:B1_N 0.000275256
7 *6080:A2 *1367:19 0
8 *698:9 *6095:A 0
9 *6055:A *698:9 5.80222e-05
10 *6056:B *698:9 0
11 *6080:A1 *6080:A2 9.64684e-05
12 *6094:B *698:9 0
13 *597:61 *6080:A2 0.000102804
14 *597:61 *698:9 5.0715e-05
15 *674:8 *698:9 3.67528e-06
*RES
1 *6078:X *698:9 29.6642
2 *698:9 *6080:A2 19.449
3 *698:9 *6095:B 9.24915
*END
*D_NET *699 0.00154544
*CONN
*I *6094:A I *D sky130_fd_sc_hd__nor2_1
*I *6080:B1_N I *D sky130_fd_sc_hd__o21bai_1
*I *6079:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6094:A 0.000178948
2 *6080:B1_N 9.46197e-05
3 *6079:X 0
4 *699:4 0.000273568
5 *6080:B1_N *6079:B 4.55535e-05
6 *6094:A *6095:A 6.50727e-05
7 *6080:A1 *6080:B1_N 0.000271044
8 *6080:A2 *6080:B1_N 0.000275256
9 *6094:B *6080:B1_N 8.74104e-05
10 *6094:B *6094:A 0.000224395
11 *697:8 *6080:B1_N 2.95757e-05
*RES
1 *6079:X *699:4 9.24915
2 *699:4 *6080:B1_N 23.4382
3 *699:4 *6094:A 14.4576
*END
*D_NET *700 0.000545659
*CONN
*I *6083:A I *D sky130_fd_sc_hd__xnor2_2
*I *6080:Y O *D sky130_fd_sc_hd__o21bai_1
*CAP
1 *6083:A 0.000137869
2 *6080:Y 0.000137869
3 *6083:A *6077:A 0.000151741
4 *6083:A *6083:B 0.00011818
5 *697:8 *6083:A 0
*RES
1 *6080:Y *6083:A 31.9934
*END
*D_NET *701 0.00059749
*CONN
*I *6083:B I *D sky130_fd_sc_hd__xnor2_2
*I *6082:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6083:B 0.000239655
2 *6082:X 0.000239655
3 *6083:A *6083:B 0.00011818
*RES
1 *6082:X *6083:B 24.2131
*END
*D_NET *702 0.00348112
*CONN
*I *6110:S I *D sky130_fd_sc_hd__mux2_1
*I *6093:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *6088:A2_N I *D sky130_fd_sc_hd__o2bb2a_1
*I *6119:S I *D sky130_fd_sc_hd__mux2_1
*I *6083:Y O *D sky130_fd_sc_hd__xnor2_2
*CAP
1 *6110:S 3.08245e-05
2 *6093:A1 0
3 *6088:A2_N 0
4 *6119:S 0.000261999
5 *6083:Y 0.00035399
6 *702:18 0.000409766
7 *702:15 0.000771296
8 *702:9 0.00100834
9 *6119:S *6088:B1 0
10 *6119:S *6118:A0 0
11 *6119:S *6119:A1 0.000111192
12 *6119:S *1029:8 0.000106245
13 *702:9 *6110:A0 0
14 *702:15 *6093:A2 6.08467e-05
15 *702:15 *6111:A 0
16 *702:15 *714:14 0
17 *702:15 *1092:69 0
18 *702:18 *6093:A2 0
19 *702:18 *6119:A1 3.34802e-05
20 *702:18 *1029:8 0.000309563
21 *6088:A1_N *6119:S 5.58918e-06
22 *697:8 *702:9 1.79807e-05
*RES
1 *6083:Y *702:9 26.6265
2 *702:9 *702:15 23.7483
3 *702:15 *702:18 10.0693
4 *702:18 *6119:S 20.7655
5 *702:18 *6088:A2_N 13.7491
6 *702:15 *6093:A1 9.24915
7 *702:9 *6110:S 9.97254
*END
*D_NET *703 0.00748876
*CONN
*I *6108:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6114:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6092:C_N I *D sky130_fd_sc_hd__or3b_1
*I *6113:B I *D sky130_fd_sc_hd__nor2_1
*I *6085:B I *D sky130_fd_sc_hd__or2_1
*I *6084:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6108:A2 0.000178562
2 *6114:B1 0
3 *6092:C_N 0.000229745
4 *6113:B 9.55417e-05
5 *6085:B 0.000416816
6 *6084:X 2.06324e-05
7 *703:30 0.000229745
8 *703:12 0.000650051
9 *703:10 0.000421282
10 *703:5 0.000482784
11 *6085:B *6088:B2 0.000105575
12 *6085:B *6090:C 0.000156823
13 *6085:B *6112:A1 0.00044131
14 *6092:C_N *6092:A 0.000165605
15 *6092:C_N *6114:A2 3.75603e-05
16 *6108:A2 *6106:S 0.000254881
17 *6108:A2 *6108:B2 0.000373047
18 *6108:A2 *6108:C1 2.41483e-05
19 *6108:A2 *6109:A1 1.56836e-05
20 *6113:B *6113:A 0.000159322
21 *6113:B *6117:A0 0.000474783
22 *6113:B *6117:S 0.000162583
23 *703:5 *6100:A2 0
24 *703:10 *6090:C 0.000184414
25 *703:10 *6092:A 0
26 *703:10 *6100:A2 0.000297152
27 *703:10 *6106:S 0.000111722
28 *703:10 *6108:C1 4.31703e-05
29 *703:10 *6114:A1 5.22654e-06
30 *703:12 *6088:B2 0.000131566
31 *703:12 *6090:C 0.000391298
32 *703:12 *6114:A1 4.87343e-05
33 *6108:A1 *6108:A2 0.000493934
34 *6114:C1 *6092:C_N 6.92705e-05
35 *6117:A1 *6113:B 4.33819e-05
36 *687:11 *6108:A2 0.000271044
37 *688:17 *703:10 0
38 *690:20 *703:10 0.000127196
39 *690:25 *703:10 0.000174175
*RES
1 *6084:X *703:5 9.82786
2 *703:5 *703:10 15.4998
3 *703:10 *703:12 6.81502
4 *703:12 *6085:B 26.6942
5 *703:12 *6113:B 19.464
6 *703:10 *703:30 4.5
7 *703:30 *6092:C_N 15.5668
8 *703:30 *6114:B1 9.24915
9 *703:5 *6108:A2 19.4792
*END
*D_NET *704 0.000860155
*CONN
*I *6088:B1 I *D sky130_fd_sc_hd__o2bb2a_1
*I *6112:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *6085:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6088:B1 0.000123081
2 *6112:A2 0.000114147
3 *6085:X 3.36148e-05
4 *704:5 0.000270842
5 *6088:B1 *6118:A0 3.67708e-05
6 *6088:B1 *1029:8 0
7 *6112:A2 *6112:A1 0.000175485
8 *704:5 *6112:A1 0.000106215
9 *6085:A *6088:B1 0
10 *6119:S *6088:B1 0
*RES
1 *6085:X *704:5 10.5271
2 *704:5 *6112:A2 12.7697
3 *704:5 *6088:B1 21.3269
*END
*D_NET *705 0.00546259
*CONN
*I *6087:B I *D sky130_fd_sc_hd__nor2_1
*I *6115:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6112:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *6090:A I *D sky130_fd_sc_hd__nand3_1
*I *6092:A I *D sky130_fd_sc_hd__or3b_1
*I *6086:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6087:B 0.000140469
2 *6115:A1 0
3 *6112:A1 0.00040169
4 *6090:A 9.86828e-06
5 *6092:A 0.000372523
6 *6086:X 0
7 *705:23 0.000574479
8 *705:22 0.000319172
9 *705:5 0.000549246
10 *705:4 0.00016094
11 *6087:B *706:7 8.15039e-05
12 *6090:A *6118:A0 2.60417e-05
13 *6092:A *6088:B2 2.71397e-05
14 *6092:A *6092:B 0.000302739
15 *6092:A *6114:A1 5.04879e-05
16 *6092:A *6114:A2 7.64679e-05
17 *6092:A *6115:B1 0.000122098
18 *6092:A *706:7 3.82228e-05
19 *6092:A *710:25 0
20 *705:5 *706:7 2.65831e-05
21 *705:22 *6088:B2 0
22 *705:22 *6115:A2 0.000127194
23 *705:22 *6115:B1 0.000353507
24 *705:22 *710:25 0.000118961
25 *705:23 *6115:B1 6.92705e-05
26 *705:23 *6118:A0 0.000151335
27 *6085:A *6112:A1 6.50586e-05
28 *6085:B *6112:A1 0.00044131
29 *6087:A *6087:B 0.00021569
30 *6090:B *6090:A 6.8116e-05
31 *6090:B *705:23 1.61631e-05
32 *6092:C_N *6092:A 0.000165605
33 *6112:A2 *6112:A1 0.000175485
34 *6114:C1 *6092:A 6.50727e-05
35 *688:17 *6092:A 4.3937e-05
36 *703:10 *6092:A 0
37 *704:5 *6112:A1 0.000106215
*RES
1 *6086:X *705:4 9.24915
2 *705:4 *705:5 0.723396
3 *705:5 *6092:A 32.0626
4 *705:5 *705:22 15.815
5 *705:22 *705:23 5.16022
6 *705:23 *6090:A 9.96049
7 *705:23 *6112:A1 31.3633
8 *705:22 *6115:A1 9.24915
9 *705:4 *6087:B 13.8548
*END
*D_NET *706 0.0046818
*CONN
*I *6114:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6088:B2 I *D sky130_fd_sc_hd__o2bb2a_1
*I *6087:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6114:A1 7.04138e-05
2 *6088:B2 0.000865529
3 *6087:Y 0.000505561
4 *706:7 0.0014415
5 *6088:B2 *6115:B1 0.000101641
6 *6088:B2 *6118:A0 0.000895445
7 *6085:B *6088:B2 0.000105575
8 *6087:A *706:7 0.0002646
9 *6087:B *706:7 8.15039e-05
10 *6088:A1_N *6088:B2 2.20702e-05
11 *6092:A *6088:B2 2.71397e-05
12 *6092:A *6114:A1 5.04879e-05
13 *6092:A *706:7 3.82228e-05
14 *703:10 *6114:A1 5.22654e-06
15 *703:12 *6088:B2 0.000131566
16 *703:12 *6114:A1 4.87343e-05
17 *705:5 *706:7 2.65831e-05
18 *705:22 *6088:B2 0
*RES
1 *6087:Y *706:7 21.6824
2 *706:7 *6088:B2 33.3467
3 *706:7 *6114:A1 15.9964
*END
*D_NET *707 0.000638578
*CONN
*I *6096:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6088:X O *D sky130_fd_sc_hd__o2bb2a_1
*CAP
1 *6096:A0 0.000226582
2 *6088:X 0.000226582
3 *6096:A0 *6096:A1 7.02649e-05
4 *6096:A0 *6112:B1_N 2.99929e-05
5 *6096:A0 *6118:S 1.00846e-05
6 *6096:A0 *1029:8 7.50722e-05
*RES
1 *6088:X *6096:A0 31.8568
*END
*D_NET *708 0.00544639
*CONN
*I *6116:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6100:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6090:C I *D sky130_fd_sc_hd__nand3_1
*I *6089:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6116:B1 0
2 *6100:A2 0.000424333
3 *6090:C 0.000267008
4 *6089:Y 0.000318837
5 *708:13 0.000939947
6 *708:9 0.000567443
7 *6100:A2 *6100:B1 5.4926e-05
8 *6100:A2 *6106:S 0.000145605
9 *6100:A2 *6109:A1 8.4167e-05
10 *708:9 *6116:A2 6.50586e-05
11 *6071:B *6090:C 6.28168e-05
12 *6071:B *6100:A2 0.000205349
13 *6084:A *6100:A2 6.50586e-05
14 *6085:B *6090:C 0.000156823
15 *6088:A1_N *6090:C 0.000151635
16 *6089:B *708:9 0.000147083
17 *6091:A *708:13 0.000370815
18 *6100:B2 *6100:A2 6.50586e-05
19 *6108:B1 *6100:A2 1.71784e-05
20 *6114:C1 *6090:C 5.30345e-05
21 *597:61 *6100:A2 0.000111708
22 *686:11 *6100:A2 0
23 *690:25 *708:13 6.49003e-05
24 *690:43 *708:9 6.85321e-05
25 *690:43 *708:13 0.000166214
26 *703:5 *6100:A2 0
27 *703:10 *6090:C 0.000184414
28 *703:10 *6100:A2 0.000297152
29 *703:12 *6090:C 0.000391298
*RES
1 *6089:Y *708:9 15.5668
2 *708:9 *708:13 12.4332
3 *708:13 *6090:C 26.3777
4 *708:13 *6100:A2 29.203
5 *708:9 *6116:B1 9.24915
*END
*D_NET *709 0.00198984
*CONN
*I *6093:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *6090:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *6093:A2 0.000752726
2 *6090:Y 0.000752726
3 *6093:A2 *6118:A0 2.12377e-05
4 *6085:A *6093:A2 0.00027329
5 *6088:A1_N *6093:A2 2.15184e-05
6 *6090:B *6093:A2 0.000107496
7 *678:31 *6093:A2 0
8 *702:15 *6093:A2 6.08467e-05
9 *702:18 *6093:A2 0
*RES
1 *6090:Y *6093:A2 43.8033
*END
*D_NET *710 0.00749566
*CONN
*I *6108:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6106:S I *D sky130_fd_sc_hd__mux2_1
*I *6113:A I *D sky130_fd_sc_hd__nor2_1
*I *6117:S I *D sky130_fd_sc_hd__mux2_1
*I *6092:B I *D sky130_fd_sc_hd__or3b_1
*I *6091:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6108:B2 0.000276717
2 *6106:S 0.00058728
3 *6113:A 4.12277e-05
4 *6117:S 2.92947e-05
5 *6092:B 0.00061055
6 *6091:X 0
7 *710:42 0.00104484
8 *710:25 0.000379675
9 *710:6 0.000955395
10 *710:5 0.000216538
11 *6092:B *6114:A2 2.78407e-05
12 *6106:S *6108:C1 7.6719e-06
13 *6106:S *721:7 6.17086e-05
14 *6108:B2 *6103:A2 7.14746e-05
15 *6113:A *6117:A0 4.82966e-05
16 *710:25 *6115:B1 2.94562e-05
17 *710:25 *6117:A0 0
18 *6070:B *710:42 9.2346e-06
19 *6071:B *6106:S 1.09738e-05
20 *6084:A *6106:S 2.15348e-05
21 *6086:A *710:25 7.0954e-05
22 *6092:A *6092:B 0.000302739
23 *6092:A *710:25 0
24 *6100:A2 *6106:S 0.000145605
25 *6108:A1 *6108:B2 1.47046e-05
26 *6108:A2 *6106:S 0.000254881
27 *6108:A2 *6108:B2 0.000373047
28 *6108:B1 *6108:B2 1.47046e-05
29 *6113:B *6113:A 0.000159322
30 *6113:B *6117:S 0.000162583
31 *6117:A1 *6113:A 0.000277502
32 *6117:A1 *6117:S 0.000158357
33 *678:8 *6106:S 0
34 *686:11 *6106:S 0.000277488
35 *687:17 *710:42 0.000245235
36 *687:24 *710:6 1.40496e-05
37 *687:24 *710:25 4.2281e-05
38 *687:24 *710:42 2.97451e-05
39 *688:17 *6092:B 7.08723e-06
40 *688:17 *710:6 2.29201e-05
41 *688:17 *710:25 2.96442e-05
42 *688:17 *710:42 7.45712e-05
43 *691:11 *710:42 0.000157839
44 *703:10 *6106:S 0.000111722
45 *705:22 *710:25 0.000118961
*RES
1 *6091:X *710:5 13.7491
2 *710:5 *710:6 1.20912
3 *710:6 *6092:B 23.7248
4 *710:6 *710:25 13.9897
5 *710:25 *6117:S 11.0817
6 *710:25 *6113:A 12.7697
7 *710:5 *710:42 11.315
8 *710:42 *6106:S 31.2562
9 *710:42 *6108:B2 23.8917
*END
*D_NET *711 0.000315389
*CONN
*I *6093:B1_N I *D sky130_fd_sc_hd__a21bo_1
*I *6092:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6093:B1_N 0.000157695
2 *6092:X 0.000157695
3 *6093:B1_N *1029:8 0
*RES
1 *6092:X *6093:B1_N 30.193
*END
*D_NET *712 0.00057457
*CONN
*I *6096:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6093:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *6096:A1 0.000111754
2 *6093:X 0.000111754
3 *6096:A1 *6112:B1_N 2.95757e-05
4 *6096:A1 *6118:S 6.08467e-05
5 *6096:A1 *714:14 0.000127194
6 *6096:A1 *1029:8 6.31809e-05
7 *6096:A0 *6096:A1 7.02649e-05
*RES
1 *6093:X *6096:A1 31.9934
*END
*D_NET *713 0.000368369
*CONN
*I *6095:A I *D sky130_fd_sc_hd__xnor2_2
*I *6094:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6095:A 0.000151648
2 *6094:Y 0.000151648
3 *6094:A *6095:A 6.50727e-05
4 *6094:B *6095:A 0
5 *697:8 *6095:A 0
6 *698:9 *6095:A 0
*RES
1 *6094:Y *6095:A 30.4689
*END
*D_NET *714 0.00448461
*CONN
*I *6109:S I *D sky130_fd_sc_hd__mux2_1
*I *6105:S I *D sky130_fd_sc_hd__mux2_1
*I *6118:S I *D sky130_fd_sc_hd__mux2_1
*I *6096:S I *D sky130_fd_sc_hd__mux2_1
*I *6112:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *6095:Y O *D sky130_fd_sc_hd__xnor2_2
*CAP
1 *6109:S 0
2 *6105:S 0
3 *6118:S 0.000302985
4 *6096:S 0
5 *6112:B1_N 0.000295068
6 *6095:Y 0.000160889
7 *714:25 0.000302985
8 *714:14 0.000804892
9 *714:8 0.000598769
10 *714:5 0.000249834
11 *6112:B1_N *6119:A0 0
12 *6112:B1_N *1029:8 8.79989e-05
13 *6118:S *6118:A1 1.84293e-05
14 *714:5 *6109:A0 0.000169862
15 *714:8 *6105:A0 4.37999e-05
16 *714:8 *1029:8 0.000163982
17 *714:14 *6105:A0 1.44467e-05
18 *714:14 *6110:A1 0
19 *714:14 *1029:8 0.00027054
20 *6096:A0 *6112:B1_N 2.99929e-05
21 *6096:A0 *6118:S 1.00846e-05
22 *6096:A1 *6112:B1_N 2.95757e-05
23 *6096:A1 *6118:S 6.08467e-05
24 *6096:A1 *714:14 0.000127194
25 *597:61 *714:5 0.000742435
26 *702:15 *714:14 0
*RES
1 *6095:Y *714:5 17.1824
2 *714:5 *714:8 7.57775
3 *714:8 *714:14 14.9247
4 *714:14 *6112:B1_N 21.7028
5 *714:14 *714:25 4.5
6 *714:25 *6096:S 9.24915
7 *714:25 *6118:S 16.0732
8 *714:8 *6105:S 13.7491
9 *714:5 *6109:S 9.24915
*END
*D_NET *715 0.000451035
*CONN
*I *6097:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6096:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6097:A 0.000225518
2 *6096:X 0.000225518
*RES
1 *6096:X *6097:A 24.0926
*END
*D_NET *716 0.00163679
*CONN
*I *6107:B I *D sky130_fd_sc_hd__nor2_1
*I *6100:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6098:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6107:B 0
2 *6100:A3 0.000371862
3 *6098:X 0.000202105
4 *716:7 0.000573967
5 *6100:A3 *6100:B1 8.3647e-05
6 *6100:A3 *6108:C1 7.34948e-06
7 *6100:A3 *6109:A0 1.77537e-06
8 *716:7 *6108:C1 9.65855e-05
9 *6098:A *716:7 0.000122986
10 *6100:A1 *6100:A3 5.22654e-06
11 *6107:A *6100:A3 0.000171288
12 *677:8 *6100:A3 0
13 *677:12 *6100:A3 0
14 *686:6 *6100:A3 0
*RES
1 *6098:X *716:7 15.398
2 *716:7 *6100:A3 28.1694
3 *716:7 *6107:B 9.24915
*END
*D_NET *717 0.000819691
*CONN
*I *6100:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6099:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6100:B1 0.000147118
2 *6099:Y 0.000147118
3 *6100:B1 *6109:A1 0.000286067
4 *6100:A2 *6100:B1 5.4926e-05
5 *6100:A3 *6100:B1 8.3647e-05
6 *597:61 *6100:B1 4.23874e-05
7 *677:8 *6100:B1 1.82832e-05
8 *677:12 *6100:B1 4.01437e-05
*RES
1 *6099:Y *6100:B1 34.0898
*END
*D_NET *718 0.0012176
*CONN
*I *6105:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6100:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *6105:A0 0.00037416
2 *6100:X 0.00037416
3 *6105:A0 *6110:A1 0
4 *597:61 *6105:A0 0.000411034
5 *714:8 *6105:A0 4.37999e-05
6 *714:14 *6105:A0 1.44467e-05
*RES
1 *6100:X *6105:A0 34.7664
*END
*D_NET *719 0.00471514
*CONN
*I *6102:A I *D sky130_fd_sc_hd__inv_2
*I *6116:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6114:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6101:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6102:A 0
2 *6116:A2 1.14574e-05
3 *6114:A2 0.000470885
4 *6101:X 0.000933917
5 *719:14 0.000935669
6 *719:8 0.00138724
7 *719:14 *6103:A2 0.000299916
8 *6068:A *6114:A2 6.50727e-05
9 *6070:A *6114:A2 4.12533e-05
10 *6070:A *719:14 7.35006e-05
11 *6070:B *719:14 0
12 *6089:B *6116:A2 1.41976e-05
13 *6092:A *6114:A2 7.64679e-05
14 *6092:B *6114:A2 2.78407e-05
15 *6092:C_N *6114:A2 3.75603e-05
16 *6117:A1 *6114:A2 3.98472e-05
17 *82:6 *6114:A2 0
18 *82:6 *719:14 0
19 *688:8 *719:8 0
20 *688:17 *6114:A2 0.000213739
21 *688:17 *719:8 0
22 *688:17 *719:14 0
23 *690:43 *6116:A2 2.15184e-05
24 *708:9 *6116:A2 6.50586e-05
*RES
1 *6101:X *719:8 30.9889
2 *719:8 *719:14 12.0179
3 *719:14 *6114:A2 26.641
4 *719:14 *6116:A2 14.4725
5 *719:8 *6102:A 13.7491
*END
*D_NET *720 0.00187568
*CONN
*I *6103:A2 I *D sky130_fd_sc_hd__a21bo_1
*I *6102:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6103:A2 0.000321846
2 *6102:Y 0.000321846
3 *6103:A2 *721:7 0.000122378
4 *6070:A *6103:A2 0.000310901
5 *6070:B *6103:A2 6.92705e-05
6 *6108:B2 *6103:A2 7.14746e-05
7 *597:64 *6103:A2 0.000146566
8 *688:17 *6103:A2 0
9 *691:11 *6103:A2 0.000211478
10 *719:14 *6103:A2 0.000299916
*RES
1 *6102:Y *6103:A2 40.4462
*END
*D_NET *721 0.00374906
*CONN
*I *6106:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6104:B I *D sky130_fd_sc_hd__nor2_1
*I *6103:X O *D sky130_fd_sc_hd__a21bo_1
*CAP
1 *6106:A1 0
2 *6104:B 0.000365806
3 *6103:X 0.000160858
4 *721:7 0.000526664
5 *6104:B *6105:A1 0.00033948
6 *6071:B *721:7 6.50586e-05
7 *6103:A2 *721:7 0.000122378
8 *6104:A *6104:B 0.000988288
9 *6106:S *721:7 6.17086e-05
10 *597:64 *6104:B 1.41291e-05
11 *597:64 *721:7 0.000780525
12 *686:11 *721:7 0.000324166
*RES
1 *6103:X *721:7 18.7256
2 *721:7 *6104:B 25.7185
3 *721:7 *6106:A1 9.24915
*END
*D_NET *722 0.00112073
*CONN
*I *6105:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6104:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6105:A1 0.000197809
2 *6104:Y 0.000197809
3 *6105:A1 *6110:A0 0.000371502
4 *6104:A *6105:A1 1.41291e-05
5 *6104:B *6105:A1 0.00033948
*RES
1 *6104:Y *6105:A1 26.9861
*END
*D_NET *723 0.000997142
*CONN
*I *6110:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6105:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6110:A0 0.000275284
2 *6105:X 0.000275284
3 *6110:A0 *6110:A1 7.50722e-05
4 *6105:A1 *6110:A0 0.000371502
5 *702:9 *6110:A0 0
*RES
1 *6105:X *6110:A0 32.9661
*END
*D_NET *724 0.00114227
*CONN
*I *6109:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6106:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6109:A0 0.000267772
2 *6106:X 0.000267772
3 *6109:A0 *6109:A1 0.000156367
4 *6100:A1 *6109:A0 0
5 *6100:A3 *6109:A0 1.77537e-06
6 *6104:A *6109:A0 0.000122378
7 *597:61 *6109:A0 9.80912e-05
8 *677:12 *6109:A0 5.82465e-05
9 *714:5 *6109:A0 0.000169862
*RES
1 *6106:X *6109:A0 36.0444
*END
*D_NET *725 0.0030709
*CONN
*I *6108:C1 I *D sky130_fd_sc_hd__a221o_1
*I *6107:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6108:C1 0.000565386
2 *6107:Y 0.000565386
3 *6084:A *6108:C1 0.000186242
4 *6100:A3 *6108:C1 7.34948e-06
5 *6106:S *6108:C1 7.6719e-06
6 *6108:A1 *6108:C1 0.000277502
7 *6108:A2 *6108:C1 2.41483e-05
8 *6108:B1 *6108:C1 0.000789244
9 *681:14 *6108:C1 0.000123582
10 *681:16 *6108:C1 7.65861e-05
11 *687:11 *6108:C1 0.000266846
12 *690:20 *6108:C1 4.11983e-05
13 *703:10 *6108:C1 4.31703e-05
14 *716:7 *6108:C1 9.65855e-05
*RES
1 *6107:Y *6108:C1 46.161
*END
*D_NET *726 0.00457188
*CONN
*I *6109:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6108:X O *D sky130_fd_sc_hd__a221o_1
*CAP
1 *6109:A1 0.00147747
2 *6108:X 0.00147747
3 *6100:A2 *6109:A1 8.4167e-05
4 *6100:B1 *6109:A1 0.000286067
5 *6100:B2 *6109:A1 2.65667e-05
6 *6108:A1 *6109:A1 1.19856e-05
7 *6108:A2 *6109:A1 1.56836e-05
8 *6108:B1 *6109:A1 0.00103119
9 *6109:A0 *6109:A1 0.000156367
10 *597:61 *6109:A1 4.91225e-06
*RES
1 *6108:X *6109:A1 43.6242
*END
*D_NET *727 0.00109588
*CONN
*I *6110:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6109:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6110:A1 0.000373445
2 *6109:X 0.000373445
3 *6105:A0 *6110:A1 0
4 *6110:A0 *6110:A1 7.50722e-05
5 *597:61 *6110:A1 0.000273916
6 *714:14 *6110:A1 0
*RES
1 *6109:X *6110:A1 35.1789
*END
*D_NET *728 0.000986051
*CONN
*I *6111:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6110:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6111:A 0.000493025
2 *6110:X 0.000493025
3 *702:15 *6111:A 0
*RES
1 *6110:X *6111:A 39.2032
*END
*D_NET *729 0.000718149
*CONN
*I *6119:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6112:X O *D sky130_fd_sc_hd__o21ba_1
*CAP
1 *6119:A0 0.000359075
2 *6112:X 0.000359075
3 *6112:B1_N *6119:A0 0
4 *6720:D *6119:A0 0
*RES
1 *6112:X *6119:A0 33.6572
*END
*D_NET *730 0.000748002
*CONN
*I *6115:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6113:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6115:A2 0.000198207
2 *6113:Y 0.000198207
3 *6115:A2 *6117:A0 0.000224395
4 *705:22 *6115:A2 0.000127194
*RES
1 *6113:Y *6115:A2 32.1327
*END
*D_NET *731 0.00113855
*CONN
*I *6115:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6114:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *6115:B1 0.000231291
2 *6114:X 0.000231291
3 *6088:B2 *6115:B1 0.000101641
4 *6092:A *6115:B1 0.000122098
5 *705:22 *6115:B1 0.000353507
6 *705:23 *6115:B1 6.92705e-05
7 *710:25 *6115:B1 2.94562e-05
*RES
1 *6114:X *6115:B1 36.6977
*END
*D_NET *732 0.0019236
*CONN
*I *6118:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6115:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6118:A0 0.000273129
2 *6115:Y 0.000273129
3 *6118:A0 *6119:A1 0
4 *6085:A *6118:A0 0
5 *6088:A1_N *6118:A0 0.000236373
6 *6088:B1 *6118:A0 3.67708e-05
7 *6088:B2 *6118:A0 0.000895445
8 *6090:A *6118:A0 2.60417e-05
9 *6090:B *6118:A0 1.01361e-05
10 *6093:A2 *6118:A0 2.12377e-05
11 *6119:S *6118:A0 0
12 *678:31 *6118:A0 0
13 *705:23 *6118:A0 0.000151335
*RES
1 *6115:Y *6118:A0 41.2823
*END
*D_NET *733 0.00248398
*CONN
*I *6117:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6116:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6117:A0 0.000503813
2 *6116:Y 0.000503813
3 *6070:A *6117:A0 0.000161966
4 *6086:A *6117:A0 1.32509e-05
5 *6087:A *6117:A0 4.70005e-05
6 *6113:A *6117:A0 4.82966e-05
7 *6113:B *6117:A0 0.000474783
8 *6115:A2 *6117:A0 0.000224395
9 *6117:A1 *6117:A0 0.000419281
10 *687:24 *6117:A0 8.73769e-05
11 *710:25 *6117:A0 0
*RES
1 *6116:Y *6117:A0 45.7051
*END
*D_NET *734 0.000903826
*CONN
*I *6118:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6117:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6118:A1 0.000442698
2 *6117:X 0.000442698
3 *6118:S *6118:A1 1.84293e-05
*RES
1 *6117:X *6118:A1 24.7677
*END
*D_NET *735 0.00096117
*CONN
*I *6119:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6118:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6119:A1 0.000348399
2 *6118:X 0.000348399
3 *6088:A1_N *6119:A1 0.000119701
4 *6118:A0 *6119:A1 0
5 *6119:S *6119:A1 0.000111192
6 *702:18 *6119:A1 3.34802e-05
*RES
1 *6118:X *6119:A1 36.1543
*END
*D_NET *736 0.00110298
*CONN
*I *6120:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6119:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *6120:A 0.000507052
2 *6119:X 0.000507052
3 *6120:A *1092:69 5.53934e-05
4 *6722:D *6120:A 3.34802e-05
*RES
1 *6119:X *6120:A 36.015
*END
*D_NET *737 0.00229532
*CONN
*I *6129:A I *D sky130_fd_sc_hd__xnor2_1
*I *6132:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6122:B I *D sky130_fd_sc_hd__nor2_1
*I *6121:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6129:A 0.000168294
2 *6132:A_N 0.000143262
3 *6122:B 0
4 *6121:Y 0.000165799
5 *737:15 0.00055027
6 *737:7 0.000404512
7 *6129:A *6129:B 0.000271044
8 *6129:A *6130:B 0.000124253
9 *6129:A *745:5 2.65667e-05
10 *737:7 *6124:B1 0.000328363
11 *6122:A *737:7 4.80635e-06
12 *602:61 *6129:A 4.58259e-05
13 *602:61 *6132:A_N 6.23202e-05
*RES
1 *6121:Y *737:7 14.2888
2 *737:7 *6122:B 9.24915
3 *737:7 *737:15 10.2148
4 *737:15 *6132:A_N 17.6574
5 *737:15 *6129:A 19.7687
*END
*D_NET *738 0.00114103
*CONN
*I *6124:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6122:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6124:B1 0.00023278
2 *6122:Y 0.00023278
3 *6124:B1 *6121:B 1.41976e-05
4 *6124:B1 *6124:A2 0.000195139
5 *6124:B1 *1029:8 5.33945e-05
6 *6122:A *6124:B1 8.43707e-05
7 *737:7 *6124:B1 0.000328363
*RES
1 *6122:Y *6124:B1 36.3297
*END
*D_NET *739 0.00487736
*CONN
*I *6692:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6689:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6690:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6691:B I *D sky130_fd_sc_hd__and3_1
*I *6124:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6123:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6692:A1 2.08082e-05
2 *6689:A1 0.000226954
3 *6690:A1 7.90476e-05
4 *6691:B 0.000114658
5 *6124:B2 0.000128832
6 *6123:X 0.000343725
7 *739:32 0.00042976
8 *739:24 0.00029815
9 *739:20 0.000233622
10 *739:8 0.000625638
11 *6124:B2 *6124:A1 6.50586e-05
12 *6124:B2 *1029:8 0.000191541
13 *6689:A1 *6693:B 0
14 *6689:A1 *1235:30 0
15 *6690:A1 *1218:35 1.00937e-05
16 *6691:B *1215:25 0
17 *6692:A1 *6016:A 0
18 *6692:A1 *6121:A 4.80635e-06
19 *739:8 *1029:8 0.00038465
20 *739:8 *1214:7 0.000213725
21 *739:8 *1214:13 0.000152878
22 *739:20 *6016:A 0.00015511
23 *739:20 *6121:A 0.000254493
24 *739:24 *6016:A 0.000317707
25 *739:24 *6121:A 4.31703e-05
26 *739:32 *6693:B 0
27 *739:32 *1215:25 0
28 *6122:A *739:24 2.1801e-05
29 *6693:C *739:24 5.07314e-05
30 *6694:A *6124:B2 0
31 *6842:D *6689:A1 5.19205e-05
32 *214:17 *6124:B2 6.08467e-05
33 *532:32 *6689:A1 0.000336769
34 *532:40 *6689:A1 0
35 *532:40 *6690:A1 2.65667e-05
36 *532:40 *739:32 0
37 *567:9 *6691:B 3.42931e-05
*RES
1 *6123:X *739:8 24.6152
2 *739:8 *6124:B2 17.9655
3 *739:8 *739:20 10.7694
4 *739:20 *739:24 7.99641
5 *739:24 *6691:B 15.9964
6 *739:24 *739:32 2.6625
7 *739:32 *6690:A1 15.0271
8 *739:32 *6689:A1 21.0386
9 *739:20 *6692:A1 9.82786
*END
*D_NET *740 0.000390927
*CONN
*I *6126:A I *D sky130_fd_sc_hd__xnor2_1
*I *6124:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6126:A 0.000123285
2 *6124:X 0.000123285
3 *6126:A *6126:B 6.92705e-05
4 *6126:A *1029:8 0
5 *613:18 *6126:A 7.50872e-05
*RES
1 *6124:X *6126:A 31.0235
*END
*D_NET *741 0.000485983
*CONN
*I *6126:B I *D sky130_fd_sc_hd__xnor2_1
*I *6125:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6126:B 0.000170085
2 *6125:Y 0.000170085
3 *6126:B *1029:8 4.80148e-05
4 *6005:A0 *6126:B 2.85274e-05
5 *6126:A *6126:B 6.92705e-05
*RES
1 *6125:Y *6126:B 32.548
*END
*D_NET *742 0.00182135
*CONN
*I *6175:B I *D sky130_fd_sc_hd__and2b_1
*I *6127:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6133:B I *D sky130_fd_sc_hd__nor2_1
*I *6126:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6175:B 9.03248e-05
2 *6127:A 0.000111337
3 *6133:B 1.81917e-05
4 *6126:Y 0.000414392
5 *742:15 0.000283289
6 *742:7 0.00051421
7 *6127:A *743:8 0.000127179
8 *6133:B *6175:A_N 1.09551e-05
9 *6175:B *6175:A_N 5.04829e-06
10 *6175:B *749:8 3.40423e-05
11 *742:7 *6133:A 6.50727e-05
12 *742:7 *6175:A_N 2.41483e-05
13 *742:15 *6175:A_N 0.00012316
14 *6719:D *6127:A 0
*RES
1 *6126:Y *742:7 19.4249
2 *742:7 *6133:B 9.82786
3 *742:7 *742:15 2.94181
4 *742:15 *6127:A 21.7421
5 *742:15 *6175:B 11.6605
*END
*D_NET *743 0.00289601
*CONN
*I *6134:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6177:B I *D sky130_fd_sc_hd__nor3b_1
*I *6178:B1_N I *D sky130_fd_sc_hd__o21ba_1
*I *6179:C_N I *D sky130_fd_sc_hd__nor3b_1
*I *6135:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6127:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6134:A1 0
2 *6177:B 0.000240161
3 *6178:B1_N 3.57807e-05
4 *6179:C_N 4.04494e-05
5 *6135:A2 0
6 *6127:X 0.000261664
7 *743:30 0.000374637
8 *743:27 0.000179932
9 *743:15 0.000218784
10 *743:8 0.000358763
11 *6177:B *6177:C_N 9.17188e-05
12 *6177:B *6713:CLK 0
13 *6177:B *747:8 5.66868e-06
14 *6179:C_N *6134:A2 0.00027103
15 *6179:C_N *6179:A 0.000224381
16 *743:8 *6135:A1 3.31882e-05
17 *743:8 *749:8 3.12316e-05
18 *743:15 *6134:B1 4.97193e-05
19 *743:15 *6135:A1 4.33979e-05
20 *743:15 *747:12 2.95757e-05
21 *743:15 *747:14 0.000118485
22 *743:15 *749:8 3.67528e-06
23 *743:27 *6134:A2 6.50727e-05
24 *743:27 *6134:B1 6.08697e-06
25 *743:27 *747:12 4.55115e-05
26 *743:30 *6177:C_N 5.22654e-06
27 *743:30 *747:12 3.4693e-05
28 *6127:A *743:8 0.000127179
29 *6719:D *743:8 0
30 *6725:D *743:8 0
*RES
1 *6127:X *743:8 20.0418
2 *743:8 *6135:A2 13.7491
3 *743:8 *743:15 3.493
4 *743:15 *6179:C_N 16.691
5 *743:15 *743:27 6.77949
6 *743:27 *743:30 7.1625
7 *743:30 *6178:B1_N 14.4725
8 *743:30 *6177:B 20.0446
9 *743:27 *6134:A1 9.24915
*END
*D_NET *744 0.00157924
*CONN
*I *6131:A I *D sky130_fd_sc_hd__nor2_2
*I *6129:B I *D sky130_fd_sc_hd__xnor2_1
*I *6128:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6131:A 6.21037e-05
2 *6129:B 0.00019306
3 *6128:X 9.18166e-05
4 *744:7 0.000346981
5 *6129:B *6130:B 2.41483e-05
6 *6129:B *6131:B 0.00018806
7 *6129:B *745:5 2.61147e-05
8 *6129:B *1217:43 0
9 *6131:A *1217:43 0
10 *6129:A *6129:B 0.000271044
11 *602:61 *6129:B 0.00024873
12 *602:61 *6131:A 0.000127179
*RES
1 *6128:X *744:7 15.0271
2 *744:7 *6129:B 22.6783
3 *744:7 *6131:A 15.9964
*END
*D_NET *745 0.00239307
*CONN
*I *6178:A2 I *D sky130_fd_sc_hd__o21ba_1
*I *6177:C_N I *D sky130_fd_sc_hd__nor3b_1
*I *6134:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6179:B I *D sky130_fd_sc_hd__nor3b_1
*I *6129:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6178:A2 0
2 *6177:C_N 6.63986e-05
3 *6134:A2 0.000138519
4 *6179:B 0
5 *6129:Y 0.0002708
6 *745:25 0.000279851
7 *745:10 0.000225645
8 *745:5 0.000571378
9 *6134:A2 *6179:A 2.41483e-05
10 *6177:C_N *747:8 1.07248e-05
11 *6177:C_N *747:10 0.000205332
12 *6177:C_N *747:12 8.62625e-06
13 *745:5 *6131:B 5.04054e-06
14 *745:10 *747:12 0
15 *745:25 *6178:A1 6.50586e-05
16 *6129:A *745:5 2.65667e-05
17 *6129:B *745:5 2.61147e-05
18 *6177:B *6177:C_N 9.17188e-05
19 *6179:C_N *6134:A2 0.00027103
20 *602:61 *745:10 3.58185e-05
21 *743:27 *6134:A2 6.50727e-05
22 *743:30 *6177:C_N 5.22654e-06
*RES
1 *6129:Y *745:5 13.3002
2 *745:5 *745:10 11.2472
3 *745:10 *6179:B 9.24915
4 *745:10 *6134:A2 13.8548
5 *745:5 *745:25 5.20845
6 *745:25 *6177:C_N 21.7421
7 *745:25 *6178:A2 9.24915
*END
*D_NET *746 0.00198978
*CONN
*I *6131:B I *D sky130_fd_sc_hd__nor2_2
*I *6130:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6131:B 0.000705376
2 *6130:Y 0.000705376
3 *6131:B *6130:B 6.05623e-05
4 *6131:B *747:8 0
5 *6131:B *747:10 0
6 *6129:B *6131:B 0.00018806
7 *6712:D *6131:B 0
8 *602:61 *6131:B 0.000325369
9 *745:5 *6131:B 5.04054e-06
*RES
1 *6130:Y *6131:B 42.11
*END
*D_NET *747 0.00351527
*CONN
*I *6177:A I *D sky130_fd_sc_hd__nor3b_1
*I *6178:A1 I *D sky130_fd_sc_hd__o21ba_1
*I *6179:A I *D sky130_fd_sc_hd__nor3b_1
*I *6132:B I *D sky130_fd_sc_hd__and2b_1
*I *6135:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6131:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *6177:A 0
2 *6178:A1 2.19858e-05
3 *6179:A 0.000112583
4 *6132:B 3.89445e-05
5 *6135:A1 9.98033e-05
6 *6131:Y 0.000281343
7 *747:14 0.000214032
8 *747:12 0.000327346
9 *747:10 0.000253718
10 *747:8 0.000373596
11 *6131:B *747:8 0
12 *6131:B *747:10 0
13 *6134:A2 *6179:A 2.41483e-05
14 *6177:B *747:8 5.66868e-06
15 *6177:C_N *747:8 1.07248e-05
16 *6177:C_N *747:10 0.000205332
17 *6177:C_N *747:12 8.62625e-06
18 *6179:C_N *6179:A 0.000224381
19 *6712:D *747:8 3.92275e-05
20 *6719:D *6135:A1 0
21 *214:17 *6132:B 0.000430366
22 *214:17 *6135:A1 4.31703e-05
23 *602:61 *747:10 0
24 *602:61 *747:12 0
25 *602:61 *747:14 0
26 *603:38 *6132:B 0.000430366
27 *743:8 *6135:A1 3.31882e-05
28 *743:15 *6135:A1 4.33979e-05
29 *743:15 *747:12 2.95757e-05
30 *743:15 *747:14 0.000118485
31 *743:27 *747:12 4.55115e-05
32 *743:30 *747:12 3.4693e-05
33 *745:10 *747:12 0
34 *745:25 *6178:A1 6.50586e-05
*RES
1 *6131:Y *747:8 20.3233
2 *747:8 *747:10 3.493
3 *747:10 *747:12 3.90826
4 *747:12 *747:14 2.24725
5 *747:14 *6135:A1 16.4439
6 *747:14 *6132:B 18.3548
7 *747:12 *6179:A 17.2697
8 *747:10 *6178:A1 14.4725
9 *747:8 *6177:A 13.7491
*END
*D_NET *748 0.00114567
*CONN
*I *6175:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6133:A I *D sky130_fd_sc_hd__nor2_1
*I *6132:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6175:A_N 5.53805e-05
2 *6133:A 2.18203e-05
3 *6132:X 0.000149176
4 *748:10 0.000226377
5 *6175:A_N *749:8 0.00033061
6 *6133:B *6175:A_N 1.09551e-05
7 *6175:B *6175:A_N 5.04829e-06
8 *6719:D *748:10 0.000123582
9 *214:17 *748:10 1.03403e-05
10 *742:7 *6133:A 6.50727e-05
11 *742:7 *6175:A_N 2.41483e-05
12 *742:15 *6175:A_N 0.00012316
*RES
1 *6132:X *748:10 21.2198
2 *748:10 *6133:A 9.97254
3 *748:10 *6175:A_N 13.3243
*END
*D_NET *749 0.0016835
*CONN
*I *6134:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6135:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6133:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6134:B1 0.000186498
2 *6135:B1 0
3 *6133:Y 0.000427568
4 *749:8 0.000614067
5 *6175:A_N *749:8 0.00033061
6 *6175:B *749:8 3.40423e-05
7 *6725:D *749:8 0
8 *743:8 *749:8 3.12316e-05
9 *743:15 *6134:B1 4.97193e-05
10 *743:15 *749:8 3.67528e-06
11 *743:27 *6134:B1 6.08697e-06
*RES
1 *6133:Y *749:8 21.7112
2 *749:8 *6135:B1 13.7491
3 *749:8 *6134:B1 18.3808
*END
*D_NET *750 0.0070229
*CONN
*I *6378:B I *D sky130_fd_sc_hd__and2_1
*I *6374:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6377:B I *D sky130_fd_sc_hd__nor2_1
*I *6381:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6137:C I *D sky130_fd_sc_hd__or3_1
*I *6136:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6378:B 0
2 *6374:A_N 0.000322779
3 *6377:B 0
4 *6381:A2 7.33662e-05
5 *6137:C 0.000152374
6 *6136:X 0.000233657
7 *750:43 0.000370603
8 *750:37 0.000148489
9 *750:23 0.000717919
10 *750:8 0.000929917
11 *6137:C *6137:A 2.65667e-05
12 *6137:C *6164:A 0.000355606
13 *6137:C *6165:B 9.96342e-05
14 *6137:C *6390:B1 2.82537e-05
15 *6137:C *6421:B1 6.08467e-05
16 *6137:C *933:6 0.000143047
17 *6137:C *934:32 0
18 *6374:A_N *6372:B1 0
19 *6374:A_N *6374:B 0.000399776
20 *6374:A_N *911:8 0
21 *6381:A2 *6165:A 0
22 *6381:A2 *923:36 6.3657e-05
23 *750:8 *6164:A 2.6046e-05
24 *750:8 *6164:C 0.000133799
25 *750:8 *6164:D 0
26 *750:8 *770:38 6.50727e-05
27 *750:8 *792:47 7.21847e-05
28 *750:8 *934:32 0
29 *750:23 *6164:C 5.07314e-05
30 *750:23 *811:19 0
31 *750:37 *6378:A 3.82088e-05
32 *750:37 *923:36 0.000114594
33 *750:37 *923:42 0.000367089
34 *750:43 *6378:A 0.000275256
35 *750:43 *6379:A1 4.82966e-05
36 *6778:D *6374:A_N 0.000413252
37 *354:15 *6374:A_N 0
38 *600:23 *750:37 0.000565951
39 *600:23 *750:43 0.000156562
40 *604:33 *750:23 0.000132556
41 *604:37 *750:23 0.000436811
*RES
1 *6136:X *750:8 20.8723
2 *750:8 *6137:C 20.8723
3 *750:8 *750:23 23.0627
4 *750:23 *6381:A2 15.474
5 *750:23 *750:37 11.3481
6 *750:37 *6377:B 9.24915
7 *750:37 *750:43 4.05102
8 *750:43 *6374:A_N 28.8393
9 *750:43 *6378:B 9.24915
*END
*D_NET *751 0.00393025
*CONN
*I *6138:B I *D sky130_fd_sc_hd__nor2_1
*I *6384:B I *D sky130_fd_sc_hd__and2_1
*I *6386:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6382:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6137:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6138:B 0.000440031
2 *6384:B 0
3 *6386:A2 0
4 *6382:A1 0
5 *6137:X 0.000584806
6 *751:25 0.00057422
7 *751:16 0.00029276
8 *751:5 0.000743376
9 *6138:B *6139:B 6.05584e-05
10 *6138:B *6385:A2 9.34088e-05
11 *6138:B *6387:A1 0
12 *6138:B *6387:A2 0
13 *6138:B *752:8 3.22726e-05
14 *6138:B *913:31 7.95198e-05
15 *751:5 *6382:A2 3.14978e-05
16 *751:16 *6165:A 0
17 *751:16 *6382:A2 2.65667e-05
18 *751:16 *811:19 0
19 *751:16 *1337:29 5.22654e-06
20 *751:25 *6169:C1 0.000260325
21 *751:25 *6384:A 6.48838e-05
22 *751:25 *6387:A2 9.82896e-06
23 *751:25 *913:31 1.92336e-05
24 *751:25 *928:9 2.65667e-05
25 *354:15 *6138:B 1.92172e-05
26 *354:15 *751:25 0.000565951
*RES
1 *6137:X *751:5 17.737
2 *751:5 *6382:A1 9.24915
3 *751:5 *751:16 11.9706
4 *751:16 *6386:A2 9.24915
5 *751:16 *751:25 7.42687
6 *751:25 *6384:B 9.24915
7 *751:25 *6138:B 29.5248
*END
*D_NET *752 0.0015318
*CONN
*I *6139:B I *D sky130_fd_sc_hd__and2b_1
*I *6385:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6138:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6139:B 0.000105534
2 *6385:A1 9.74222e-05
3 *6138:Y 0.00022713
4 *752:8 0.000430086
5 *6139:B *6387:A2 0
6 *6139:B *7085:A 8.8567e-05
7 *6385:A1 *6385:B1 9.28757e-05
8 *6385:A1 *7085:A 0.000238566
9 *752:8 *6387:A1 2.18741e-05
10 *752:8 *7085:A 1.87469e-05
11 *6138:B *6139:B 6.05584e-05
12 *6138:B *752:8 3.22726e-05
13 *6782:D *6385:A1 0.000118166
*RES
1 *6138:Y *752:8 18.2442
2 *752:8 *6385:A1 18.5477
3 *752:8 *6139:B 17.6574
*END
*D_NET *753 0.00283692
*CONN
*I *6142:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6387:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6139:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6142:A_N 0.00028061
2 *6387:A1 7.96986e-05
3 *6139:X 0.00011578
4 *753:8 0.000476088
5 *6142:A_N *6357:A2 0.000160617
6 *6142:A_N *755:9 0.000217951
7 *6387:A1 *6387:A2 0.000101133
8 *6387:A1 *6387:B1 0
9 *6387:A1 *7085:A 8.62625e-06
10 *753:8 *6387:A2 0.000165481
11 *753:8 *7085:A 0.000167195
12 *6138:B *6387:A1 0
13 *6782:D *6142:A_N 0.00104186
14 *752:8 *6387:A1 2.18741e-05
*RES
1 *6139:X *753:8 17.5503
2 *753:8 *6387:A1 16.4116
3 *753:8 *6142:A_N 25.01
*END
*D_NET *754 0.00191744
*CONN
*I *6141:C I *D sky130_fd_sc_hd__or3_1
*I *6211:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6140:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6141:C 0.000171777
2 *6211:A 9.17153e-05
3 *6140:X 0.00016236
4 *754:8 0.000425853
5 *6141:C *6352:B 7.24155e-05
6 *6141:C *6358:A1 0.000426168
7 *6141:C *803:28 6.92148e-05
8 *6211:A *6358:B1 4.0752e-05
9 *6211:A *803:7 0.000113968
10 *754:8 *6141:A 0
11 *754:8 *6160:B 1.43983e-05
12 *754:8 *6352:B 5.76123e-05
13 *754:8 *803:28 3.20069e-06
14 *754:8 *1088:10 9.24241e-05
15 *6783:D *6141:C 0.000175584
*RES
1 *6140:X *754:8 17.5503
2 *754:8 *6211:A 16.1364
3 *754:8 *6141:C 22.0112
*END
*D_NET *755 0.00263875
*CONN
*I *6360:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6142:B I *D sky130_fd_sc_hd__and3b_1
*I *6141:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6360:A1 0.000595235
2 *6142:B 0
3 *6141:X 0.000328787
4 *755:9 0.000924022
5 *6360:A1 *6352:B 0
6 *6360:A1 *6353:A 0.000369533
7 *6360:A1 *895:30 7.52398e-05
8 *755:9 *6141:B 0
9 *755:9 *801:56 0
10 *755:9 *803:28 5.22654e-06
11 *755:9 *803:39 2.47663e-05
12 *6142:A_N *755:9 0.000217951
13 *6782:D *6360:A1 2.85139e-05
14 *6782:D *755:9 6.94802e-05
*RES
1 *6141:X *755:9 24.2715
2 *755:9 *6142:B 9.24915
3 *755:9 *6360:A1 29.2896
*END
*D_NET *756 0.0193597
*CONN
*I *6154:B I *D sky130_fd_sc_hd__and2_1
*I *6143:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6142:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6154:B 0.000430389
2 *6143:A 0
3 *6142:X 0
4 *756:22 0.00197298
5 *756:17 0.00299958
6 *756:5 0.00470743
7 *756:4 0.00325044
8 *6154:B *6144:A 0
9 *6154:B *6154:A 5.07314e-05
10 *6154:B *6711:CLK 2.7961e-05
11 *756:5 *6073:A 3.82894e-05
12 *756:5 *1231:9 0.00170873
13 *756:5 *1366:11 0.000690722
14 *756:5 *1366:17 0.00230875
15 *756:17 *6053:B 0.000101133
16 *756:17 *6054:A 9.75356e-05
17 *756:17 *1224:7 1.03403e-05
18 *6064:A_N *756:17 7.97944e-05
19 *6064:B *756:22 9.63981e-05
20 *6066:A *756:22 4.82966e-05
21 *6074:B *756:5 3.14978e-05
22 *6078:A2 *756:17 0.000149924
23 *6078:B1_N *756:17 0.00011818
24 *6725:D *6154:B 0
25 *82:6 *756:22 0.000289617
26 *673:13 *756:17 5.93193e-05
27 *685:8 *756:22 6.50586e-05
28 *693:11 *756:5 2.65667e-05
*RES
1 *6142:X *756:4 9.24915
2 *756:4 *756:5 57.293
3 *756:5 *756:17 37.2842
4 *756:17 *756:22 43.4891
5 *756:22 *6143:A 13.7491
6 *756:22 *6154:B 23.6694
*END
*D_NET *757 0.00719507
*CONN
*I *6152:B I *D sky130_fd_sc_hd__and2_1
*I *6148:B I *D sky130_fd_sc_hd__and2_1
*I *6150:B I *D sky130_fd_sc_hd__and2_1
*I *6146:B I *D sky130_fd_sc_hd__and2_1
*I *6144:B I *D sky130_fd_sc_hd__and2_1
*I *6143:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6152:B 0
2 *6148:B 0.000780253
3 *6150:B 3.29888e-05
4 *6146:B 0.000302881
5 *6144:B 0.000262164
6 *6143:X 0
7 *757:39 0.00100219
8 *757:27 0.000446897
9 *757:18 0.00127172
10 *757:4 0.00103903
11 *6148:B *6152:A 0
12 *6148:B *6153:A 0
13 *6150:B *7010:A 2.16355e-05
14 *757:18 *6154:A 0
15 *757:18 *6155:A 7.05251e-05
16 *757:18 *7012:A 6.50727e-05
17 *757:18 *7012:TE_B 0
18 *757:39 *6152:A 0.000383717
19 *757:39 *6153:A 1.00846e-05
20 *5890:A *757:18 2.36813e-05
21 *5943:A *757:18 0.000249768
22 *6724:D *6148:B 0
23 *214:13 *757:18 2.78666e-05
24 *593:46 *6144:B 0.000237689
25 *593:46 *757:18 0.000260489
26 *603:52 *757:18 0.000141001
27 *603:52 *757:27 0.000394828
28 *603:52 *757:39 0.000170592
*RES
1 *6143:X *757:4 9.24915
2 *757:4 *6144:B 17.9299
3 *757:4 *757:18 28.6597
4 *757:18 *6146:B 20.0186
5 *757:18 *757:27 6.81502
6 *757:27 *6150:B 14.4725
7 *757:27 *757:39 12.1834
8 *757:39 *6148:B 35.9339
9 *757:39 *6152:B 9.24915
*END
*D_NET *758 0.000199026
*CONN
*I *6145:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6144:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6145:A 9.95128e-05
2 *6144:X 9.95128e-05
*RES
1 *6144:X *6145:A 29.7455
*END
*D_NET *759 0.000879769
*CONN
*I *6147:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6146:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6147:A 0.000174083
2 *6146:X 0.000174083
3 *6147:A *7008:TE_B 2.44829e-05
4 la1_data_out[19] *6147:A 2.02035e-05
5 *83:8 *6147:A 0.000143312
6 *585:51 *6147:A 0.000338555
7 *600:44 *6147:A 5.04829e-06
*RES
1 *6146:X *6147:A 34.7608
*END
*D_NET *760 0.00040847
*CONN
*I *6149:A I *D sky130_fd_sc_hd__buf_2
*I *6148:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6149:A 0.000182907
2 *6148:X 0.000182907
3 *6149:A *1281:11 4.26566e-05
*RES
1 *6148:X *6149:A 31.9934
*END
*D_NET *761 0.000362554
*CONN
*I *6151:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6150:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6151:A 0.000117231
2 *6150:X 0.000117231
3 *6151:A *7010:A 0.000128091
*RES
1 *6150:X *6151:A 22.5493
*END
*D_NET *762 0.00224041
*CONN
*I *6153:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6152:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6153:A 0.000702421
2 *6152:X 0.000702421
3 *6153:A *6152:A 0.000153167
4 *6153:A *7010:A 0
5 *6153:A *7011:A 0.00018806
6 *6153:A *7011:TE_B 0.000421834
7 io_oeb[11] *6153:A 6.00052e-05
8 *5944:A *6153:A 2.41274e-06
9 *6148:B *6153:A 0
10 *757:39 *6153:A 1.00846e-05
*RES
1 *6152:X *6153:A 46.5707
*END
*D_NET *763 0.00146489
*CONN
*I *6155:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6154:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6155:A 0.000543262
2 *6154:X 0.000543262
3 *6155:A *6154:A 6.06102e-05
4 *5890:A *6155:A 0.000247231
5 *757:18 *6155:A 7.05251e-05
*RES
1 *6154:X *6155:A 39.886
*END
*D_NET *764 0.0091481
*CONN
*I *6393:A I *D sky130_fd_sc_hd__and3_1
*I *6354:A I *D sky130_fd_sc_hd__nor2_1
*I *6358:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6218:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6161:A I *D sky130_fd_sc_hd__or4_1
*I *6156:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6393:A 0.000485397
2 *6354:A 0.000231224
3 *6358:A1 0.000261455
4 *6218:B1 0
5 *6161:A 0.00024223
6 *6156:X 0
7 *764:35 0.000943009
8 *764:24 0.000618189
9 *764:17 0.000685039
10 *764:5 0.000274012
11 *6161:A *6161:B 0.00020806
12 *6161:A *6194:A 0.000218075
13 *6161:A *787:8 0
14 *6161:A *789:8 2.5386e-05
15 *6161:A *789:12 4.27148e-05
16 *6354:A *6357:A2 3.74593e-05
17 *6354:A *6387:B1 2.32311e-05
18 *6354:A *7077:A 9.98274e-05
19 *6354:A *801:56 0.00054002
20 *6354:A *911:10 3.64684e-05
21 *6358:A1 *6358:A2 0.000182243
22 *6393:A *6161:B 4.53941e-05
23 *6393:A *6190:A 1.19721e-05
24 *6393:A *6221:A 3.60268e-05
25 *6393:A *6393:B 1.92793e-05
26 *6393:A *6393:C 0.000171273
27 *6393:A *765:10 0.000111147
28 *6393:A *788:8 0
29 *6393:A *788:18 0
30 *6393:A *789:7 3.42853e-05
31 *6393:A *789:8 0.000126012
32 *764:17 *6161:B 1.65078e-05
33 *764:17 *789:8 2.22198e-05
34 *764:24 *6219:A_N 0.000216467
35 *764:24 *6219:C 0.000381471
36 *764:24 *810:11 0.000551941
37 *764:24 *1326:23 0.000160617
38 *764:24 *1326:34 0.000638724
39 *764:35 *6214:B 0
40 *764:35 *6218:A1 0
41 *764:35 *6357:A2 0.000211436
42 *764:35 *801:42 0.000123597
43 *764:35 *801:56 0.00043379
44 *764:35 *1326:34 0.000199616
45 *6141:C *6358:A1 0.000426168
46 *6783:D *6358:A1 5.61124e-05
*RES
1 *6156:X *764:5 13.7491
2 *764:5 *6161:A 22.5605
3 *764:5 *764:17 1.00149
4 *764:17 *764:24 17.063
5 *764:24 *6218:B1 9.24915
6 *764:24 *764:35 20.376
7 *764:35 *6358:A1 22.1164
8 *764:35 *6354:A 23.4709
9 *764:17 *6393:A 27.9428
*END
*D_NET *765 0.00720448
*CONN
*I *6358:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6222:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6189:B I *D sky130_fd_sc_hd__nand3_2
*I *6393:B I *D sky130_fd_sc_hd__and3_1
*I *6161:B I *D sky130_fd_sc_hd__or4_1
*I *6157:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6358:B1 0.000254396
2 *6222:A1 0
3 *6189:B 0
4 *6393:B 0.000235284
5 *6161:B 0.000318156
6 *6157:X 0
7 *765:26 0.000424802
8 *765:10 0.000686804
9 *765:5 0.000477212
10 *765:4 0.000552478
11 *6161:B *6189:A 0
12 *6161:B *787:8 0
13 *6358:B1 *6157:A 6.50586e-05
14 *6358:B1 *6352:B 9.14346e-05
15 *6358:B1 *803:7 0.000217937
16 *6358:B1 *803:9 0.000127366
17 *6358:B1 *895:8 0
18 *6358:B1 *895:30 0
19 *6358:B1 *1088:10 0.0002646
20 *6358:B1 *1149:30 0.000168101
21 *6393:B *6393:C 0.000156881
22 *6393:B *792:47 0
23 *765:5 *6157:A 0.000164829
24 *765:5 *6190:A 0.00156583
25 *765:5 *6212:A 4.58003e-05
26 *765:5 *6212:B 6.92705e-05
27 *765:5 *6222:B1 0.000270186
28 *765:5 *803:9 0.000148901
29 *765:5 *1149:30 1.20742e-05
30 *765:10 *6190:A 0.000266846
31 *765:10 *6393:C 0
32 *765:10 *788:8 3.1218e-05
33 *765:26 *6189:C 6.80755e-05
34 *765:26 *788:8 7.97944e-05
35 *6161:A *6161:B 0.00020806
36 *6211:A *6358:B1 4.0752e-05
37 *6393:A *6161:B 4.53941e-05
38 *6393:A *6393:B 1.92793e-05
39 *6393:A *765:10 0.000111147
40 *764:17 *6161:B 1.65078e-05
*RES
1 *6157:X *765:4 9.24915
2 *765:4 *765:5 17.3615
3 *765:5 *765:10 10.5196
4 *765:10 *6161:B 21.5663
5 *765:10 *765:26 9.85313
6 *765:26 *6393:B 23.2989
7 *765:26 *6189:B 9.24915
8 *765:5 *6222:A1 9.24915
9 *765:4 *6358:B1 29.536
*END
*D_NET *766 0.00703156
*CONN
*I *6202:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6159:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6203:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6342:B I *D sky130_fd_sc_hd__and2_1
*I *6205:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6158:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6202:A1 0
2 *6159:A2 0.000354008
3 *6203:A1 0.00016963
4 *6342:B 0.000867939
5 *6205:A2 1.81917e-05
6 *6158:X 0.000131376
7 *766:30 0.000523639
8 *766:28 0.000146489
9 *766:11 0.00128519
10 *766:6 0.00067692
11 *6159:A2 *6187:A 0
12 *6159:A2 *6731:CLK 9.22013e-06
13 *6159:A2 *786:6 0
14 *6159:A2 *792:15 0
15 *6159:A2 *1081:37 4.70005e-05
16 *6203:A1 *6200:B1 0
17 *6203:A1 *6202:A2 5.92342e-05
18 *6203:A1 *785:5 2.65831e-05
19 *6203:A1 *785:15 7.14746e-05
20 *6205:A2 *784:17 1.09551e-05
21 *6342:B *6205:B1 0.000195605
22 *6342:B *6336:A 0.000196597
23 *6342:B *6336:B 0.000220183
24 *6342:B *784:17 5.39559e-05
25 *766:6 *6159:A1 0
26 *766:6 *6729:CLK 6.08697e-06
27 *766:6 *786:6 0
28 *766:6 *1081:8 4.73076e-05
29 *766:11 *6159:A1 0
30 *766:11 *6206:C 0.000122378
31 *766:11 *6729:CLK 4.15143e-05
32 *766:11 *784:17 1.00846e-05
33 *766:11 *784:19 0.000893067
34 *766:28 *6187:C 0.000168128
35 *766:28 *1081:20 0.000678803
36 *6732:D *6159:A2 0
*RES
1 *6158:X *766:6 17.2421
2 *766:6 *766:11 17.3142
3 *766:11 *6205:A2 9.82786
4 *766:11 *6342:B 31.6262
5 *766:6 *766:28 11.8786
6 *766:28 *766:30 4.5
7 *766:30 *6203:A1 17.2744
8 *766:30 *6159:A2 22.3968
9 *766:28 *6202:A1 9.24915
*END
*D_NET *767 0.000640849
*CONN
*I *6161:C I *D sky130_fd_sc_hd__or4_1
*I *6159:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6161:C 0.000199765
2 *6159:Y 0.000199765
3 *6161:C *6161:D 0.000156823
4 *6161:C *6188:B 5.2504e-06
5 *6161:C *786:6 3.90891e-05
6 *6161:C *787:8 4.01573e-05
7 *6161:C *789:12 0
8 *6161:C *1081:8 0
*RES
1 *6159:Y *6161:C 33.4828
*END
*D_NET *768 0.00648365
*CONN
*I *6188:A I *D sky130_fd_sc_hd__or2_1
*I *6161:D I *D sky130_fd_sc_hd__or4_1
*I *6352:B I *D sky130_fd_sc_hd__and3b_1
*I *6160:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6188:A 0
2 *6161:D 7.00613e-05
3 *6352:B 0.000737978
4 *6160:X 0
5 *768:20 0.00154718
6 *768:5 0.00221509
7 *6161:D *6188:B 1.79196e-05
8 *6161:D *1081:8 0
9 *6352:B *6354:B 0
10 *6352:B *803:39 0
11 *6352:B *895:8 0
12 *6352:B *895:30 0
13 *6352:B *913:31 1.79196e-05
14 *6352:B *1088:10 3.40567e-05
15 *768:20 *6213:A1 0.000222149
16 *768:20 *6213:A2 1.41291e-05
17 *768:20 *6214:C 8.04746e-05
18 *768:20 *6215:A 6.45664e-05
19 *768:20 *6218:A2 0.000335744
20 *768:20 *6333:A 0
21 *768:20 *786:11 0.000683027
22 *768:20 *895:8 0
23 *768:20 *1088:10 0
24 *768:20 *1088:12 0
25 *6141:C *6352:B 7.24155e-05
26 *6161:C *6161:D 0.000156823
27 *6358:B1 *6352:B 9.14346e-05
28 *6360:A1 *6352:B 0
29 *6735:D *768:20 6.50727e-05
30 *754:8 *6352:B 5.76123e-05
*RES
1 *6160:X *768:5 13.7491
2 *768:5 *6352:B 30.5791
3 *768:5 *768:20 44.2186
4 *768:20 *6161:D 20.9116
5 *768:20 *6188:A 9.24915
*END
*D_NET *769 0.00296838
*CONN
*I *6162:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6161:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6162:A 0
2 *6161:X 0.00126513
3 *769:11 0.00126513
4 *769:11 *787:8 0.00017419
5 *769:11 *810:11 0.000240118
6 *769:11 *1326:7 5.04829e-06
7 *6736:D *769:11 1.87611e-05
*RES
1 *6161:X *769:11 47.5862
2 *769:11 *6162:A 9.24915
*END
*D_NET *770 0.00645748
*CONN
*I *6373:A I *D sky130_fd_sc_hd__or3_1
*I *6164:C I *D sky130_fd_sc_hd__or4_1
*I *6412:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6415:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6413:A I *D sky130_fd_sc_hd__and3_1
*I *6163:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6373:A 0.000599879
2 *6164:C 0.000209615
3 *6412:B1 0.000240193
4 *6415:B2 2.31818e-05
5 *6413:A 0.000166793
6 *6163:X 2.06324e-05
7 *770:38 0.00113709
8 *770:16 0.000439348
9 *770:7 0.000392901
10 *770:5 0.000398359
11 *6164:C *934:32 0.000133799
12 *6373:A *6372:A2 0.000521534
13 *6373:A *6373:C 3.62953e-05
14 *6373:A *6405:C 2.48457e-05
15 *6373:A *910:52 0.000254691
16 *6412:B1 *936:33 0.000133968
17 *6412:B1 *1089:39 9.98129e-05
18 *6412:B1 *1089:41 2.86439e-05
19 *6413:A *6136:B1 2.65667e-05
20 *6413:A *6413:B 7.98171e-06
21 *6413:A *910:52 3.21548e-05
22 *6415:B2 *6415:A2 6.50586e-05
23 *770:7 *6136:B1 4.31703e-05
24 *770:16 *6415:B1 7.19094e-05
25 *770:16 *936:33 0.000395421
26 *770:16 *1089:41 0
27 *770:16 *1333:10 0.000113374
28 *770:38 *6136:B1 0.000330336
29 *770:38 *910:52 4.23874e-05
30 *604:33 *6164:C 0.000217937
31 *750:8 *6164:C 0.000133799
32 *750:8 *770:38 6.50727e-05
33 *750:23 *6164:C 5.07314e-05
*RES
1 *6163:X *770:5 9.82786
2 *770:5 *770:7 1.278
3 *770:7 *6413:A 12.7456
4 *770:7 *770:16 11.7303
5 *770:16 *6415:B2 14.4725
6 *770:16 *6412:B1 21.6145
7 *770:5 *770:38 10.1758
8 *770:38 *6164:C 26.2056
9 *770:38 *6373:A 24.6093
*END
*D_NET *771 0.00124566
*CONN
*I *6165:B I *D sky130_fd_sc_hd__or2_1
*I *6164:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6165:B 0.000140342
2 *6164:X 0.000140342
3 *6165:B *6390:A1 4.33655e-05
4 *6165:B *6390:A2 0.000111708
5 *6165:B *6390:B1 6.50727e-05
6 *6165:B *772:5 5.07314e-05
7 *6165:B *923:36 5.49209e-05
8 *6165:B *934:32 2.22923e-05
9 *6137:C *6165:B 9.96342e-05
10 *600:23 *6165:B 0.000517249
*RES
1 *6164:X *6165:B 35.0451
*END
*D_NET *772 0.00118996
*CONN
*I *6172:A I *D sky130_fd_sc_hd__or3b_1
*I *6390:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6165:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6172:A 0.00013808
2 *6390:A1 2.21963e-05
3 *6165:X 5.12002e-05
4 *772:5 0.000211477
5 *6172:A *6165:A 0
6 *6172:A *6390:A2 6.60341e-05
7 *6172:A *778:10 3.67528e-06
8 *6172:A *779:9 4.3116e-06
9 *6390:A1 *6390:A2 6.50727e-05
10 *6390:A1 *923:36 0.000171288
11 *772:5 *923:36 0.0003122
12 *6165:B *6390:A1 4.33655e-05
13 *6165:B *772:5 5.07314e-05
14 *600:23 *772:5 5.03285e-05
*RES
1 *6165:X *772:5 12.7456
2 *772:5 *6390:A1 11.0817
3 *772:5 *6172:A 21.7421
*END
*D_NET *773 0.0057061
*CONN
*I *6369:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6373:C I *D sky130_fd_sc_hd__or3_1
*I *6168:B I *D sky130_fd_sc_hd__nor2_1
*I *6169:A1 I *D sky130_fd_sc_hd__a211o_1
*I *6408:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6166:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6369:A_N 0.000131449
2 *6373:C 0.000133251
3 *6168:B 0
4 *6169:A1 0.000564607
5 *6408:A1 0.000289269
6 *6166:X 0
7 *773:29 0.000133251
8 *773:16 0.000716207
9 *773:13 0.000314894
10 *773:4 0.000321115
11 *6169:A1 *6169:B1 0.000330087
12 *6169:A1 *6374:B 3.58321e-05
13 *6373:C *6168:A 0.000370815
14 *6373:C *6372:A2 7.68538e-06
15 *6373:C *6374:B 0.000370801
16 *6373:C *775:10 1.41291e-05
17 *6373:C *910:48 1.67988e-05
18 *6373:C *910:52 0.000161172
19 *6408:A1 *6408:A2 0.000267394
20 *6408:A1 *811:31 0.000164829
21 *6408:A1 *1331:13 7.74552e-05
22 *773:16 *6374:B 1.36556e-05
23 *6373:A *6373:C 3.62953e-05
24 *6787:D *6408:A1 0.000168313
25 *354:15 *6169:A1 2.7961e-05
26 *354:15 *773:16 4.2391e-05
27 *602:56 *6369:A_N 0.000528842
28 *602:56 *6408:A1 2.56976e-05
29 *602:56 *773:13 0.000118166
30 *605:33 *6169:A1 5.49367e-05
31 *605:39 *6169:A1 0.000268798
*RES
1 *6166:X *773:4 9.24915
2 *773:4 *6408:A1 18.171
3 *773:4 *773:13 1.278
4 *773:13 *773:16 7.993
5 *773:16 *6169:A1 24.9183
6 *773:16 *773:29 4.5
7 *773:29 *6168:B 9.24915
8 *773:29 *6373:C 16.676
9 *773:13 *6369:A_N 14.964
*END
*D_NET *774 0.00579126
*CONN
*I *6171:A I *D sky130_fd_sc_hd__or3_1
*I *6409:A I *D sky130_fd_sc_hd__nor2_1
*I *6373:B I *D sky130_fd_sc_hd__or3_1
*I *6168:A I *D sky130_fd_sc_hd__nor2_1
*I *6411:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6167:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6171:A 0.000291991
2 *6409:A 0.000121524
3 *6373:B 0
4 *6168:A 0.000134959
5 *6411:B2 3.30507e-05
6 *6167:X 0.000166116
7 *774:24 0.000471191
8 *774:21 0.000915223
9 *774:9 0.000794147
10 *774:6 0.000761736
11 *6168:A *6372:A2 5.0715e-05
12 *6168:A *775:10 8.39223e-05
13 *6168:A *811:19 7.28157e-05
14 *6168:A *913:18 0
15 *6171:A *6171:B 1.82832e-05
16 *6171:A *6388:B 0
17 *6171:A *6411:A2 0
18 *6171:A *935:38 0
19 *6409:A *6169:A2 0.000103983
20 *6409:A *6401:B 1.39717e-06
21 *6409:A *6787:CLK 0
22 *6409:A *7093:A 0.000113968
23 *6409:A *811:53 0.00017419
24 *6411:B2 *6411:B1 7.24917e-06
25 *774:6 *6403:B 0
26 *774:6 *6411:A1 0
27 *774:6 *6411:A2 0
28 *774:6 *1332:8 3.58185e-05
29 *774:6 *1332:15 3.67528e-06
30 *774:9 *6411:A3 2.31834e-05
31 *774:9 *6411:B1 3.10149e-05
32 *774:21 *6169:A2 0.000315549
33 *774:21 *6411:B1 0.000144451
34 *774:21 *7093:A 0.000114584
35 *774:21 *1089:7 9.46346e-05
36 *774:24 *6169:A2 0
37 *774:24 *6787:CLK 0
38 *774:24 *811:19 0.000122713
39 *774:24 *811:31 9.44635e-05
40 *774:24 *811:53 6.84784e-06
41 *774:24 *913:18 0
42 *6373:C *6168:A 0.000370815
43 *6787:D *774:24 9.04668e-05
44 *6788:D *774:9 2.65831e-05
*RES
1 *6167:X *774:6 18.0727
2 *774:6 *774:9 9.10562
3 *774:9 *6411:B2 10.2378
4 *774:9 *774:21 10.7545
5 *774:21 *774:24 13.8065
6 *774:24 *6168:A 19.2169
7 *774:24 *6373:B 13.7491
8 *774:21 *6409:A 22.6049
9 *774:6 *6171:A 19.7337
*END
*D_NET *775 0.00148693
*CONN
*I *6169:B1 I *D sky130_fd_sc_hd__a211o_1
*I *6372:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6168:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6169:B1 7.23258e-05
2 *6372:A1 0
3 *6168:Y 0.000259272
4 *775:10 0.000331597
5 *6169:B1 *6169:C1 0.000110297
6 *775:10 *6372:A2 0.000116971
7 *775:10 *913:18 4.5539e-05
8 *6168:A *775:10 8.39223e-05
9 *6169:A1 *6169:B1 0.000330087
10 *6373:C *775:10 1.41291e-05
11 *605:33 *6169:B1 8.52321e-05
12 *605:39 *6169:B1 3.75603e-05
*RES
1 *6168:Y *775:10 23.4382
2 *775:10 *6372:A1 9.24915
3 *775:10 *6169:B1 13.903
*END
*D_NET *776 0.000962367
*CONN
*I *6172:B I *D sky130_fd_sc_hd__or3b_1
*I *6169:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *6172:B 0.000373765
2 *6169:X 0.000373765
3 *6172:B *778:10 0
4 *6172:B *811:19 0
5 *604:33 *6172:B 0.000115934
6 *604:37 *6172:B 9.8904e-05
*RES
1 *6169:X *6172:B 34.0753
*END
*D_NET *777 0.00511579
*CONN
*I *6397:A I *D sky130_fd_sc_hd__xor2_1
*I *6400:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6365:A I *D sky130_fd_sc_hd__and2_1
*I *6398:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6171:B I *D sky130_fd_sc_hd__or3_1
*I *6170:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6397:A 0.000236191
2 *6400:A1 0
3 *6365:A 0.0008497
4 *6398:B2 0
5 *6171:B 0.000225676
6 *6170:X 0.000104537
7 *777:31 0.000912514
8 *777:24 6.28136e-05
9 *777:22 0.000499276
10 *777:5 0.000593299
11 *6171:B *6388:A 3.86121e-05
12 *6171:B *6398:A2 2.19131e-05
13 *6171:B *778:10 6.92705e-05
14 *6171:B *935:38 8.3647e-05
15 *6171:B *1328:10 0
16 *6365:A *6388:A 2.0059e-05
17 *6365:A *6398:A1 4.77259e-05
18 *6365:A *6398:B1 0
19 *6365:A *6402:A3 2.04806e-05
20 *6365:A *913:7 2.32834e-05
21 *6365:A *947:42 0.00033614
22 *6365:A *1089:20 0.000158357
23 *6365:A *1330:43 1.00763e-05
24 *6397:A *6398:A2 0
25 *6397:A *6398:A3 0
26 *6397:A *947:42 0
27 *777:22 *6398:A2 0
28 *777:22 *6402:A2 9.9028e-05
29 *777:22 *947:42 0
30 *777:31 *6363:A 0.00031994
31 *777:31 *6398:B1 1.65872e-05
32 *777:31 *6400:A2 0.00027329
33 *6171:A *6171:B 1.82832e-05
34 *6786:D *6365:A 7.50872e-05
*RES
1 *6170:X *777:5 11.6364
2 *777:5 *6171:B 24.984
3 *777:5 *777:22 11.0742
4 *777:22 *777:24 4.5
5 *777:24 *6398:B2 9.24915
6 *777:24 *777:31 4.07513
7 *777:31 *6365:A 34.8194
8 *777:31 *6400:A1 9.24915
9 *777:22 *6397:A 19.0748
*END
*D_NET *778 0.00293405
*CONN
*I *6172:C_N I *D sky130_fd_sc_hd__or3b_1
*I *6171:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6172:C_N 0
2 *6171:X 0.00110412
3 *778:10 0.00110412
4 *778:10 *6388:A 6.34755e-05
5 *778:10 *6390:A2 0
6 *778:10 *6403:B 0.000146096
7 *778:10 *6404:A 0.000419953
8 *778:10 *6405:C 2.33334e-05
9 *778:10 *6410:A1 0
10 *778:10 *6410:A2 0
11 *778:10 *6411:A2 0
12 *778:10 *932:8 0
13 *778:10 *935:38 0
14 *778:10 *947:42 0
15 *6171:B *778:10 6.92705e-05
16 *6172:A *778:10 3.67528e-06
17 *6172:B *778:10 0
*RES
1 *6171:X *778:10 44.8948
2 *778:10 *6172:C_N 9.24915
*END
*D_NET *779 0.00570611
*CONN
*I *6173:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6172:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *6173:A 0.000460013
2 *6172:X 0.00154353
3 *779:9 0.00200354
4 *779:9 *6165:A 0
5 *779:9 *6390:A2 0.000116986
6 *779:9 *6426:A1 1.00937e-05
7 *779:9 *934:6 0.00010556
8 *779:9 *934:15 9.28672e-05
9 *779:9 *934:32 0.000127461
10 *779:9 *1337:24 0.000213739
11 *6172:A *779:9 4.3116e-06
12 *6426:A2 *779:9 1.41291e-05
13 *6426:A3 *779:9 4.82966e-05
14 *6428:A2 *779:9 0.000185459
15 *6428:B1 *779:9 0.000368554
16 *6428:C1 *779:9 9.82896e-06
17 *6792:D *779:9 0.000401731
*RES
1 *6172:X *779:9 49.6243
2 *779:9 *6173:A 20.3894
*END
*D_NET *780 0.000439906
*CONN
*I *6176:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6175:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6176:A 0.000219953
2 *6175:X 0.000219953
*RES
1 *6175:X *6176:A 23.6826
*END
*D_NET *781 0.000424298
*CONN
*I *6181:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6180:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6181:A 7.45209e-05
2 *6180:X 7.45209e-05
3 *6181:A *7096:A 0.000275256
*RES
1 *6180:X *6181:A 21.4401
*END
*D_NET *782 0.000473231
*CONN
*I *6183:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6182:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6183:A 0.000205414
2 *6182:X 0.000205414
3 *6183:A *6182:A 2.65831e-05
4 *6183:A *6845:CLK 3.67528e-06
5 *6183:A *1092:18 3.21432e-05
6 *6183:A *1229:12 0
*RES
1 *6182:X *6183:A 31.5781
*END
*D_NET *783 0.00614909
*CONN
*I *6334:B I *D sky130_fd_sc_hd__and2_1
*I *6197:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6192:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6195:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6196:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6184:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6334:B 0.000404911
2 *6197:A2 0.000230431
3 *6192:A_N 0
4 *6195:A2 0.000198059
5 *6196:A2 0.000247644
6 *6184:X 0
7 *783:34 0.00075253
8 *783:19 0.000306308
9 *783:7 0.000488096
10 *783:4 0.000249391
11 *6195:A2 *6195:A1 0.000273907
12 *6196:A2 *6193:A 0
13 *6196:A2 *6195:A1 1.44611e-05
14 *6196:A2 *6196:A1 0.000351228
15 *6197:A2 *6197:A1 0
16 *6334:B *6185:C 0
17 *6334:B *6199:A 9.63545e-05
18 *6334:B *6336:B 0
19 *6334:B *6337:A 5.39608e-05
20 *6334:B *6338:A 0
21 *6334:B *6728:CLK 0
22 *6334:B *896:10 0
23 *6334:B *1319:42 0
24 *783:7 *6185:C 0.000171288
25 *783:7 *1319:7 0.00011818
26 *783:7 *1319:28 0.00111207
27 *783:19 *6193:A 0
28 *783:19 *6195:A1 7.06474e-05
29 *783:34 *6185:C 0.000262339
30 *783:34 *1319:28 0.000195301
31 *6730:D *6197:A2 0
32 *590:35 *783:7 0.000460316
33 *590:35 *783:34 9.16621e-05
*RES
1 *6184:X *783:4 9.24915
2 *783:4 *783:7 17.4247
3 *783:7 *6196:A2 21.2932
4 *783:7 *783:19 7.57775
5 *783:19 *6195:A2 14.4335
6 *783:19 *6192:A_N 9.24915
7 *783:4 *783:34 9.66022
8 *783:34 *6197:A2 19.0748
9 *783:34 *6334:B 23.8862
*END
*D_NET *784 0.00501328
*CONN
*I *6198:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6205:A3 I *D sky130_fd_sc_hd__a31o_1
*I *6186:B I *D sky130_fd_sc_hd__and2_1
*I *6200:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6185:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6198:A_N 0
2 *6205:A3 0
3 *6186:B 0
4 *6200:A2 0.000202017
5 *6185:X 0.000296594
6 *784:19 0.000454158
7 *784:17 0.000644334
8 *784:9 0.000688787
9 *6200:A2 *6159:A1 5.66868e-06
10 *6200:A2 *6200:A1 7.9117e-05
11 *6200:A2 *6200:B1 0.000180286
12 *6200:A2 *1321:15 3.54138e-05
13 *784:9 *6198:B 0.000224395
14 *784:9 *6199:A 0.000275256
15 *784:17 *6205:B1 0.000441022
16 *784:17 *6728:CLK 0
17 *784:17 *1321:29 0.000111708
18 *784:19 *1321:29 0.000406462
19 *6205:A2 *784:17 1.09551e-05
20 *6342:B *784:17 5.39559e-05
21 *766:11 *784:17 1.00846e-05
22 *766:11 *784:19 0.000893067
*RES
1 *6185:X *784:9 16.2661
2 *784:9 *784:17 20.1691
3 *784:17 *784:19 12.9247
4 *784:19 *6200:A2 25.7876
5 *784:19 *6186:B 9.24915
6 *784:17 *6205:A3 9.24915
7 *784:9 *6198:A_N 9.24915
*END
*D_NET *785 0.00219691
*CONN
*I *6203:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6202:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6187:C I *D sky130_fd_sc_hd__and3_1
*I *6201:A I *D sky130_fd_sc_hd__nor2_1
*I *6186:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6203:A2 0
2 *6202:A2 9.72534e-06
3 *6187:C 0.000283901
4 *6201:A 0.000223203
5 *6186:X 0.000148859
6 *785:15 0.000349015
7 *785:7 0.000278591
8 *785:5 0.000148859
9 *6187:C *1081:20 7.92757e-06
10 *6201:A *6200:B1 0
11 *6201:A *6731:CLK 0.000153225
12 *6202:A2 *6731:CLK 5.2092e-05
13 *785:15 *6200:B1 0
14 *785:15 *6731:CLK 0.000114955
15 *6203:A1 *6202:A2 5.92342e-05
16 *6203:A1 *785:5 2.65831e-05
17 *6203:A1 *785:15 7.14746e-05
18 *6731:D *6201:A 0.000101133
19 *766:28 *6187:C 0.000168128
*RES
1 *6186:X *785:5 12.7456
2 *785:5 *785:7 4.5
3 *785:7 *6201:A 20.5642
4 *785:7 *785:15 2.24725
5 *785:15 *6187:C 18.4512
6 *785:15 *6202:A2 14.7506
7 *785:5 *6203:A2 9.24915
*END
*D_NET *786 0.00434157
*CONN
*I *6188:B I *D sky130_fd_sc_hd__or2_1
*I *6218:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6204:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6217:A I *D sky130_fd_sc_hd__and2_1
*I *6187:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6188:B 2.64463e-05
2 *6218:A2 0.000392878
3 *6204:A 0.000172078
4 *6217:A 0
5 *6187:X 0.000308967
6 *786:11 0.000749523
7 *786:6 0.000519981
8 *6188:B *1081:8 0
9 *6204:A *1081:8 0
10 *6218:A2 *6214:B 0.000170475
11 *6218:A2 *6214:C 9.77424e-05
12 *6218:A2 *6217:B 0.000487766
13 *6218:A2 *801:18 0
14 *6218:A2 *801:42 0
15 *786:6 *6159:A1 0.00026818
16 *786:6 *1081:8 0
17 *786:11 *6217:B 4.83413e-05
18 *786:11 *808:8 1.81618e-05
19 *6159:A2 *786:6 0
20 *6161:C *6188:B 5.2504e-06
21 *6161:C *786:6 3.90891e-05
22 *6161:D *6188:B 1.79196e-05
23 *766:6 *786:6 0
24 *768:20 *6218:A2 0.000335744
25 *768:20 *786:11 0.000683027
*RES
1 *6187:X *786:6 22.6404
2 *786:6 *786:11 12.4574
3 *786:11 *6217:A 9.24915
4 *786:11 *6204:A 21.7421
5 *786:11 *6218:A2 33.552
6 *786:6 *6188:B 14.7506
*END
*D_NET *787 0.00242321
*CONN
*I *6393:C I *D sky130_fd_sc_hd__and3_1
*I *6189:C I *D sky130_fd_sc_hd__nand3_2
*I *6188:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6393:C 0.000371571
2 *6189:C 9.4922e-05
3 *6188:X 0.000376937
4 *787:8 0.00084343
5 *6393:C *6189:A 1.09738e-05
6 *6393:C *788:8 0
7 *6393:C *788:18 0
8 *6393:C *792:47 0
9 *787:8 *6189:A 7.2401e-05
10 *787:8 *792:15 0
11 *787:8 *792:47 0
12 *787:8 *810:11 4.23937e-05
13 *6161:A *787:8 0
14 *6161:B *787:8 0
15 *6161:C *787:8 4.01573e-05
16 *6393:A *6393:C 0.000171273
17 *6393:B *6393:C 0.000156881
18 *765:10 *6393:C 0
19 *765:26 *6189:C 6.80755e-05
20 *769:11 *787:8 0.00017419
*RES
1 *6188:X *787:8 23.5032
2 *787:8 *6189:C 16.1605
3 *787:8 *6393:C 21.9414
*END
*D_NET *788 0.00429169
*CONN
*I *6391:A I *D sky130_fd_sc_hd__nor2_2
*I *6421:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6428:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6430:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6190:B I *D sky130_fd_sc_hd__and2_1
*I *6189:Y O *D sky130_fd_sc_hd__nand3_2
*CAP
1 *6391:A 0
2 *6421:A2 0.000150651
3 *6428:A1 4.77895e-05
4 *6430:A1 3.37115e-05
5 *6190:B 0
6 *6189:Y 0.000201909
7 *788:38 0.000340818
8 *788:25 0.000854619
9 *788:18 0.00106803
10 *788:8 0.000591406
11 *6421:A2 *6421:A1 1.77537e-06
12 *6421:A2 *6421:B2 6.50727e-05
13 *6421:A2 *792:47 0
14 *6421:A2 *928:26 6.50727e-05
15 *6430:A1 *1337:21 7.99798e-05
16 *788:18 *6138:A 0
17 *788:18 *6221:A 0
18 *788:18 *6425:A 0
19 *788:18 *1081:6 0
20 *788:25 *6391:B 4.26566e-05
21 *788:25 *792:47 0
22 *788:25 *1337:21 1.21654e-05
23 *6393:A *788:8 0
24 *6393:A *788:18 0
25 *6393:C *788:8 0
26 *6393:C *788:18 0
27 *6426:A2 *788:25 0
28 *6428:A2 *6421:A2 5.47736e-05
29 *6428:A2 *6428:A1 6.92705e-05
30 *6428:A2 *788:38 3.20069e-06
31 *6428:C1 *6428:A1 6.92705e-05
32 *6429:A3 *788:25 0
33 *6429:A3 *788:38 0
34 *6430:A2 *6430:A1 6.42018e-05
35 *6430:A2 *788:25 7.46735e-05
36 *6430:B1 *6430:A1 3.37419e-07
37 *6430:B1 *788:25 0.000131849
38 *6430:C1 *788:25 1.43983e-05
39 *350:8 *6421:A2 0.000143047
40 *350:12 *6421:A2 0
41 *765:10 *788:8 3.1218e-05
42 *765:26 *788:8 7.97944e-05
*RES
1 *6189:Y *788:8 18.2442
2 *788:8 *6190:B 13.7491
3 *788:8 *788:18 13.7022
4 *788:18 *788:25 16.2184
5 *788:25 *6430:A1 15.4974
6 *788:25 *788:38 2.6625
7 *788:38 *6428:A1 15.2053
8 *788:38 *6421:A2 18.3808
9 *788:18 *6391:A 9.24915
*END
*D_NET *789 0.00570382
*CONN
*I *6221:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6219:B I *D sky130_fd_sc_hd__and3b_1
*I *6191:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6194:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6190:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6221:A 0.000236664
2 *6219:B 0.000345502
3 *6191:A 0.000140512
4 *6194:A 0.000387255
5 *6190:X 0.000344212
6 *789:12 0.000594164
7 *789:8 0.00055983
8 *789:7 0.000728806
9 *6194:A *810:11 0.0002817
10 *6219:B *1326:23 7.92757e-06
11 *6219:B *1326:34 0.000204993
12 *6221:A *6138:A 0.000320436
13 *6221:A *1081:6 0.000195139
14 *789:7 *6190:A 0.000317707
15 *789:8 *1081:6 0.000142485
16 *789:8 *1081:8 0.000242134
17 *789:12 *1081:8 0.000149628
18 *6161:A *6194:A 0.000218075
19 *6161:A *789:8 2.5386e-05
20 *6161:A *789:12 4.27148e-05
21 *6161:C *789:12 0
22 *6393:A *6221:A 3.60268e-05
23 *6393:A *789:7 3.42853e-05
24 *6393:A *789:8 0.000126012
25 *764:17 *789:8 2.22198e-05
26 *788:18 *6221:A 0
*RES
1 *6190:X *789:7 19.464
2 *789:7 *789:8 6.81502
3 *789:8 *789:12 7.1625
4 *789:12 *6194:A 20.7029
5 *789:12 *6191:A 12.191
6 *789:8 *6219:B 19.464
7 *789:7 *6221:A 21.3173
*END
*D_NET *790 0.00567535
*CONN
*I *6209:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6214:A I *D sky130_fd_sc_hd__and3_1
*I *6206:B I *D sky130_fd_sc_hd__and3b_1
*I *6198:B I *D sky130_fd_sc_hd__and3b_1
*I *6192:B I *D sky130_fd_sc_hd__and2b_1
*I *6191:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6209:B1 0.000153436
2 *6214:A 0
3 *6206:B 0.000204693
4 *6198:B 0.000589282
5 *6192:B 2.06324e-05
6 *6191:X 0.000247477
7 *790:19 0.00100664
8 *790:14 0.000924189
9 *790:8 0.000380445
10 *790:5 0.000458591
11 *6206:B *6206:A_N 1.43848e-05
12 *6209:B1 *798:24 6.08467e-05
13 *6209:B1 *798:30 0.000426168
14 *790:8 *6217:B 6.14273e-05
15 *790:8 *798:21 0.000153225
16 *790:14 *6206:A_N 0.000196653
17 *790:14 *6206:C 0
18 *790:14 *6217:B 3.20069e-06
19 *790:14 *798:21 0.000205298
20 *790:14 *1323:17 0
21 *790:19 *6205:B1 0
22 *790:19 *6206:C 0
23 *790:19 *6340:B 0.000167062
24 *790:19 *1321:29 5.41377e-05
25 *790:19 *1323:17 0
26 *6734:D *6209:B1 0.00012316
27 *784:9 *6198:B 0.000224395
*RES
1 *6191:X *790:5 14.964
2 *790:5 *790:8 7.1625
3 *790:8 *790:14 9.94163
4 *790:14 *790:19 13.2842
5 *790:19 *6192:B 9.82786
6 *790:19 *6198:B 18.2916
7 *790:14 *6206:B 16.691
8 *790:8 *6214:A 13.7491
9 *790:5 *6209:B1 15.5427
*END
*D_NET *791 0.000789648
*CONN
*I *6193:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6192:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6193:A 0.000371737
2 *6192:X 0.000371737
3 *6196:A2 *6193:A 0
4 *6728:D *6193:A 4.61732e-05
5 *783:19 *6193:A 0
*RES
1 *6192:X *6193:A 36.3896
*END
*D_NET *792 0.0121538
*CONN
*I *6415:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6419:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6195:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6200:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6202:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6194:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6415:B1 0.000262303
2 *6419:B1 2.89884e-05
3 *6195:B1 0.000519713
4 *6200:B1 0.000397404
5 *6202:B1 0
6 *6194:X 2.92047e-05
7 *792:48 0.000497693
8 *792:47 0.00139006
9 *792:23 0.00108355
10 *792:15 0.000904518
11 *792:7 0.00195094
12 *6195:B1 *6196:B1 2.78496e-05
13 *6195:B1 *6206:C 0
14 *6195:B1 *6207:A 2.22198e-05
15 *6195:B1 *6729:CLK 0.000388232
16 *6195:B1 *6733:CLK 6.08467e-05
17 *6195:B1 *1081:8 2.95757e-05
18 *6195:B1 *1081:20 0.000611498
19 *6200:B1 *6159:A1 0
20 *6200:B1 *6187:A 7.50722e-05
21 *6415:B1 *6415:A1 0.000304998
22 *6415:B1 *6415:A2 9.73599e-06
23 *6415:B1 *6419:A1 0.000191541
24 *6415:B1 *936:33 0
25 *6415:B1 *1333:10 4.5539e-05
26 *6419:B1 *6419:A1 1.74101e-05
27 *6419:B1 *6419:B2 7.34948e-06
28 *792:7 *810:11 2.65831e-05
29 *792:15 *810:11 0
30 *792:15 *1081:42 0
31 *792:23 *1081:20 0.000319954
32 *792:47 *6164:A 0.000136939
33 *792:47 *6164:B 3.60501e-05
34 *792:47 *6164:D 0.000247216
35 *792:47 *6421:B1 0.000190028
36 *792:47 *6423:A 3.49272e-05
37 *792:47 *6425:A 0
38 *792:47 *6791:CLK 0.000388264
39 *792:47 *810:11 0
40 *792:47 *926:35 5.302e-05
41 *792:47 *1081:42 0
42 *792:47 *1081:51 0
43 *792:47 *1081:61 0.000346882
44 *792:48 *6419:B2 0.000108266
45 *6159:A2 *792:15 0
46 *6200:A2 *6200:B1 0.000180286
47 *6201:A *6200:B1 0
48 *6203:A1 *6200:B1 0
49 *6393:B *792:47 0
50 *6393:C *792:47 0
51 *6421:A2 *792:47 0
52 *6428:A2 *792:47 3.22726e-05
53 *6430:B1 *792:47 2.57071e-05
54 *6732:D *792:15 0
55 *6736:D *792:47 4.15008e-05
56 *6793:D *792:47 0
57 *605:33 *6419:B1 9.43124e-05
58 *605:33 *792:48 0.000891233
59 *750:8 *792:47 7.21847e-05
60 *770:16 *6415:B1 7.19094e-05
61 *785:15 *6200:B1 0
62 *787:8 *792:15 0
63 *787:8 *792:47 0
64 *788:25 *792:47 0
*RES
1 *6194:X *792:7 14.4725
2 *792:7 *792:15 22.2199
3 *792:15 *6202:B1 9.24915
4 *792:15 *792:23 4.62973
5 *792:23 *6200:B1 29.2167
6 *792:23 *6195:B1 34.1361
7 *792:7 *792:47 41.2132
8 *792:47 *792:48 9.59705
9 *792:48 *6419:B1 10.3704
10 *792:48 *6415:B1 27.466
*END
*D_NET *793 0.000898558
*CONN
*I *6196:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6195:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6196:B1 0.000336727
2 *6195:Y 0.000336727
3 *6196:B1 *6195:A1 0
4 *6196:B1 *6196:A1 0
5 *6196:B1 *6729:CLK 0.000125695
6 *6195:B1 *6196:B1 2.78496e-05
7 *6729:D *6196:B1 7.15593e-05
*RES
1 *6195:Y *6196:B1 36.0388
*END
*D_NET *794 0.000948749
*CONN
*I *6198:C I *D sky130_fd_sc_hd__and3b_1
*I *6197:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6198:C 0.000368472
2 *6197:X 0.000368472
3 *6198:C *6728:CLK 6.87578e-05
4 *6198:C *1318:10 0.000143047
*RES
1 *6197:X *6198:C 35.1789
*END
*D_NET *795 0.00131647
*CONN
*I *6199:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6198:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6199:A 0.000384742
2 *6198:X 0.000384742
3 *6199:A *6338:A 0
4 *6199:A *6338:B 9.14669e-05
5 *6199:A *1320:18 8.39059e-05
6 *6334:B *6199:A 9.63545e-05
7 *784:9 *6199:A 0.000275256
*RES
1 *6198:X *6199:A 38.7852
*END
*D_NET *796 0.000515316
*CONN
*I *6201:B I *D sky130_fd_sc_hd__nor2_1
*I *6200:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6201:B 0.000198992
2 *6200:Y 0.000198992
3 *590:35 *6201:B 0.000117333
*RES
1 *6200:Y *6201:B 24.0926
*END
*D_NET *797 0.000343869
*CONN
*I *6203:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6202:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6203:B1 7.07864e-05
2 *6202:Y 7.07864e-05
3 *6203:B1 *6731:CLK 0.000101148
4 *6732:D *6203:B1 0.000101148
*RES
1 *6202:Y *6203:B1 30.0537
*END
*D_NET *798 0.00533071
*CONN
*I *6212:B I *D sky130_fd_sc_hd__nand2_1
*I *6209:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6213:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6210:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6206:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6204:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6212:B 0.000383638
2 *6209:A2 2.87989e-05
3 *6213:A2 0.0001108
4 *6210:A2 0
5 *6206:A_N 0.000164993
6 *6204:X 0.000125891
7 *798:30 0.000222376
8 *798:24 0.000111398
9 *798:21 0.000588743
10 *798:7 0.000467368
11 *6206:A_N *1323:17 0
12 *6209:A2 *801:13 2.16355e-05
13 *6212:B *6214:B 0.000515458
14 *6212:B *6217:B 8.80876e-05
15 *6212:B *6218:A1 2.68066e-05
16 *6213:A2 *6213:A1 0.000166218
17 *6213:A2 *6215:A 0.000217937
18 *6213:A2 *801:18 6.61114e-05
19 *6213:A2 *1325:15 0.000144531
20 *798:7 *1323:18 0.000163895
21 *798:21 *6214:B 0.000247246
22 *798:30 *6210:A1 4.0752e-05
23 *798:30 *6210:B1 0.000277488
24 *798:30 *801:13 5.04829e-06
25 *6206:B *6206:A_N 1.43848e-05
26 *6209:B1 *798:24 6.08467e-05
27 *6209:B1 *798:30 0.000426168
28 *6734:D *798:30 5.51483e-06
29 *765:5 *6212:B 6.92705e-05
30 *768:20 *6213:A2 1.41291e-05
31 *790:8 *798:21 0.000153225
32 *790:14 *6206:A_N 0.000196653
33 *790:14 *798:21 0.000205298
*RES
1 *6204:X *798:7 17.8002
2 *798:7 *6206:A_N 18.5201
3 *798:7 *798:21 7.86537
4 *798:21 *798:24 5.2234
5 *798:24 *6210:A2 9.24915
6 *798:24 *798:30 5.16022
7 *798:30 *6213:A2 23.8776
8 *798:30 *6209:A2 9.97254
9 *798:21 *6212:B 25.7576
*END
*D_NET *799 0.000807458
*CONN
*I *6206:C I *D sky130_fd_sc_hd__and3b_1
*I *6205:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *6206:C 0.00034254
2 *6205:X 0.00034254
3 *6206:C *1081:8 0
4 *6195:B1 *6206:C 0
5 *766:11 *6206:C 0.000122378
6 *790:14 *6206:C 0
7 *790:19 *6206:C 0
*RES
1 *6205:X *6206:C 35.1761
*END
*D_NET *800 0.000670782
*CONN
*I *6207:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6206:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6207:A 0.000311709
2 *6206:X 0.000311709
3 *6207:A *1081:8 2.51446e-05
4 *6195:B1 *6207:A 2.22198e-05
*RES
1 *6206:X *6207:A 33.1026
*END
*D_NET *801 0.0089467
*CONN
*I *6209:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6349:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6216:C I *D sky130_fd_sc_hd__and3_1
*I *6213:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6210:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6208:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6209:A1 1.76235e-05
2 *6349:A_N 0
3 *6216:C 4.46564e-05
4 *6213:A1 0.00014203
5 *6210:A1 0.00026126
6 *6208:X 0.000214812
7 *801:56 0.00118839
8 *801:42 0.00137021
9 *801:18 0.000495197
10 *801:13 0.000286994
11 *801:5 0.000290362
12 *6210:A1 *6210:B1 0.000224381
13 *6213:A1 *6214:B 0
14 *6213:A1 *6214:C 5.04829e-06
15 *6213:A1 *6215:A 7.68538e-06
16 *6213:A1 *1325:8 1.44611e-05
17 *6213:A1 *1325:15 6.01944e-06
18 *6216:C *1327:8 1.1719e-05
19 *801:5 *6208:A 6.50727e-05
20 *801:18 *1325:15 2.86092e-05
21 *801:42 *6214:B 0
22 *801:42 *1325:15 0.000311593
23 *801:56 *6141:B 6.48836e-05
24 *801:56 *6216:B 4.33979e-05
25 *801:56 *6352:C 0.00023975
26 *801:56 *6357:A1 0.000315206
27 *801:56 *7077:A 0.000153883
28 *801:56 *905:13 4.87897e-05
29 *801:56 *905:19 0.000197103
30 *801:56 *907:24 0.000552585
31 *801:56 *910:48 0.000421467
32 *801:56 *1325:15 5.92342e-05
33 *801:56 *1327:8 3.04973e-05
34 *6209:A2 *801:13 2.16355e-05
35 *6213:A2 *6213:A1 0.000166218
36 *6213:A2 *801:18 6.61114e-05
37 *6218:A2 *801:18 0
38 *6218:A2 *801:42 0
39 *6354:A *801:56 0.00054002
40 *6734:D *801:5 5.56461e-05
41 *6734:D *801:13 9.72423e-05
42 *602:56 *801:56 4.17341e-05
43 *602:58 *801:56 1.98326e-05
44 *755:9 *801:56 0
45 *764:35 *801:42 0.000123597
46 *764:35 *801:56 0.00043379
47 *768:20 *6213:A1 0.000222149
48 *798:30 *6210:A1 4.0752e-05
49 *798:30 *801:13 5.04829e-06
*RES
1 *6208:X *801:5 14.964
2 *801:5 *801:13 6.52551
3 *801:13 *801:18 2.94341
4 *801:18 *6210:A1 18.357
5 *801:18 *6213:A1 19.1699
6 *801:13 *801:42 5.56926
7 *801:42 *6216:C 14.569
8 *801:42 *801:56 49.0459
9 *801:56 *6349:A_N 9.24915
10 *801:5 *6209:A1 9.82786
*END
*D_NET *802 0.000575489
*CONN
*I *6210:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6209:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6210:B1 3.68099e-05
2 *6209:Y 3.68099e-05
3 *6210:A1 *6210:B1 0.000224381
4 *798:30 *6210:B1 0.000277488
*RES
1 *6209:Y *6210:B1 21.4401
*END
*D_NET *803 0.00501919
*CONN
*I *6354:B I *D sky130_fd_sc_hd__nor2_1
*I *6352:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6358:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6218:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6212:A I *D sky130_fd_sc_hd__nand2_1
*I *6211:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6354:B 0.000265582
2 *6352:A_N 0
3 *6358:A2 0.000158894
4 *6218:A1 0.000218422
5 *6212:A 7.86849e-05
6 *6211:X 6.5315e-05
7 *803:39 0.000551653
8 *803:28 0.00060117
9 *803:9 0.000641392
10 *803:7 0.000565805
11 *6218:A1 *6214:B 0.000263084
12 *6218:A1 *6357:A2 0
13 *6354:B *913:31 0.000423922
14 *803:9 *6157:A 0.000213725
15 *803:28 *6141:A 0
16 *803:28 *6141:B 0
17 *803:39 *6357:A1 0
18 *6141:C *803:28 6.92148e-05
19 *6211:A *803:7 0.000113968
20 *6212:B *6218:A1 2.68066e-05
21 *6352:B *6354:B 0
22 *6352:B *803:39 0
23 *6358:A1 *6358:A2 0.000182243
24 *6358:B1 *803:7 0.000217937
25 *6358:B1 *803:9 0.000127366
26 *6783:D *6358:A2 6.11359e-06
27 *274:8 *6354:B 0
28 *274:8 *803:39 0
29 *754:8 *803:28 3.20069e-06
30 *755:9 *803:28 5.22654e-06
31 *755:9 *803:39 2.47663e-05
32 *764:35 *6218:A1 0
33 *765:5 *6212:A 4.58003e-05
34 *765:5 *803:9 0.000148901
*RES
1 *6211:X *803:7 12.2151
2 *803:7 *803:9 9.59705
3 *803:9 *6212:A 11.1059
4 *803:9 *6218:A1 24.6489
5 *803:7 *803:28 8.40826
6 *803:28 *6358:A2 16.7151
7 *803:28 *803:39 6.39977
8 *803:39 *6352:A_N 13.7491
9 *803:39 *6354:B 21.4325
*END
*D_NET *804 0.00204451
*CONN
*I *6214:B I *D sky130_fd_sc_hd__and3_1
*I *6212:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6214:B 0.00036827
2 *6212:Y 0.00036827
3 *6214:B *6190:A 0.000111708
4 *6214:B *1325:8 0
5 *6212:B *6214:B 0.000515458
6 *6213:A1 *6214:B 0
7 *6218:A1 *6214:B 0.000263084
8 *6218:A2 *6214:B 0.000170475
9 *764:35 *6214:B 0
10 *798:21 *6214:B 0.000247246
11 *801:42 *6214:B 0
*RES
1 *6212:Y *6214:B 42.2353
*END
*D_NET *805 0.00066918
*CONN
*I *6214:C I *D sky130_fd_sc_hd__and3_1
*I *6213:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6214:C 7.97584e-05
2 *6213:X 7.97584e-05
3 *6214:C *6215:A 0.000326398
4 *6213:A1 *6214:C 5.04829e-06
5 *6218:A2 *6214:C 9.77424e-05
6 *768:20 *6214:C 8.04746e-05
*RES
1 *6213:X *6214:C 22.911
*END
*D_NET *806 0.00188892
*CONN
*I *6215:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6214:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6215:A 0.000636167
2 *6214:X 0.000636167
3 *6213:A1 *6215:A 7.68538e-06
4 *6213:A2 *6215:A 0.000217937
5 *6214:C *6215:A 0.000326398
6 *768:20 *6215:A 6.45664e-05
*RES
1 *6214:X *6215:A 29.6386
*END
*D_NET *807 0.00401362
*CONN
*I *6357:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6217:B I *D sky130_fd_sc_hd__and2_1
*I *6216:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6357:A2 0.000438828
2 *6217:B 0.000928428
3 *6216:X 0
4 *807:4 0.00136726
5 *6217:B *1327:8 5.35753e-05
6 *6357:A2 *6387:B1 0.000127194
7 *6142:A_N *6357:A2 0.000160617
8 *6212:B *6217:B 8.80876e-05
9 *6218:A1 *6357:A2 0
10 *6218:A2 *6217:B 0.000487766
11 *6354:A *6357:A2 3.74593e-05
12 *764:35 *6357:A2 0.000211436
13 *786:11 *6217:B 4.83413e-05
14 *790:8 *6217:B 6.14273e-05
15 *790:14 *6217:B 3.20069e-06
*RES
1 *6216:X *807:4 9.24915
2 *807:4 *6217:B 39.2882
3 *807:4 *6357:A2 30.2188
*END
*D_NET *808 0.00212461
*CONN
*I *6222:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6219:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6217:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6222:A2 0.000207162
2 *6219:A_N 2.72239e-05
3 *6217:X 0.000229885
4 *808:8 0.000464271
5 *6219:A_N *1326:34 0.000216467
6 *6222:A2 *6190:A 6.08467e-05
7 *6222:A2 *1081:6 0.000149628
8 *6222:A2 *1081:8 0.000156854
9 *808:8 *1081:8 0.00033614
10 *6737:D *6222:A2 4.15008e-05
11 *764:24 *6219:A_N 0.000216467
12 *786:11 *808:8 1.81618e-05
*RES
1 *6217:X *808:8 20.4571
2 *808:8 *6219:A_N 16.1364
3 *808:8 *6222:A2 20.0418
*END
*D_NET *809 0.000694761
*CONN
*I *6219:C I *D sky130_fd_sc_hd__and3b_1
*I *6218:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6219:C 9.54561e-05
2 *6218:X 9.54561e-05
3 *6219:C *810:11 0.000122378
4 *764:24 *6219:C 0.000381471
*RES
1 *6218:X *6219:C 22.5493
*END
*D_NET *810 0.0040242
*CONN
*I *6220:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6219:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6220:A 0
2 *6219:X 0.00130023
3 *810:11 0.00130023
4 *810:11 *1326:7 2.99978e-05
5 *810:11 *1326:23 0.000110203
6 *6194:A *810:11 0.0002817
7 *6219:C *810:11 0.000122378
8 *6736:D *810:11 1.84293e-05
9 *764:24 *810:11 0.000551941
10 *769:11 *810:11 0.000240118
11 *787:8 *810:11 4.23937e-05
12 *792:7 *810:11 2.65831e-05
13 *792:15 *810:11 0
14 *792:47 *810:11 0
*RES
1 *6219:X *810:11 45.5096
2 *810:11 *6220:A 9.24915
*END
*D_NET *811 0.0120394
*CONN
*I *6402:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6398:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6411:B1 I *D sky130_fd_sc_hd__a32o_1
*I *6408:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6222:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6221:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6402:B1 4.11919e-06
2 *6398:B1 0.00030723
3 *6411:B1 0.000241301
4 *6408:A2 3.26749e-05
5 *6222:B1 0.000267298
6 *6221:X 0.000116126
7 *811:53 0.000716182
8 *811:31 0.000853361
9 *811:19 0.00137176
10 *811:7 0.00151528
11 *6222:B1 *1081:6 0
12 *6398:B1 *6363:A 2.18145e-05
13 *6398:B1 *6398:A1 0.000605458
14 *6398:B1 *6400:A2 0.000350705
15 *6398:B1 *6400:B1 0.0002136
16 *6398:B1 *6401:B 3.08887e-05
17 *6402:B1 *913:58 2.7381e-05
18 *6408:A2 *1331:13 0.000267394
19 *6411:B1 *6169:A2 0.000468427
20 *6411:B1 *6411:A1 0.000575894
21 *6411:B1 *6411:A3 0.00051066
22 *811:7 *6138:A 4.15559e-05
23 *811:19 *6138:A 0
24 *811:19 *6169:A2 0
25 *811:19 *6169:C1 0.000694855
26 *811:19 *6372:A2 1.69657e-05
27 *811:19 *6382:A2 0
28 *811:19 *6385:A2 8.39596e-05
29 *811:19 *1081:6 0
30 *811:31 *913:8 2.09495e-05
31 *811:31 *913:18 0.000180046
32 *811:31 *1331:10 0.000125695
33 *811:31 *1331:13 0.000150632
34 *811:53 *6402:A1 1.05106e-05
35 *811:53 *6402:B2 0.000110297
36 *811:53 *913:8 0.000383151
37 *811:53 *913:58 0.000286819
38 *811:53 *1330:20 6.36773e-05
39 *6168:A *811:19 7.28157e-05
40 *6172:B *811:19 0
41 *6365:A *6398:B1 0
42 *6408:A1 *6408:A2 0.000267394
43 *6408:A1 *811:31 0.000164829
44 *6409:A *811:53 0.00017419
45 *6411:B2 *6411:B1 7.24917e-06
46 *750:23 *811:19 0
47 *751:16 *811:19 0
48 *765:5 *6222:B1 0.000270186
49 *774:9 *6411:B1 3.10149e-05
50 *774:21 *6411:B1 0.000144451
51 *774:24 *811:19 0.000122713
52 *774:24 *811:31 9.44635e-05
53 *774:24 *811:53 6.84784e-06
54 *777:31 *6398:B1 1.65872e-05
*RES
1 *6221:X *811:7 15.5817
2 *811:7 *6222:B1 21.0145
3 *811:7 *811:19 33.7386
4 *811:19 *6408:A2 12.191
5 *811:19 *811:31 13.0499
6 *811:31 *6411:B1 27.4575
7 *811:31 *811:53 19.2668
8 *811:53 *6398:B1 30.2286
9 *811:53 *6402:B1 9.57467
*END
*D_NET *812 0.00399987
*CONN
*I *6247:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6243:B I *D sky130_fd_sc_hd__nand2_1
*I *6239:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6231:B I *D sky130_fd_sc_hd__or2_1
*I *6246:B I *D sky130_fd_sc_hd__and3_1
*I *6223:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6247:A2 0.000162929
2 *6243:B 0.000253246
3 *6239:A_N 0
4 *6231:B 0.000191452
5 *6246:B 2.31103e-05
6 *6223:X 0
7 *812:22 0.000413382
8 *812:16 0.000622556
9 *812:6 0.000308051
10 *812:4 0.00030049
11 *6231:B *6244:C 0.000224395
12 *6231:B *6245:A 6.80719e-05
13 *6231:B *6740:CLK 0
14 *6231:B *830:67 0
15 *6231:B *1086:21 0
16 *6243:B *6223:A 0
17 *6243:B *6233:C 0.000118485
18 *6243:B *6239:B 5.044e-05
19 *6243:B *6244:C 0
20 *6243:B *6251:B 0
21 *6243:B *827:18 4.12119e-05
22 *6243:B *1296:18 0.000167076
23 *6246:B *6223:A 2.71397e-05
24 *6246:B *1296:27 1.82696e-05
25 *6247:A2 *6247:A1 0.000148144
26 *6247:A2 *6740:CLK 2.85274e-05
27 *812:6 *6223:A 8.04608e-05
28 *812:6 *6247:A1 5.12009e-05
29 *812:6 *1296:27 2.39519e-05
30 *812:16 *6246:A 2.44829e-05
31 *812:22 *6239:B 0.000110701
32 *812:22 *6244:C 0.000370815
33 *588:37 *6243:B 0.000171288
*RES
1 *6223:X *812:4 9.24915
2 *812:4 *812:6 9.23876
3 *812:6 *6246:B 14.7506
4 *812:6 *812:16 7.99641
5 *812:16 *812:22 7.57154
6 *812:22 *6231:B 24.5446
7 *812:22 *6239:A_N 9.24915
8 *812:16 *6243:B 26.4815
9 *812:4 *6247:A2 22.1896
*END
*D_NET *813 0.017393
*CONN
*I *6237:A I *D sky130_fd_sc_hd__and2_1
*I *6241:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6347:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6666:B I *D sky130_fd_sc_hd__and2b_1
*I *6332:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6224:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6237:A 0.000798605
2 *6241:A 0
3 *6347:A 7.26567e-05
4 *6666:B 0
5 *6332:A 6.53504e-05
6 *6224:X 0.000330432
7 *813:48 0.00156378
8 *813:34 0.00126046
9 *813:21 0.00320907
10 *813:12 0.00318223
11 *6237:A *6242:A 0
12 *6237:A *829:5 0.00012316
13 *6237:A *829:10 5.56367e-05
14 *6237:A *830:9 0.000435924
15 *6237:A *1066:20 0
16 *6237:A *1086:46 0
17 *6332:A *895:7 6.50586e-05
18 *6347:A *946:14 6.08467e-05
19 *6347:A *1096:7 2.65667e-05
20 *813:12 *6438:A 0.00015459
21 *813:12 *6438:C 0.000156823
22 *813:12 *902:21 4.44689e-05
23 *813:12 *1149:30 0
24 *813:21 *5982:A 7.26588e-05
25 *813:21 *6000:B2 9.34869e-05
26 *813:21 *6040:B1 0.00017046
27 *813:21 *6438:A 3.20069e-06
28 *813:21 *6661:A 0
29 *813:21 *902:21 0.00096937
30 *813:21 *902:28 0.000119492
31 *813:21 *907:20 0
32 *813:21 *1096:12 0.000195169
33 *813:21 *1096:16 0.000221185
34 *813:21 *1315:8 5.96936e-05
35 *813:21 *1345:10 0.000299338
36 *813:21 *1350:15 0.000170592
37 *813:21 *1356:8 3.60268e-05
38 *813:34 *6659:A 0.00015324
39 *813:34 *946:14 0.000226281
40 *813:34 *1096:8 1.70077e-05
41 *813:34 *1096:10 0.000183424
42 *813:34 *1096:12 0.000329043
43 *813:48 *829:10 0
44 *813:48 *946:7 0.000313024
45 *813:48 *946:9 0.000188255
46 *813:48 *946:14 0.000362591
47 *813:48 *1066:20 8.3676e-05
48 *5999:A *813:21 0
49 *6002:B2 *813:21 2.37478e-05
50 *6013:A2 *813:21 0
51 *6483:A *813:21 0
52 *6483:C *813:21 0
53 *6591:A *6237:A 0
54 *6601:A *813:48 0.000370815
55 *6648:D *813:21 6.12656e-05
56 *6657:A *813:48 0.000118166
57 *6660:A *813:34 0
58 *6821:D *6237:A 0.000153225
59 *6834:D *813:34 0.000418241
60 *6834:D *813:48 5.07314e-05
61 *6838:D *813:21 0
62 *363:11 *813:21 0
63 *365:6 *813:21 0
64 *456:54 *6237:A 0
65 *535:14 *813:21 1.32509e-05
66 *535:14 *813:34 9.27288e-05
67 *597:50 *6332:A 0.000217937
68 *629:6 *813:21 0
69 *629:15 *813:21 0
*RES
1 *6224:X *813:12 22.8853
2 *813:12 *6332:A 16.1364
3 *813:12 *813:21 83.2214
4 *813:21 *6666:B 13.7491
5 *813:21 *813:34 21.7696
6 *813:34 *6347:A 11.0817
7 *813:34 *813:48 35.9793
8 *813:48 *6241:A 9.24915
9 *813:48 *6237:A 40.9621
*END
*D_NET *814 0.000555115
*CONN
*I *6236:A I *D sky130_fd_sc_hd__or3_2
*I *6225:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6236:A 0.000155365
2 *6225:Y 0.000155365
3 *6236:A *6225:A 5.53934e-05
4 *6236:A *6235:C 0
5 *6236:A *6236:C 0.000164843
6 *6236:A *823:11 2.41483e-05
7 *6236:A *826:26 0
*RES
1 *6225:Y *6236:A 32.1327
*END
*D_NET *815 0.00169242
*CONN
*I *6229:B I *D sky130_fd_sc_hd__or3_1
*I *6226:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *6229:B 0.000522893
2 *6226:X 0.000522893
3 *6229:B *6304:B 0
4 *6229:B *6757:CLK 0.000589703
5 *6229:B *881:10 0
6 *6229:B *1087:43 2.692e-05
7 *6229:B *1293:8 3.00073e-05
*RES
1 *6226:X *6229:B 38.5065
*END
*D_NET *816 0.00139963
*CONN
*I *6228:C_N I *D sky130_fd_sc_hd__or4bb_1
*I *6227:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *6228:C_N 0.000435376
2 *6227:X 0.000435376
3 *6228:C_N *6228:A 4.66492e-05
4 *6228:C_N *6228:D_N 6.50727e-05
5 *6228:C_N *6292:A 2.95757e-05
6 *6228:C_N *6304:B 0
7 *6228:C_N *6305:A 0
8 *6228:C_N *6324:B1 9.3436e-05
9 *6228:C_N *1087:10 7.75932e-05
10 *6228:C_N *1290:5 6.08467e-05
11 *6228:C_N *1300:10 3.00073e-05
12 *6755:D *6228:C_N 0.000125695
13 *602:61 *6228:C_N 0
*RES
1 *6227:X *6228:C_N 40.9896
*END
*D_NET *817 0.000722652
*CONN
*I *6229:C I *D sky130_fd_sc_hd__or3_1
*I *6228:X O *D sky130_fd_sc_hd__or4bb_1
*CAP
1 *6229:C 0.000200034
2 *6228:X 0.000200034
3 *6229:C *6228:A 1.64789e-05
4 *6229:C *6228:B 0.000180711
5 *6229:C *6301:A 3.01683e-06
6 *6229:C *1293:17 0.000122378
*RES
1 *6228:X *6229:C 25.3706
*END
*D_NET *818 0.00543697
*CONN
*I *6236:B I *D sky130_fd_sc_hd__or3_2
*I *6229:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6236:B 0.00054967
2 *6229:X 0.00150594
3 *818:15 0.00205561
4 *6236:B *6225:A 3.34802e-05
5 *6236:B *825:11 7.50872e-05
6 *6236:B *848:12 0.00034445
7 *818:15 *6229:A 2.82537e-05
8 *818:15 *6302:C 3.99086e-06
9 *818:15 *6303:A2 0.000113374
10 *818:15 *6304:B 0
11 *818:15 *848:12 0.00014472
12 *818:15 *874:11 0.000383703
13 *818:15 *1087:20 0.000124886
14 *818:15 *1292:26 7.38072e-05
*RES
1 *6229:X *818:15 48.6082
2 *818:15 *6236:B 28.431
*END
*D_NET *819 0.0044733
*CONN
*I *6258:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6257:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6260:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6259:A I *D sky130_fd_sc_hd__and3_1
*I *6235:A I *D sky130_fd_sc_hd__or4_1
*I *6230:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6258:A1 0.00025446
2 *6257:A1 0.000165306
3 *6260:A1 0.000296905
4 *6259:A 0
5 *6235:A 0.000169787
6 *6230:X 0.000145668
7 *819:16 0.000377446
8 *819:12 0.000602466
9 *819:10 0.000501916
10 *819:8 0.000638836
11 *6235:A *6225:A 0
12 *6235:A *6235:C 6.17194e-05
13 *6235:A *6259:C 0.000131614
14 *6235:A *835:8 0.000118245
15 *6258:A1 *6258:B1 5.04829e-06
16 *6258:A1 *838:5 0.000134849
17 *6260:A1 *6261:C 0.000171273
18 *6260:A1 *7090:A 0.00024981
19 *819:8 *6230:A 0.000193108
20 *819:8 *835:17 0
21 *819:10 *6230:A 7.50872e-05
22 *819:10 *6265:A 5.05252e-05
23 *819:10 *6265:B 0
24 *819:10 *835:17 0
25 *819:12 *6265:B 0
26 *819:12 *835:17 0
27 *819:16 *6225:A 0.000102647
28 *819:16 *6235:C 0
29 *819:16 *6265:B 0
30 *819:16 *835:8 0
31 *819:16 *835:17 0
32 *588:37 *819:8 2.65831e-05
*RES
1 *6230:X *819:8 17.9655
2 *819:8 *819:10 5.98452
3 *819:10 *819:12 2.24725
4 *819:12 *819:16 9.65401
5 *819:16 *6235:A 23.8535
6 *819:16 *6259:A 9.24915
7 *819:12 *6260:A1 18.9094
8 *819:10 *6257:A1 17.2456
9 *819:8 *6258:A1 17.8002
*END
*D_NET *820 0.00426009
*CONN
*I *6233:C I *D sky130_fd_sc_hd__or4b_1
*I *6244:B I *D sky130_fd_sc_hd__and3_1
*I *6231:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6233:C 0.000871083
2 *6244:B 5.89018e-05
3 *6231:X 5.32625e-05
4 *820:5 0.000983247
5 *6233:C *6231:A 0.000426154
6 *6233:C *6233:D_N 0.000169041
7 *6233:C *827:25 7.78761e-05
8 *6233:C *1296:18 0.000436825
9 *6244:B *6245:A 0.000205332
10 *6244:B *830:67 9.69453e-05
11 *6243:B *6233:C 0.000118485
12 *6738:D *6233:C 9.71182e-06
13 *588:37 *6233:C 0.000753223
*RES
1 *6231:X *820:5 9.97254
2 *820:5 *6244:B 21.7421
3 *820:5 *6233:C 39.6905
*END
*D_NET *821 0.00194503
*CONN
*I *6233:D_N I *D sky130_fd_sc_hd__or4b_1
*I *6265:C I *D sky130_fd_sc_hd__and4_1
*I *6232:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6233:D_N 0.000318774
2 *6265:C 2.3451e-05
3 *6232:X 0.000146486
4 *821:8 0.000488711
5 *6233:D_N *6230:A 0
6 *6233:D_N *6233:A 3.31733e-05
7 *6233:D_N *6235:C 0.000315176
8 *6233:D_N *6265:D 6.46135e-05
9 *6233:D_N *837:6 8.33549e-05
10 *6265:C *6330:A 1.03403e-05
11 *821:8 *6232:A 2.65667e-05
12 *821:8 *6235:C 0.000144531
13 *821:8 *6267:A2 0
14 *821:8 *6330:A 0
15 *821:8 *847:8 0
16 *6233:C *6233:D_N 0.000169041
17 *588:37 *6233:D_N 5.99691e-05
18 *596:22 *6265:C 6.08467e-05
*RES
1 *6232:X *821:8 17.135
2 *821:8 *6265:C 14.4725
3 *821:8 *6233:D_N 24.4758
*END
*D_NET *822 0.00226423
*CONN
*I *6235:C I *D sky130_fd_sc_hd__or4_1
*I *6233:X O *D sky130_fd_sc_hd__or4b_1
*CAP
1 *6235:C 0.000577337
2 *6233:X 0.000577337
3 *6235:C *6225:A 0
4 *6235:C *6230:A 0
5 *6235:C *6248:A 5.9708e-05
6 *6235:C *6265:A 0
7 *6235:C *6265:B 0.000513974
8 *6235:C *826:26 1.44467e-05
9 *6235:C *847:8 0
10 *6235:C *847:13 0
11 *6233:D_N *6235:C 0.000315176
12 *6235:A *6235:C 6.17194e-05
13 *6236:A *6235:C 0
14 *819:16 *6235:C 0
15 *821:8 *6235:C 0.000144531
*RES
1 *6233:X *6235:C 46.7709
*END
*D_NET *823 0.00367351
*CONN
*I *6235:D I *D sky130_fd_sc_hd__or4_1
*I *6234:X O *D sky130_fd_sc_hd__or4bb_1
*CAP
1 *6235:D 0
2 *6234:X 0.000938077
3 *823:11 0.000938077
4 *823:11 *6225:A 0
5 *823:11 *6235:B 3.072e-06
6 *823:11 *6236:C 0.000275256
7 *823:11 *6262:A 3.31733e-05
8 *823:11 *6282:B1 0
9 *823:11 *825:11 0.000144221
10 *823:11 *841:15 0
11 *823:11 *852:46 0
12 *823:11 *857:23 0
13 *823:11 *1072:5 0.000318265
14 *823:11 *1286:23 0
15 *6236:A *823:11 2.41483e-05
16 *6748:D *823:11 0.000263099
17 *591:59 *823:11 0.000736122
*RES
1 *6234:X *823:11 46.0446
2 *823:11 *6235:D 9.24915
*END
*D_NET *824 0.000605415
*CONN
*I *6236:C I *D sky130_fd_sc_hd__or3_2
*I *6235:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *6236:C 8.26577e-05
2 *6235:X 8.26577e-05
3 *6236:A *6236:C 0.000164843
4 *823:11 *6236:C 0.000275256
*RES
1 *6235:X *6236:C 22.4287
*END
*D_NET *825 0.00676864
*CONN
*I *6674:B1 I *D sky130_fd_sc_hd__o31ai_4
*I *6237:B I *D sky130_fd_sc_hd__and2_1
*I *6236:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *6674:B1 0.00110546
2 *6237:B 0
3 *6236:X 0.00118784
4 *825:11 0.0022933
5 *6674:B1 *830:54 5.46928e-05
6 *825:11 *6225:A 1.89195e-05
7 *825:11 *6274:A 6.92705e-05
8 *825:11 *6274:B 6.73186e-05
9 *825:11 *826:10 0.000168843
10 *825:11 *847:13 3.65523e-05
11 *825:11 *847:20 4.75816e-05
12 *6236:B *825:11 7.50872e-05
13 *6553:D *6674:B1 0.000366603
14 *6592:A *6674:B1 4.70005e-05
15 *6593:B1 *6674:B1 0.000442397
16 *6594:B *6674:B1 0.000226481
17 *458:5 *6674:B1 0.00021369
18 *484:5 *6674:B1 8.64186e-05
19 *486:8 *6674:B1 0.000116971
20 *823:11 *825:11 0.000144221
*RES
1 *6236:X *825:11 49.1132
2 *825:11 *6237:B 9.24915
3 *825:11 *6674:B1 45.4536
*END
*D_NET *826 0.00469211
*CONN
*I *6248:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6256:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6271:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6266:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6238:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6237:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6248:A 0.000130914
2 *6256:A 0.000370068
3 *6271:A 0
4 *6266:A 0
5 *6238:A 0
6 *6237:X 0.000480742
7 *826:26 0.000528048
8 *826:23 0.000414155
9 *826:15 0.000306006
10 *826:10 0.000661487
11 *6248:A *835:8 5.04829e-06
12 *6248:A *843:7 2.61012e-05
13 *6248:A *847:13 3.83819e-05
14 *6256:A *6225:A 6.92705e-05
15 *6256:A *6225:B 0.000383717
16 *6256:A *848:12 0.000261745
17 *826:10 *6276:B 0
18 *826:10 *1086:42 0
19 *826:10 *1086:46 0
20 *826:23 *6235:B 4.65396e-05
21 *826:26 *6225:A 0
22 *826:26 *847:13 4.40506e-05
23 *826:26 *852:16 7.50722e-05
24 *6235:C *6248:A 5.9708e-05
25 *6235:C *826:26 1.44467e-05
26 *6236:A *826:26 0
27 *591:53 *826:15 0.000197841
28 *591:59 *826:15 0.000229409
29 *591:59 *826:23 0.000180515
30 *825:11 *826:10 0.000168843
*RES
1 *6237:X *826:10 28.2847
2 *826:10 *6238:A 9.24915
3 *826:10 *826:15 7.37864
4 *826:15 *6266:A 9.24915
5 *826:15 *826:23 9.10562
6 *826:23 *826:26 8.82351
7 *826:26 *6271:A 9.24915
8 *826:26 *6256:A 20.51
9 *826:23 *6248:A 17.6896
*END
*D_NET *827 0.0150043
*CONN
*I *6239:B I *D sky130_fd_sc_hd__and2b_1
*I *6326:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6317:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6293:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6263:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6238:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6239:B 0.000194111
2 *6326:B1 0
3 *6317:B1 0.00122221
4 *6293:B1 0.000750675
5 *6263:B1_N 0.000253009
6 *6238:X 0
7 *827:29 0.00213793
8 *827:25 0.00110685
9 *827:18 0.0019788
10 *827:4 0.00109589
11 *6239:B *6244:C 7.55529e-05
12 *6263:B1_N *6263:A1 1.77537e-06
13 *6263:B1_N *1086:42 0.000127179
14 *6293:B1 *6294:A1 0.000118166
15 *6293:B1 *6294:A2 5.56461e-05
16 *6293:B1 *6328:B1 3.31736e-05
17 *6293:B1 *6329:A 5.79544e-05
18 *6293:B1 *6329:B 0.000435273
19 *6293:B1 *6329:C 7.77309e-06
20 *6293:B1 *6330:B 0.000372943
21 *6293:B1 *865:9 7.34948e-06
22 *6293:B1 *1301:10 0.000111343
23 *6293:B1 *1301:12 0.00019819
24 *6317:B1 *6318:A1 2.22342e-05
25 *6317:B1 *6319:C 3.52282e-05
26 *6317:B1 *6326:A1 2.16355e-05
27 *6317:B1 *6326:A2 7.92757e-06
28 *6317:B1 *835:31 0.00013229
29 *6317:B1 *882:16 1.79807e-05
30 *6317:B1 *884:11 0.000102003
31 *6317:B1 *884:13 0.00021243
32 *6317:B1 *884:15 0.000523693
33 *6317:B1 *1299:13 2.15184e-05
34 *827:18 *6244:C 5.39463e-05
35 *827:18 *6263:A1 6.3152e-05
36 *827:18 *6264:B1 0
37 *827:18 *6267:A1 0
38 *827:18 *6269:A 0
39 *827:18 *1086:12 0
40 *827:18 *1086:21 0
41 *827:18 *1086:42 0
42 *827:25 *6251:A 6.50727e-05
43 *827:25 *6251:B 0.00043038
44 *827:25 *6253:B 0.000483474
45 *827:25 *6254:B 9.39633e-05
46 *827:25 *6254:C 7.73576e-05
47 *827:25 *835:31 0.00158572
48 *827:25 *1304:13 4.82966e-05
49 *827:29 *6326:A1 5.04829e-06
50 *827:29 *6326:A2 1.19721e-05
51 *827:29 *835:31 1.71154e-05
52 *6233:C *827:25 7.78761e-05
53 *6243:B *6239:B 5.044e-05
54 *6243:B *827:18 4.12119e-05
55 *6745:D *827:18 6.81008e-05
56 *6752:D *6293:B1 0
57 *591:53 *6263:B1_N 0.0002817
58 *602:61 *6293:B1 0
59 *812:22 *6239:B 0.000110701
*RES
1 *6238:X *827:4 9.24915
2 *827:4 *6263:B1_N 24.1616
3 *827:4 *827:18 26.6794
4 *827:18 *827:25 40.3563
5 *827:25 *827:29 3.23117
6 *827:29 *6293:B1 43.0255
7 *827:29 *6317:B1 39.2458
8 *827:25 *6326:B1 9.24915
9 *827:18 *6239:B 19.7687
*END
*D_NET *828 0.000241698
*CONN
*I *6240:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6239:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6240:A 8.33131e-05
2 *6239:X 8.33131e-05
3 *6240:A *6740:CLK 0
4 *6738:D *6240:A 7.50722e-05
*RES
1 *6239:X *6240:A 29.7455
*END
*D_NET *829 0.00833974
*CONN
*I *6242:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6351:A I *D sky130_fd_sc_hd__buf_2
*I *6633:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6697:A I *D sky130_fd_sc_hd__and2_1
*I *6406:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6241:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6242:A 0.000173303
2 *6351:A 0
3 *6633:B1 0
4 *6697:A 0.000381889
5 *6406:A 7.81667e-05
6 *6241:X 0.000126223
7 *829:29 0.000943472
8 *829:24 0.00130275
9 *829:10 0.000987986
10 *829:5 0.000468179
11 *6242:A *830:9 6.33354e-05
12 *6242:A *1238:8 2.01874e-05
13 *6406:A *6619:A 0.000118166
14 *6406:A *946:7 7.24449e-05
15 *6697:A *6018:A 0
16 *6697:A *6693:B 0.000118485
17 *6697:A *1084:22 0.000118245
18 *829:5 *830:9 1.00846e-05
19 *829:10 *830:9 8.16827e-05
20 *829:10 *1238:8 0
21 *829:24 *6619:A 0.000220183
22 *829:24 *6624:B 1.68417e-05
23 *829:24 *907:52 2.25812e-05
24 *829:24 *1238:8 0.000189511
25 *829:24 *1238:16 5.77352e-05
26 *829:29 *6002:A1 0.000277488
27 *829:29 *907:52 2.15266e-05
28 *6015:A1_N *829:29 0
29 *6029:A *829:24 0.000179303
30 *6029:A *829:29 0.000182869
31 *6237:A *6242:A 0
32 *6237:A *829:5 0.00012316
33 *6237:A *829:10 5.56367e-05
34 *6611:A *829:24 0.000168061
35 *6614:A3 *829:24 0
36 *6615:B1 *829:24 1.45944e-05
37 *6616:A2 *829:24 0.000140946
38 *6618:A2 *829:24 4.2391e-05
39 *6628:A2 *829:24 0
40 *6628:C1 *829:24 9.2484e-05
41 *6633:A1 *829:29 0.000169041
42 *6633:A2 *829:29 0.00035128
43 *456:54 *6242:A 4.90965e-05
44 *505:14 *829:24 7.5845e-05
45 *506:10 *829:24 8.50941e-05
46 *522:21 *829:29 0.000366603
47 *656:20 *829:24 0.000165495
48 *656:22 *829:24 0.000207379
49 *813:48 *829:10 0
*RES
1 *6241:X *829:5 12.7456
2 *829:5 *829:10 13.3235
3 *829:10 *6406:A 12.191
4 *829:10 *829:24 31.2161
5 *829:24 *829:29 24.3847
6 *829:29 *6697:A 28.6999
7 *829:29 *6633:B1 9.24915
8 *829:24 *6351:A 13.7491
9 *829:5 *6242:A 23.1595
*END
*D_NET *830 0.0206419
*CONN
*I *6244:A I *D sky130_fd_sc_hd__and3_1
*I *6595:A I *D sky130_fd_sc_hd__and3_1
*I *6447:A I *D sky130_fd_sc_hd__buf_2
*I *6542:A I *D sky130_fd_sc_hd__and3_1
*I *6547:A I *D sky130_fd_sc_hd__and3_1
*I *6242:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6244:A 0
2 *6595:A 0
3 *6447:A 0.000586119
4 *6542:A 0.000149227
5 *6547:A 0.00103951
6 *6242:X 0.00109209
7 *830:67 0.00131433
8 *830:54 0.00225726
9 *830:29 0.00139999
10 *830:24 0.0022968
11 *830:9 0.00282083
12 *6542:A *902:46 0.000207266
13 *6547:A *6545:A 0.000266918
14 *6547:A *6822:CLK 2.39581e-05
15 *830:9 *6822:CLK 5.99856e-05
16 *830:9 *1238:8 0.000115934
17 *830:9 *1277:12 5.35406e-05
18 *830:24 *6586:A1 0.000268165
19 *830:24 *1274:19 0.000122083
20 *830:29 *902:46 0.00102381
21 *830:54 *6553:A 0.000110701
22 *830:54 *1276:11 4.17142e-05
23 *830:67 *6245:A 9.73157e-05
24 *830:67 *6573:A 0
25 *830:67 *6581:A2 0
26 *830:67 *6739:CLK 0
27 *830:67 *6740:CLK 0
28 *830:67 *1086:12 0
29 *830:67 *1086:21 0
30 *830:67 *1273:6 0
31 *830:67 *1276:9 0.00016881
32 *830:67 *1276:11 0.000217937
33 *6231:B *830:67 0
34 *6237:A *830:9 0.000435924
35 *6242:A *830:9 6.33354e-05
36 *6244:B *830:67 9.69453e-05
37 *6542:C *6542:A 0.000104997
38 *6543:A *6447:A 0
39 *6543:A *830:29 0.000103943
40 *6545:B *6547:A 2.42138e-05
41 *6553:D *830:54 0.000366603
42 *6555:A1 *830:54 9.18559e-06
43 *6568:B *6447:A 0.000111708
44 *6590:A *830:29 6.50586e-05
45 *6595:C *830:67 0.000505207
46 *6601:A *830:24 9.57348e-05
47 *6674:A2 *830:24 0.000222639
48 *6674:A3 *830:29 0
49 *6674:B1 *830:54 5.46928e-05
50 *6739:D *830:67 0.000149628
51 *6814:D *6447:A 7.15593e-05
52 *6822:D *830:9 0.000609672
53 *367:41 *830:24 0
54 *371:8 *6447:A 0.00107687
55 *371:8 *6542:A 4.75495e-05
56 *371:17 *6447:A 5.05252e-05
57 *402:15 *6547:A 0.000339473
58 *402:18 *830:24 9.60215e-05
59 *414:16 *6447:A 0
60 *456:54 *830:9 0
61 *458:5 *830:54 1.99182e-05
62 *479:24 *830:67 9.44906e-05
63 *591:49 *6447:A 0
64 *829:5 *830:9 1.00846e-05
65 *829:10 *830:9 8.16827e-05
*RES
1 *6242:X *830:9 47.9773
2 *830:9 *6547:A 26.6589
3 *830:9 *830:24 28.6878
4 *830:24 *830:29 24.9239
5 *830:29 *6542:A 18.0416
6 *830:29 *6447:A 34.715
7 *830:24 *830:54 15.1672
8 *830:54 *6595:A 9.24915
9 *830:54 *830:67 48.4116
10 *830:67 *6244:A 9.24915
*END
*D_NET *831 0.00177518
*CONN
*I *6244:C I *D sky130_fd_sc_hd__and3_1
*I *6243:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6244:C 0.000486637
2 *6243:Y 0.000486637
3 *6244:C *6223:A 0
4 *6244:C *6231:A 0
5 *6244:C *6245:A 9.19886e-06
6 *6244:C *1086:21 0
7 *6231:B *6244:C 0.000224395
8 *6239:B *6244:C 7.55529e-05
9 *6243:B *6244:C 0
10 *6738:D *6244:C 6.80025e-05
11 *812:22 *6244:C 0.000370815
12 *827:18 *6244:C 5.39463e-05
*RES
1 *6243:Y *6244:C 41.6384
*END
*D_NET *832 0.00103687
*CONN
*I *6245:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6244:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6245:A 0.000328473
2 *6244:X 0.000328473
3 *6245:A *1086:21 0
4 *6231:B *6245:A 6.80719e-05
5 *6244:B *6245:A 0.000205332
6 *6244:C *6245:A 9.19886e-06
7 *830:67 *6245:A 9.73157e-05
*RES
1 *6244:X *6245:A 37.5282
*END
*D_NET *833 0.00175895
*CONN
*I *6249:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6253:B I *D sky130_fd_sc_hd__or2_1
*I *6246:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6249:A_N 0
2 *6253:B 0.000150467
3 *6246:X 0.000121901
4 *833:5 0.000272368
5 *6253:B *6251:C 0.000217937
6 *6253:B *1296:27 0
7 *6253:B *1303:10 0.000170592
8 *6253:B *1304:13 0.000277502
9 *833:5 *6246:C 6.47133e-05
10 *827:25 *6253:B 0.000483474
*RES
1 *6246:X *833:5 12.191
2 *833:5 *6253:B 26.4871
3 *833:5 *6249:A_N 9.24915
*END
*D_NET *834 0.00113675
*CONN
*I *6249:B I *D sky130_fd_sc_hd__and3b_1
*I *6247:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6249:B 0.000331291
2 *6247:X 0.000331291
3 *6249:B *6247:B1 5.47516e-05
4 *6249:B *6250:A 0.00026818
5 *6249:B *1303:8 2.36494e-05
6 *6249:B *1303:10 3.6121e-05
7 *6249:B *1304:10 0
8 *599:71 *6249:B 9.14669e-05
*RES
1 *6247:X *6249:B 36.7005
*END
*D_NET *835 0.0122668
*CONN
*I *6249:C I *D sky130_fd_sc_hd__and3b_1
*I *6321:B I *D sky130_fd_sc_hd__and3b_1
*I *6304:B I *D sky130_fd_sc_hd__and3b_1
*I *6254:C I *D sky130_fd_sc_hd__and3b_1
*I *6261:C I *D sky130_fd_sc_hd__and3b_1
*I *6248:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6249:C 0.00045288
2 *6321:B 0.000106739
3 *6304:B 0.000856491
4 *6254:C 0.000224116
5 *6261:C 1.54601e-05
6 *6248:X 0.000303975
7 *835:31 0.00183937
8 *835:26 0.00139822
9 *835:17 0.000834912
10 *835:8 0.000861032
11 *6249:C *6250:A 6.92705e-05
12 *6249:C *6255:A 0
13 *6249:C *1304:10 5.56873e-05
14 *6261:C *7090:A 0.000171273
15 *6304:B *6227:D 6.79889e-05
16 *6304:B *6228:D_N 0
17 *6304:B *6229:A 0
18 *6304:B *6303:A2 0
19 *6304:B *6305:A 0.000511897
20 *6304:B *6323:A 0.000134323
21 *6304:B *6324:A2 0
22 *6304:B *6324:B1 0.000155035
23 *6304:B *874:11 9.35753e-06
24 *6304:B *1087:43 0
25 *6304:B *1087:56 0
26 *6304:B *1087:65 0
27 *6304:B *1293:8 0
28 *6304:B *1299:13 1.87469e-05
29 *6304:B *1299:16 3.01812e-05
30 *6304:B *1300:22 0
31 *6321:B *6321:C 7.50722e-05
32 *6321:B *1087:74 0
33 *6321:B *1087:83 2.16355e-05
34 *6321:B *1299:8 3.00073e-05
35 *6321:B *1299:13 7.77309e-06
36 *835:8 *6225:A 1.50262e-05
37 *835:8 *6259:C 0.000120648
38 *835:8 *6265:B 4.82966e-05
39 *835:8 *838:10 7.77309e-06
40 *835:8 *843:7 0.000275256
41 *835:8 *1306:5 6.49003e-05
42 *835:8 *1306:7 5.99527e-05
43 *835:17 *6230:A 0
44 *835:17 *6254:A_N 0.000148226
45 *835:17 *838:8 0.000167673
46 *835:17 *838:10 5.5807e-05
47 *835:26 *6254:A_N 2.71542e-05
48 *835:26 *1304:10 0
49 *835:31 *6254:B 0.000322682
50 *835:31 *6255:A 6.78596e-05
51 *835:31 *6319:B 6.50586e-05
52 *835:31 *6319:C 0.000167286
53 *835:31 *6326:A2 0.000164815
54 *835:31 *6327:B1 6.92705e-05
55 *6228:C_N *6304:B 0
56 *6229:B *6304:B 0
57 *6235:A *835:8 0.000118245
58 *6248:A *835:8 5.04829e-06
59 *6260:A1 *6261:C 0.000171273
60 *6317:B1 *835:31 0.00013229
61 *6761:D *6304:B 4.61732e-05
62 *591:59 *6304:B 1.43983e-05
63 *818:15 *6304:B 0
64 *819:8 *835:17 0
65 *819:10 *835:17 0
66 *819:12 *835:17 0
67 *819:16 *835:8 0
68 *819:16 *835:17 0
69 *827:25 *6254:C 7.73576e-05
70 *827:25 *835:31 0.00158572
71 *827:29 *835:31 1.71154e-05
*RES
1 *6248:X *835:8 25.036
2 *835:8 *6261:C 15.5817
3 *835:8 *835:17 15.1201
4 *835:17 *6254:C 17.2456
5 *835:17 *835:26 1.832
6 *835:26 *835:31 38.5628
7 *835:31 *6304:B 39.5587
8 *835:31 *6321:B 16.3045
9 *835:26 *6249:C 24.6208
*END
*D_NET *836 0.000833297
*CONN
*I *6250:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6249:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6250:A 0.00020825
2 *6249:X 0.00020825
3 *6250:A *6247:B1 0
4 *6249:B *6250:A 0.00026818
5 *6249:C *6250:A 6.92705e-05
6 *6740:D *6250:A 7.93468e-05
*RES
1 *6249:X *6250:A 34.2062
*END
*D_NET *837 0.00120084
*CONN
*I *6252:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6265:D I *D sky130_fd_sc_hd__and4_1
*I *6251:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6252:A 3.57807e-05
2 *6265:D 0.000166446
3 *6251:X 0.000158564
4 *837:6 0.000360791
5 *837:6 *6233:A 0.000125695
6 *837:6 *6233:B 8.22e-05
7 *837:6 *1303:10 5.22654e-06
8 *6233:D_N *6265:D 6.46135e-05
9 *6233:D_N *837:6 8.33549e-05
10 *596:22 *6265:D 0.000118166
*RES
1 *6251:X *837:6 19.3184
2 *837:6 *6265:D 17.6896
3 *837:6 *6252:A 14.4725
*END
*D_NET *838 0.00404778
*CONN
*I *6258:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6254:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6257:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6260:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6259:C I *D sky130_fd_sc_hd__and3_1
*I *6252:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6258:A2 0
2 *6254:A_N 0.000215789
3 *6257:A2 3.79146e-05
4 *6260:A2 1.63474e-05
5 *6259:C 0.000439437
6 *6252:X 0.000261774
7 *838:10 0.000564765
8 *838:8 0.000310249
9 *838:7 0.000379143
10 *838:5 0.000261774
11 *6254:A_N *6258:B1 8.62625e-06
12 *6259:C *6225:A 0
13 *6259:C *6262:A 0.000196623
14 *6259:C *1306:5 5.80703e-06
15 *6260:A2 *7090:A 0.000114594
16 *6260:A2 *1086:85 0.000114594
17 *838:8 *6258:B1 0.000310094
18 *838:8 *841:15 0
19 *838:10 *841:15 0
20 *6235:A *6259:C 0.000131614
21 *6258:A1 *838:5 0.000134849
22 *6742:D *6254:A_N 1.65078e-05
23 *835:8 *6259:C 0.000120648
24 *835:8 *838:10 7.77309e-06
25 *835:17 *6254:A_N 0.000148226
26 *835:17 *838:8 0.000167673
27 *835:17 *838:10 5.5807e-05
28 *835:26 *6254:A_N 2.71542e-05
*RES
1 *6252:X *838:5 16.0732
2 *838:5 *838:7 4.5
3 *838:7 *838:8 7.02265
4 *838:8 *838:10 2.87013
5 *838:10 *6259:C 23.19
6 *838:10 *6260:A2 15.0271
7 *838:8 *6257:A2 14.8606
8 *838:7 *6254:A_N 20.5642
9 *838:5 *6258:A2 9.24915
*END
*D_NET *839 0.00105708
*CONN
*I *6254:B I *D sky130_fd_sc_hd__and3b_1
*I *6253:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6254:B 0.000320219
2 *6253:X 0.000320219
3 *827:25 *6254:B 9.39633e-05
4 *835:31 *6254:B 0.000322682
*RES
1 *6253:X *6254:B 24.2131
*END
*D_NET *840 0.000861844
*CONN
*I *6255:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6254:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6255:A 0.000350193
2 *6254:X 0.000350193
3 *6255:A *1087:94 0
4 *6249:C *6255:A 0
5 *6741:D *6255:A 9.35979e-05
6 *835:31 *6255:A 6.78596e-05
*RES
1 *6254:X *6255:A 35.6236
*END
*D_NET *841 0.009398
*CONN
*I *6310:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6314:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6324:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6257:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6282:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6256:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6310:B1 0.00019271
2 *6314:B1 0
3 *6324:B1 0.000305326
4 *6257:B1 0.000106412
5 *6282:B1 0.000298613
6 *6256:X 0
7 *841:43 0.00126096
8 *841:22 0.00230422
9 *841:15 0.00158714
10 *841:5 0.0008487
11 *6282:B1 *7084:A 0
12 *6282:B1 *848:12 0
13 *6310:B1 *6310:A2 0.000215704
14 *6310:B1 *6311:B 3.82228e-05
15 *6310:B1 *1295:11 0.000211492
16 *6324:B1 *1300:10 0
17 *6324:B1 *1300:22 4.90965e-05
18 *841:15 *6260:B1 0.000139435
19 *841:15 *1080:8 0
20 *841:22 *6329:A 6.50586e-05
21 *841:22 *6329:B 8.4101e-05
22 *841:22 *6329:C 3.83429e-05
23 *841:43 *6757:CLK 0.000403938
24 *841:43 *879:9 2.22923e-05
25 *6228:C_N *6324:B1 9.3436e-05
26 *6304:B *6324:B1 0.000155035
27 *6743:D *841:15 0
28 *6755:D *841:22 2.85274e-05
29 *6757:D *841:43 2.47705e-05
30 *585:47 *6310:B1 0.00038209
31 *596:44 *6310:B1 3.82228e-05
32 *600:45 *6310:B1 0.000385673
33 *600:45 *841:43 0.000118485
34 *823:11 *6282:B1 0
35 *823:11 *841:15 0
36 *838:8 *841:15 0
37 *838:10 *841:15 0
*RES
1 *6256:X *841:5 13.7491
2 *841:5 *6282:B1 20.9794
3 *841:5 *841:15 17.5438
4 *841:15 *6257:B1 11.6364
5 *841:15 *841:22 22.9075
6 *841:22 *6324:B1 27.9709
7 *841:22 *841:43 34.3718
8 *841:43 *6314:B1 9.24915
9 *841:43 *6310:B1 28.7294
*END
*D_NET *842 0.000941622
*CONN
*I *6258:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6257:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6258:B1 0.000276672
2 *6257:Y 0.000276672
3 *6254:A_N *6258:B1 8.62625e-06
4 *6258:A1 *6258:B1 5.04829e-06
5 *6742:D *6258:B1 6.45085e-05
6 *838:8 *6258:B1 0.000310094
*RES
1 *6257:Y *6258:B1 35.069
*END
*D_NET *843 0.00456387
*CONN
*I *6267:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6261:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6264:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6263:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6259:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6267:A2 0.000285066
2 *6261:A_N 0.000538725
3 *6264:A2 0
4 *6263:A2 0.000269837
5 *6259:X 0.000301887
6 *843:19 0.000538725
7 *843:17 0.000347864
8 *843:7 0.000634522
9 *6261:A_N *6232:B 8.90486e-05
10 *6261:A_N *6261:B 0
11 *6261:A_N *1086:5 7.56341e-06
12 *6261:A_N *1086:85 0.000161282
13 *6261:A_N *1307:31 6.50727e-05
14 *6263:A2 *6264:B1 0.000139403
15 *6263:A2 *848:48 2.36813e-05
16 *6267:A2 *6264:B1 3.31736e-05
17 *6267:A2 *6267:A1 2.57538e-05
18 *6267:A2 *6267:B1 0.00024873
19 *6267:A2 *6268:C 0.000177772
20 *6267:A2 *6330:A 1.2693e-05
21 *6267:A2 *848:48 8.61936e-05
22 *843:7 *6265:B 0.000122378
23 *843:17 *6264:B1 3.00073e-05
24 *843:17 *848:48 3.92275e-05
25 *6248:A *843:7 2.61012e-05
26 *596:22 *6267:A2 8.39059e-05
27 *821:8 *6267:A2 0
28 *835:8 *843:7 0.000275256
*RES
1 *6259:X *843:7 21.6824
2 *843:7 *6263:A2 21.5747
3 *843:7 *843:17 1.832
4 *843:17 *843:19 4.5
5 *843:19 *6264:A2 9.24915
6 *843:19 *6261:A_N 18.3157
7 *843:17 *6267:A2 24.3606
*END
*D_NET *844 0.00122851
*CONN
*I *6261:B I *D sky130_fd_sc_hd__and3b_1
*I *6260:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6261:B 0.000196849
2 *6260:X 0.000196849
3 *6261:B *6262:A 0.000211478
4 *6261:B *1086:85 0.000623332
5 *6261:A_N *6261:B 0
*RES
1 *6260:X *6261:B 25.901
*END
*D_NET *845 0.00140803
*CONN
*I *6262:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6261:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6262:A 0.00042459
2 *6261:X 0.00042459
3 *6259:C *6262:A 0.000196623
4 *6261:B *6262:A 0.000211478
5 *591:59 *6262:A 0.00011758
6 *823:11 *6262:A 3.31733e-05
*RES
1 *6261:X *6262:A 38.3966
*END
*D_NET *846 0.00136223
*CONN
*I *6264:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6263:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6264:B1 0.000550207
2 *6263:Y 0.000550207
3 *6264:B1 *6267:A1 5.92342e-05
4 *6263:A2 *6264:B1 0.000139403
5 *6267:A2 *6264:B1 3.31736e-05
6 *827:18 *6264:B1 0
7 *843:17 *6264:B1 3.00073e-05
*RES
1 *6263:Y *6264:B1 37.5394
*END
*D_NET *847 0.00574469
*CONN
*I *6268:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6274:C I *D sky130_fd_sc_hd__and3_1
*I *6270:B I *D sky130_fd_sc_hd__and2_1
*I *6277:D I *D sky130_fd_sc_hd__and4_1
*I *6272:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6265:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6268:A_N 0
2 *6274:C 0
3 *6270:B 0
4 *6277:D 0.000518797
5 *6272:A2 0.000308324
6 *6265:X 0.000166588
7 *847:20 0.00108489
8 *847:13 0.000874958
9 *847:8 0.00078378
10 *6272:A2 *6272:A1 0.000100898
11 *6272:A2 *6273:B 0.000145052
12 *6272:A2 *6276:B 0.00014444
13 *6272:A2 *1309:13 0.000103139
14 *6277:D *6270:A 3.25584e-05
15 *6277:D *6277:A 1.10258e-05
16 *6277:D *6277:B 6.98314e-05
17 *6277:D *6277:C 6.92705e-05
18 *6277:D *1309:13 1.71154e-05
19 *6277:D *1310:14 6.73186e-05
20 *847:8 *6330:A 0.00011818
21 *847:8 *848:48 0
22 *847:13 *6232:B 0.000170592
23 *847:13 *6235:B 0.00025439
24 *847:13 *848:12 8.92568e-06
25 *847:13 *848:48 3.64661e-05
26 *847:13 *852:16 1.55462e-05
27 *847:13 *1310:27 0.000123582
28 *847:20 *6235:B 0
29 *847:20 *6273:A 7.65861e-05
30 *847:20 *6274:A 7.56332e-05
31 *847:20 *6275:A2 0.000200236
32 *847:20 *854:6 0
33 *847:20 *1309:24 0
34 *6235:C *847:8 0
35 *6235:C *847:13 0
36 *6248:A *847:13 3.83819e-05
37 *821:8 *847:8 0
38 *825:11 *847:13 3.65523e-05
39 *825:11 *847:20 4.75816e-05
40 *826:26 *847:13 4.40506e-05
*RES
1 *6265:X *847:8 17.2744
2 *847:8 *847:13 21.6321
3 *847:13 *847:20 15.6678
4 *847:20 *6272:A2 28.3141
5 *847:20 *6277:D 18.171
6 *847:20 *6270:B 9.24915
7 *847:13 *6274:C 9.24915
8 *847:8 *6268:A_N 13.7491
*END
*D_NET *848 0.0149344
*CONN
*I *6330:A I *D sky130_fd_sc_hd__and3_1
*I *6268:B I *D sky130_fd_sc_hd__and3b_1
*I *6297:B I *D sky130_fd_sc_hd__and3b_1
*I *6299:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6286:B I *D sky130_fd_sc_hd__and3b_1
*I *6266:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6330:A 0.00166793
2 *6268:B 0
3 *6297:B 6.48008e-05
4 *6299:B1_N 0.000172971
5 *6286:B 0.000286922
6 *6266:X 0
7 *848:48 0.00214207
8 *848:24 0.00060328
9 *848:12 0.00140052
10 *848:5 0.00122223
11 *6286:B *6234:B 2.33193e-05
12 *6286:B *6288:D 0.000148144
13 *6286:B *7084:A 8.04463e-05
14 *6286:B *859:37 0
15 *6297:B *6307:B1 0.000130859
16 *6299:B1_N *6291:A 2.71397e-05
17 *6299:B1_N *6751:CLK 0.000212491
18 *6299:B1_N *865:26 6.74667e-05
19 *6299:B1_N *869:7 0.000164829
20 *6299:B1_N *869:21 0.000358863
21 *6330:A *6265:A 4.66876e-05
22 *6330:A *6268:C 6.79599e-05
23 *6330:A *6330:C 5.61818e-05
24 *6330:A *6742:CLK 0.000209302
25 *848:12 *6225:A 6.50586e-05
26 *848:12 *6225:B 0.000477015
27 *848:12 *6235:B 5.04879e-05
28 *848:12 *6275:A1 0.000211464
29 *848:12 *6275:B1 0.000260388
30 *848:12 *7084:A 0.000145358
31 *848:12 *852:5 0.000150632
32 *848:24 *6307:B1 0.000683015
33 *848:24 *852:46 0.000755469
34 *848:24 *864:10 0.000441022
35 *848:48 *6232:B 4.11983e-05
36 *848:48 *6268:C 0.000111326
37 *6236:B *848:12 0.00034445
38 *6256:A *848:12 0.000261745
39 *6263:A2 *848:48 2.36813e-05
40 *6265:C *6330:A 1.03403e-05
41 *6267:A2 *6330:A 1.2693e-05
42 *6267:A2 *848:48 8.61936e-05
43 *6282:B1 *848:12 0
44 *6742:D *6330:A 6.07015e-05
45 *589:31 *6286:B 0.000304802
46 *589:31 *6299:B1_N 0.000527905
47 *596:22 *6330:A 0.000399544
48 *596:44 *6330:A 7.98171e-06
49 *818:15 *848:12 0.00014472
50 *821:8 *6330:A 0
51 *843:17 *848:48 3.92275e-05
52 *847:8 *6330:A 0.00011818
53 *847:8 *848:48 0
54 *847:13 *848:12 8.92568e-06
55 *847:13 *848:48 3.64661e-05
*RES
1 *6266:X *848:5 13.7491
2 *848:5 *848:12 38.1968
3 *848:12 *6286:B 21.2904
4 *848:12 *848:24 19.6431
5 *848:24 *6299:B1_N 27.8722
6 *848:24 *6297:B 11.1059
7 *848:5 *848:48 12.7018
8 *848:48 *6268:B 13.7491
9 *848:48 *6330:A 48.5921
*END
*D_NET *849 0.000562349
*CONN
*I *6268:C I *D sky130_fd_sc_hd__and3b_1
*I *6267:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6268:C 7.01162e-05
2 *6267:X 7.01162e-05
3 *6268:C *6232:A 6.50586e-05
4 *6267:A2 *6268:C 0.000177772
5 *6330:A *6268:C 6.79599e-05
6 *848:48 *6268:C 0.000111326
*RES
1 *6267:X *6268:C 31.2994
*END
*D_NET *850 0.00120379
*CONN
*I *6269:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6268:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6269:A 0.000399493
2 *6268:X 0.000399493
3 *6269:A *6232:A 1.83795e-06
4 *6269:A *6267:A1 6.46135e-05
5 *6269:A *1308:5 1.19513e-05
6 *596:22 *6269:A 0.000326398
7 *827:18 *6269:A 0
*RES
1 *6268:X *6269:A 36.599
*END
*D_NET *851 0.00231329
*CONN
*I *6275:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6273:A I *D sky130_fd_sc_hd__nor2_1
*I *6270:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6275:A2 0.000142751
2 *6273:A 0.000245036
3 *6270:X 4.18965e-05
4 *851:7 0.000429684
5 *6273:A *6273:B 2.89547e-05
6 *6273:A *6276:B 9.14346e-05
7 *6273:A *6747:CLK 0.000266846
8 *6273:A *7076:A 1.80227e-05
9 *6273:A *854:6 0
10 *6275:A2 *6235:B 0
11 *6275:A2 *6276:B 0.000115067
12 *851:7 *1309:13 6.50586e-05
13 *6747:D *6273:A 7.44658e-05
14 *589:15 *6273:A 0.000517249
15 *847:20 *6273:A 7.65861e-05
16 *847:20 *6275:A2 0.000200236
*RES
1 *6270:X *851:7 14.4725
2 *851:7 *6273:A 23.9509
3 *851:7 *6275:A2 19.3184
*END
*D_NET *852 0.0117765
*CONN
*I *6307:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6290:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6279:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6272:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6275:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6271:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6307:B1 0.00132562
2 *6290:B1 0
3 *6279:B1 0
4 *6272:B1 0.000281317
5 *6275:B1 0.000250108
6 *6271:X 6.39733e-05
7 *852:46 0.00200655
8 *852:30 0.00107348
9 *852:16 0.00100969
10 *852:5 0.000649916
11 *6272:B1 *6276:A 0.000118166
12 *6272:B1 *6276:B 0.000224395
13 *6272:B1 *7076:A 0.000188654
14 *6272:B1 *1286:8 0
15 *6272:B1 *1286:23 0
16 *6275:B1 *6275:A1 4.82966e-05
17 *6307:B1 *6297:A_N 0.000253916
18 *6307:B1 *6298:A 5.4694e-06
19 *6307:B1 *1292:7 4.31324e-05
20 *852:16 *6276:A 2.39535e-05
21 *852:16 *854:6 8.18789e-05
22 *852:16 *1286:23 0
23 *852:16 *1310:10 9.14201e-05
24 *852:16 *1310:14 0.000170607
25 *852:16 *1310:27 0.000222699
26 *852:30 *6279:A2 0.000197206
27 *852:30 *856:9 2.39581e-05
28 *852:30 *1310:10 6.92705e-05
29 *852:46 *6280:A 0.000141714
30 *852:46 *6280:B 0.000242225
31 *852:46 *6282:A1 0.000198646
32 *852:46 *6282:A2 2.65831e-05
33 *852:46 *6283:B1 4.89898e-06
34 *852:46 *6290:A1 2.61147e-05
35 *852:46 *6291:B 1.43983e-05
36 *852:46 *856:9 7.07409e-05
37 *852:46 *859:37 0.00048572
38 *852:46 *1286:23 0
39 *6297:B *6307:B1 0.000130859
40 *6754:D *6307:B1 3.18826e-06
41 *86:8 *6307:B1 4.38203e-05
42 *86:26 *6307:B1 2.37478e-05
43 *823:11 *852:46 0
44 *826:26 *852:16 7.50722e-05
45 *847:13 *852:16 1.55462e-05
46 *848:12 *6275:B1 0.000260388
47 *848:12 *852:5 0.000150632
48 *848:24 *6307:B1 0.000683015
49 *848:24 *852:46 0.000755469
*RES
1 *6271:X *852:5 11.0817
2 *852:5 *6275:B1 15.5427
3 *852:5 *852:16 16.298
4 *852:16 *6272:B1 22.2658
5 *852:16 *852:30 11.7581
6 *852:30 *6279:B1 9.24915
7 *852:30 *852:46 33.2651
8 *852:46 *6290:B1 9.24915
9 *852:46 *6307:B1 45.116
*END
*D_NET *853 0.0011463
*CONN
*I *6273:B I *D sky130_fd_sc_hd__nor2_1
*I *6272:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6273:B 0.000164982
2 *6272:Y 0.000164982
3 *6273:B *6272:A1 0.000122083
4 *6273:B *6747:CLK 0.000217923
5 *6273:B *7076:A 0.000274066
6 *6272:A2 *6273:B 0.000145052
7 *6273:A *6273:B 2.89547e-05
8 *589:15 *6273:B 2.82583e-05
*RES
1 *6272:Y *6273:B 35.0987
*END
*D_NET *854 0.00201398
*CONN
*I *6279:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6276:A I *D sky130_fd_sc_hd__nor2_1
*I *6274:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6279:A2 0.000167166
2 *6276:A 0.000145764
3 *6274:X 0.000180243
4 *854:6 0.000493172
5 *6276:A *6276:B 0
6 *6276:A *7076:A 0.000144531
7 *6279:A2 *7076:A 5.04829e-06
8 *6279:A2 *856:9 1.61631e-05
9 *6279:A2 *1310:10 6.50727e-05
10 *854:6 *6276:B 0
11 *854:6 *1309:24 0.000101148
12 *854:6 *1310:14 0.000151741
13 *6272:B1 *6276:A 0.000118166
14 *6273:A *854:6 0
15 *6747:D *6276:A 0
16 *6747:D *854:6 0
17 *589:15 *6279:A2 0.000122727
18 *847:20 *854:6 0
19 *852:16 *6276:A 2.39535e-05
20 *852:16 *854:6 8.18789e-05
21 *852:30 *6279:A2 0.000197206
*RES
1 *6274:X *854:6 19.7337
2 *854:6 *6276:A 18.5201
3 *854:6 *6279:A2 20.7755
*END
*D_NET *855 0.0015662
*CONN
*I *6276:B I *D sky130_fd_sc_hd__nor2_1
*I *6275:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6276:B 0.00049543
2 *6275:Y 0.00049543
3 *6276:B *6272:A1 0
4 *6276:B *6747:CLK 0
5 *6272:A2 *6276:B 0.00014444
6 *6272:B1 *6276:B 0.000224395
7 *6273:A *6276:B 9.14346e-05
8 *6275:A2 *6276:B 0.000115067
9 *6276:A *6276:B 0
10 *6747:D *6276:B 0
11 *826:10 *6276:B 0
12 *854:6 *6276:B 0
*RES
1 *6275:Y *6276:B 42.514
*END
*D_NET *856 0.00269597
*CONN
*I *6288:D I *D sky130_fd_sc_hd__and4_1
*I *6278:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6277:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6288:D 0.000192678
2 *6278:A 0
3 *6277:X 0.000310881
4 *856:9 0.000503559
5 *6288:D *6234:B 5.41377e-05
6 *6288:D *6285:A2 0.000307023
7 *6288:D *6286:A_N 6.50727e-05
8 *6288:D *6288:B 6.79599e-05
9 *6288:D *6290:A1 6.50727e-05
10 *6288:D *859:37 0.000171288
11 *856:9 *6277:C 0.00012568
12 *856:9 *6280:B 4.12533e-05
13 *856:9 *6285:A2 0.000113968
14 *856:9 *857:7 6.50586e-05
15 *856:9 *1286:23 1.07248e-05
16 *6279:A2 *856:9 1.61631e-05
17 *6286:B *6288:D 0.000148144
18 *589:15 *856:9 0.000326017
19 *589:31 *6288:D 1.65872e-05
20 *852:30 *856:9 2.39581e-05
21 *852:46 *856:9 7.07409e-05
*RES
1 *6277:X *856:9 30.0934
2 *856:9 *6278:A 9.24915
3 *856:9 *6288:D 26.2407
*END
*D_NET *857 0.00492071
*CONN
*I *6284:C I *D sky130_fd_sc_hd__and3_1
*I *6283:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6282:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6280:A I *D sky130_fd_sc_hd__nor2_1
*I *6285:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6278:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6284:C 0.000230375
2 *6283:A2 6.34962e-05
3 *6282:A2 1.29932e-05
4 *6280:A 9.4275e-05
5 *6285:A2 0.000217415
6 *6278:X 2.04392e-05
7 *857:38 0.000441489
8 *857:23 0.00021826
9 *857:8 0.000367151
10 *857:7 0.000206801
11 *6280:A *6283:B1 2.65667e-05
12 *6282:A2 *6283:B1 2.15348e-05
13 *6283:A2 *6234:A 6.01944e-06
14 *6283:A2 *6283:B1 0.000118485
15 *6283:A2 *7083:A 6.66538e-05
16 *6283:A2 *1287:8 5.22654e-06
17 *6284:C *6234:A 0.000107496
18 *6284:C *6234:C_N 0.0002817
19 *6284:C *6234:D_N 2.15348e-05
20 *6284:C *7084:A 9.82896e-06
21 *6284:C *1289:7 3.55855e-05
22 *6285:A2 *6285:A1 1.92172e-05
23 *6285:A2 *6286:A_N 9.16028e-05
24 *6285:A2 *6286:C 0.000477015
25 *6285:A2 *6290:A2 0.000426168
26 *6285:A2 *1288:29 7.5032e-05
27 *857:8 *6283:B1 1.55462e-05
28 *857:23 *6283:B1 3.42931e-05
29 *857:23 *7084:A 0
30 *857:38 *6283:B1 0.000210977
31 *857:38 *7083:A 2.96862e-05
32 *6288:D *6285:A2 0.000307023
33 *589:15 *6285:A2 0.000313495
34 *823:11 *857:23 0
35 *852:46 *6280:A 0.000141714
36 *852:46 *6282:A2 2.65831e-05
37 *856:9 *6285:A2 0.000113968
38 *856:9 *857:7 6.50586e-05
*RES
1 *6278:X *857:7 14.4725
2 *857:7 *857:8 1.00149
3 *857:8 *6285:A2 25.7575
4 *857:8 *857:23 7.1625
5 *857:23 *6280:A 12.7456
6 *857:23 *6282:A2 9.97254
7 *857:7 *857:38 4.64105
8 *857:38 *6283:A2 16.4116
9 *857:38 *6284:C 20.3803
*END
*D_NET *858 0.000646655
*CONN
*I *6280:B I *D sky130_fd_sc_hd__nor2_1
*I *6279:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6280:B 0.000178754
2 *6279:Y 0.000178754
3 *6280:B *6277:C 5.66868e-06
4 *6280:B *1310:10 0
5 *852:46 *6280:B 0.000242225
6 *856:9 *6280:B 4.12533e-05
*RES
1 *6279:Y *6280:B 33.1026
*END
*D_NET *859 0.00462488
*CONN
*I *6288:A I *D sky130_fd_sc_hd__and4_1
*I *6282:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6285:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6284:A I *D sky130_fd_sc_hd__and3_1
*I *6283:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6281:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6288:A 0
2 *6282:A1 8.9376e-05
3 *6285:A1 6.42053e-05
4 *6284:A 3.25609e-05
5 *6283:A1 0.000281858
6 *6281:X 0
7 *859:37 0.00032005
8 *859:23 0.000440381
9 *859:14 0.000506669
10 *859:4 0.000610464
11 *6282:A1 *6283:B1 5.04829e-06
12 *6283:A1 *6281:A 7.48797e-05
13 *6283:A1 *6283:B1 0.000111708
14 *6283:A1 *1085:24 6.50727e-05
15 *6284:A *1289:7 1.43848e-05
16 *6285:A1 *6286:C 1.19856e-05
17 *6285:A1 *6290:A2 0.000171288
18 *859:14 *6287:A 2.36494e-05
19 *859:14 *6291:B 0
20 *859:14 *1085:24 0.000418415
21 *859:23 *6287:A 4.26935e-05
22 *859:23 *6291:B 0
23 *859:37 *6290:A1 0.00011581
24 *859:37 *6290:A2 4.08958e-05
25 *6285:A2 *6285:A1 1.92172e-05
26 *6286:B *859:37 0
27 *6288:D *859:37 0.000171288
28 *589:31 *6285:A1 0.000308618
29 *852:46 *6282:A1 0.000198646
30 *852:46 *859:37 0.00048572
*RES
1 *6281:X *859:4 9.24915
2 *859:4 *6283:A1 13.8548
3 *859:4 *859:14 10.9376
4 *859:14 *6284:A 14.4725
5 *859:14 *859:23 3.493
6 *859:23 *6285:A1 17.6796
7 *859:23 *859:37 13.5685
8 *859:37 *6282:A1 12.191
9 *859:37 *6288:A 9.24915
*END
*D_NET *860 0.00170034
*CONN
*I *6283:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6282:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6283:B1 0.00046576
2 *6282:Y 0.00046576
3 *6283:B1 *6234:A 0.000139435
4 *6283:B1 *7084:A 6.73704e-05
5 *6280:A *6283:B1 2.65667e-05
6 *6282:A1 *6283:B1 5.04829e-06
7 *6282:A2 *6283:B1 2.15348e-05
8 *6283:A1 *6283:B1 0.000111708
9 *6283:A2 *6283:B1 0.000118485
10 *6749:D *6283:B1 1.2954e-05
11 *852:46 *6283:B1 4.89898e-06
12 *857:8 *6283:B1 1.55462e-05
13 *857:23 *6283:B1 3.42931e-05
14 *857:38 *6283:B1 0.000210977
*RES
1 *6282:Y *6283:B1 40.1941
*END
*D_NET *861 0.0020963
*CONN
*I *6290:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6286:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6284:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6290:A2 0.000175264
2 *6286:A_N 4.61121e-05
3 *6284:X 7.09964e-05
4 *861:8 0.000292372
5 *6290:A2 *6291:B 0.000137936
6 *861:8 *6287:A 2.99929e-05
7 *861:8 *1289:19 0.000116971
8 *6285:A1 *6290:A2 0.000171288
9 *6285:A2 *6286:A_N 9.16028e-05
10 *6285:A2 *6290:A2 0.000426168
11 *6288:D *6286:A_N 6.50727e-05
12 *589:31 *6286:A_N 0.000207266
13 *589:31 *6290:A2 0.000224366
14 *602:61 *6290:A2 0
15 *859:37 *6290:A2 4.08958e-05
*RES
1 *6284:X *861:8 20.4964
2 *861:8 *6286:A_N 11.6364
3 *861:8 *6290:A2 26.3478
*END
*D_NET *862 0.0014315
*CONN
*I *6286:C I *D sky130_fd_sc_hd__and3b_1
*I *6285:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6286:C 0.000116667
2 *6285:X 0.000116667
3 *6286:C *1288:29 0.000629893
4 *6285:A1 *6286:C 1.19856e-05
5 *6285:A2 *6286:C 0.000477015
6 *589:31 *6286:C 7.92715e-05
*RES
1 *6285:X *6286:C 26.4315
*END
*D_NET *863 0.000966981
*CONN
*I *6287:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6286:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6287:A 0.000424968
2 *6286:X 0.000424968
3 *6287:A *6234:A 0
4 *6287:A *6234:C_N 1.50262e-05
5 *6287:A *6291:B 0
6 *6287:A *1085:24 0
7 *6287:A *1288:8 0
8 *6287:A *1289:19 5.68225e-06
9 *859:14 *6287:A 2.36494e-05
10 *859:23 *6287:A 4.26935e-05
11 *861:8 *6287:A 2.99929e-05
*RES
1 *6286:X *6287:A 38.0828
*END
*D_NET *864 0.00278057
*CONN
*I *6302:C I *D sky130_fd_sc_hd__and4_1
*I *6289:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6288:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6302:C 0.000319051
2 *6289:A 0
3 *6288:X 0.000359388
4 *864:10 0.000678438
5 *6302:C *6295:A 7.48797e-05
6 *6302:C *6296:A1 1.92172e-05
7 *6302:C *6296:A2 0.00011818
8 *6302:C *6302:B 6.50727e-05
9 *6302:C *6751:CLK 0.000144546
10 *6302:C *865:23 0.000419724
11 *6302:C *867:24 0.000101133
12 *6302:C *1087:20 2.65831e-05
13 *864:10 *865:9 9.34396e-06
14 *602:61 *864:10 0
15 *818:15 *6302:C 3.99086e-06
16 *848:24 *864:10 0.000441022
*RES
1 *6288:X *864:10 25.5173
2 *864:10 *6289:A 9.24915
3 *864:10 *6302:C 29.5683
*END
*D_NET *865 0.00483579
*CONN
*I *6296:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6295:C I *D sky130_fd_sc_hd__and3_1
*I *6291:A I *D sky130_fd_sc_hd__nor2_1
*I *6293:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6294:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6289:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6296:A2 7.70438e-05
2 *6295:C 1.97539e-05
3 *6291:A 0.000453549
4 *6293:A2 0
5 *6294:A2 0.000421706
6 *6289:X 0
7 *865:26 0.000630814
8 *865:23 0.000372286
9 *865:9 0.000708853
10 *865:4 0.000424879
11 *6291:A *6295:A 1.79196e-05
12 *6291:A *6751:CLK 5.29763e-05
13 *6291:A *1085:24 0.000530137
14 *6291:A *1291:8 0
15 *6294:A2 *6294:A1 0.000113033
16 *6296:A2 *6296:A1 1.00846e-05
17 *865:9 *6294:A1 1.41291e-05
18 *865:9 *867:7 1.00846e-05
19 *865:26 *6295:A 0.00013206
20 *865:26 *6751:CLK 3.9739e-05
21 *6293:B1 *6294:A2 5.56461e-05
22 *6293:B1 *865:9 7.34948e-06
23 *6299:B1_N *6291:A 2.71397e-05
24 *6299:B1_N *865:26 6.74667e-05
25 *6302:C *6296:A2 0.00011818
26 *6302:C *865:23 0.000419724
27 *589:31 *6295:C 3.79145e-06
28 *591:59 *6294:A2 3.62662e-06
29 *602:61 *6291:A 0
30 *602:61 *865:9 9.4477e-05
31 *864:10 *865:9 9.34396e-06
*RES
1 *6289:X *865:4 9.24915
2 *865:4 *865:9 16.2625
3 *865:9 *6294:A2 16.9171
4 *865:9 *6293:A2 9.24915
5 *865:4 *865:23 4.60562
6 *865:23 *865:26 10.0693
7 *865:26 *6291:A 27.1095
8 *865:26 *6295:C 14.0144
9 *865:23 *6296:A2 11.6605
*END
*D_NET *866 0.00114055
*CONN
*I *6291:B I *D sky130_fd_sc_hd__nor2_1
*I *6290:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6291:B 0.000401639
2 *6290:Y 0.000401639
3 *6291:B *1288:8 4.10825e-05
4 *6291:B *1288:17 3.04973e-05
5 *6291:B *1288:29 8.62321e-06
6 *6287:A *6291:B 0
7 *6290:A2 *6291:B 0.000137936
8 *6751:D *6291:B 0.000104731
9 *852:46 *6291:B 1.43983e-05
10 *859:14 *6291:B 0
11 *859:23 *6291:B 0
*RES
1 *6290:Y *6291:B 37.113
*END
*D_NET *867 0.00333538
*CONN
*I *6302:A I *D sky130_fd_sc_hd__and4_1
*I *6296:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6295:A I *D sky130_fd_sc_hd__and3_1
*I *6293:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6294:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6292:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6302:A 0
2 *6296:A1 0.000127537
3 *6295:A 0.00031385
4 *6293:A1 0
5 *6294:A1 8.6148e-05
6 *6292:X 2.04708e-05
7 *867:24 0.000527507
8 *867:20 0.000190248
9 *867:7 0.000241714
10 *867:5 0.000280165
11 *6294:A1 *6294:B1 0.00033061
12 *6296:A1 *6297:C 4.28856e-07
13 *867:20 *1087:10 7.58595e-05
14 *867:24 *6751:CLK 2.5386e-05
15 *867:24 *1087:10 1.2693e-05
16 *6291:A *6295:A 1.79196e-05
17 *6293:B1 *6294:A1 0.000118166
18 *6294:A2 *6294:A1 0.000113033
19 *6296:A2 *6296:A1 1.00846e-05
20 *6302:C *6295:A 7.48797e-05
21 *6302:C *6296:A1 1.92172e-05
22 *6302:C *867:24 0.000101133
23 *591:59 *6294:A1 0.000110567
24 *591:59 *867:5 2.65831e-05
25 *591:59 *867:7 0.000236357
26 *602:61 *6295:A 0.000118553
27 *602:61 *867:20 0
28 *602:61 *867:24 0
29 *865:9 *6294:A1 1.41291e-05
30 *865:9 *867:7 1.00846e-05
31 *865:26 *6295:A 0.00013206
*RES
1 *6292:X *867:5 9.97254
2 *867:5 *867:7 5.71483
3 *867:7 *6294:A1 15.5186
4 *867:7 *6293:A1 9.24915
5 *867:5 *867:20 7.57775
6 *867:20 *867:24 7.57775
7 *867:24 *6295:A 27.312
8 *867:24 *6296:A1 11.0817
9 *867:20 *6302:A 13.7491
*END
*D_NET *868 0.000710382
*CONN
*I *6294:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6293:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6294:B1 2.45814e-05
2 *6293:Y 2.45814e-05
3 *6294:A1 *6294:B1 0.00033061
4 *591:59 *6294:B1 0.00033061
*RES
1 *6293:Y *6294:B1 21.9947
*END
*D_NET *869 0.00483736
*CONN
*I *6299:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6303:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6300:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6297:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6295:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6299:A2 1.05943e-05
2 *6303:A2 0.000331927
3 *6300:A2 5.19892e-05
4 *6297:A_N 0.000159904
5 *6295:X 8.84048e-05
6 *869:24 0.000651117
7 *869:21 0.000483585
8 *869:7 0.000454098
9 *6297:A_N *6297:C 3.31733e-05
10 *6297:A_N *6751:CLK 5.01813e-05
11 *6297:A_N *1291:19 0.000149643
12 *6299:A2 *6299:A1 6.92705e-05
13 *6299:A2 *6300:B1 1.41853e-05
14 *6300:A2 *6300:A1 6.50586e-05
15 *6303:A2 *6228:D_N 0
16 *6303:A2 *6301:B 1.19856e-05
17 *6303:A2 *6304:C 0.000132219
18 *6303:A2 *6754:CLK 8.51085e-05
19 *6303:A2 *874:11 0.00017422
20 *6303:A2 *874:19 0.000530151
21 *6303:A2 *1291:26 0
22 *6303:A2 *1292:26 1.14755e-05
23 *6303:A2 *1292:29 5.2472e-05
24 *869:21 *6300:B1 1.41976e-05
25 *869:24 *6298:A 0
26 *869:24 *6299:A1 2.22923e-05
27 *869:24 *6754:CLK 8.85525e-05
28 *869:24 *1291:19 0
29 *869:24 *1291:26 0
30 *869:24 *1292:17 2.31017e-05
31 *6299:B1_N *869:7 0.000164829
32 *6299:B1_N *869:21 0.000358863
33 *6304:B *6303:A2 0
34 *6307:B1 *6297:A_N 0.000253916
35 *589:31 *6299:A2 0.000122378
36 *589:31 *869:21 6.50954e-05
37 *818:15 *6303:A2 0.000113374
*RES
1 *6295:X *869:7 11.6605
2 *869:7 *6297:A_N 23.8535
3 *869:7 *869:21 6.29355
4 *869:21 *869:24 11.315
5 *869:24 *6300:A2 15.0271
6 *869:24 *6303:A2 26.9971
7 *869:21 *6299:A2 10.5271
*END
*D_NET *870 0.000788069
*CONN
*I *6297:C I *D sky130_fd_sc_hd__and3b_1
*I *6296:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6297:C 0.00026765
2 *6296:X 0.00026765
3 *6297:C *6751:CLK 0.000167594
4 *6297:C *1291:19 5.1573e-05
5 *6296:A1 *6297:C 4.28856e-07
6 *6297:A_N *6297:C 3.31733e-05
*RES
1 *6296:X *6297:C 32.9632
*END
*D_NET *871 0.000776743
*CONN
*I *6298:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6297:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6298:A 0.000313974
2 *6297:X 0.000313974
3 *6298:A *1291:8 8.07794e-05
4 *6298:A *1291:19 6.25467e-05
5 *6307:B1 *6298:A 5.4694e-06
6 *869:24 *6298:A 0
*RES
1 *6297:X *6298:A 34.7608
*END
*D_NET *872 0.00124357
*CONN
*I *6300:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6299:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *6300:B1 0.000288889
2 *6299:Y 0.000288889
3 *6300:B1 *6299:A1 0.000189641
4 *6300:B1 *1292:17 0.00024873
5 *6300:B1 *1292:26 3.31882e-05
6 *6299:A2 *6300:B1 1.41853e-05
7 *6754:D *6300:B1 0.000151726
8 *589:31 *6300:B1 1.41291e-05
9 *869:21 *6300:B1 1.41976e-05
*RES
1 *6299:Y *6300:B1 35.87
*END
*D_NET *873 0.00188744
*CONN
*I *6302:D I *D sky130_fd_sc_hd__and4_1
*I *6301:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6302:D 0.000663302
2 *6301:X 0.000663302
3 *6302:D *6301:B 3.00073e-05
4 *6302:D *6302:B 6.50586e-05
5 *6302:D *6303:B1 5.39463e-05
6 *6302:D *6756:CLK 0.000134715
7 *6302:D *1087:20 0.000277109
8 *6302:D *1292:26 0
9 *86:26 *6302:D 0
*RES
1 *6301:X *6302:D 45.1589
*END
*D_NET *874 0.00645449
*CONN
*I *6309:C I *D sky130_fd_sc_hd__and3_1
*I *6312:D I *D sky130_fd_sc_hd__and4_1
*I *6307:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6306:B I *D sky130_fd_sc_hd__and2_1
*I *6304:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6302:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6309:C 0.000167265
2 *6312:D 9.34217e-05
3 *6307:A2 0.000425669
4 *6306:B 0
5 *6304:A_N 0
6 *6302:X 0.000145425
7 *874:37 0.000436433
8 *874:27 0.000658037
9 *874:19 0.000282852
10 *874:11 0.000371654
11 *6307:A2 *6307:A1 0.000132863
12 *6307:A2 *6308:B 6.12686e-06
13 *6307:A2 *1294:17 3.22726e-05
14 *6309:C *1294:37 1.32509e-05
15 *6309:C *1295:13 0.000363279
16 *6312:D *6226:A 8.41339e-05
17 *6312:D *6309:B 6.99486e-05
18 *6312:D *1295:13 6.50586e-05
19 *874:11 *6228:D_N 0
20 *874:11 *6304:C 7.92757e-06
21 *874:11 *1087:20 0.000387915
22 *874:19 *6301:B 1.00937e-05
23 *874:19 *6306:A 1.4946e-05
24 *874:27 *6306:A 5.04829e-06
25 *874:27 *877:8 2.65667e-05
26 *874:37 *6310:A2 0.000165563
27 *874:37 *877:8 0.000123582
28 *874:37 *1294:17 1.32509e-05
29 *874:37 *1294:28 7.23005e-05
30 *874:37 *1294:37 1.50262e-05
31 *6303:A2 *874:11 0.00017422
32 *6303:A2 *874:19 0.000530151
33 *6304:B *874:11 9.35753e-06
34 *83:8 *6307:A2 0
35 *83:8 *874:37 0
36 *86:8 *6307:A2 0
37 *86:26 *6307:A2 0
38 *591:66 *874:11 0.000107496
39 *591:66 *874:19 0.00102345
40 *591:66 *874:27 3.61993e-05
41 *818:15 *874:11 0.000383703
*RES
1 *6302:X *874:11 25.8254
2 *874:11 *6304:A_N 9.24915
3 *874:11 *874:19 12.2495
4 *874:19 *6306:B 9.24915
5 *874:19 *874:27 6.3326
6 *874:27 *6307:A2 24.8911
7 *874:27 *874:37 6.39977
8 *874:37 *6312:D 17.2697
9 *874:37 *6309:C 18.6087
*END
*D_NET *875 0.000698261
*CONN
*I *6304:C I *D sky130_fd_sc_hd__and3b_1
*I *6303:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6304:C 0.000156329
2 *6303:X 0.000156329
3 *6304:C *1292:29 0.000171288
4 *6303:A2 *6304:C 0.000132219
5 *591:59 *6304:C 6.92705e-05
6 *591:66 *6304:C 4.89898e-06
7 *874:11 *6304:C 7.92757e-06
*RES
1 *6303:X *6304:C 23.6585
*END
*D_NET *876 0.00108843
*CONN
*I *6305:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6304:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6305:A 0.000288265
2 *6304:X 0.000288265
3 *6305:A *6228:D_N 0
4 *6228:C_N *6305:A 0
5 *6304:B *6305:A 0.000511897
6 *6755:D *6305:A 0
*RES
1 *6304:X *6305:A 36.3896
*END
*D_NET *877 0.00229819
*CONN
*I *6310:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6308:A I *D sky130_fd_sc_hd__nor2_1
*I *6306:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6310:A2 0.000322923
2 *6308:A 0.000119502
3 *6306:X 0.000157292
4 *877:8 0.000599717
5 *6310:A2 *6309:B 0.000326398
6 *6310:A2 *1295:11 5.49373e-05
7 *6310:A2 *1295:13 0.000183145
8 *6310:B1 *6310:A2 0.000215704
9 *83:8 *6310:A2 0
10 *83:8 *877:8 0
11 *591:66 *877:8 2.86013e-06
12 *874:27 *877:8 2.65667e-05
13 *874:37 *6310:A2 0.000165563
14 *874:37 *877:8 0.000123582
*RES
1 *6306:X *877:8 17.2744
2 *877:8 *6308:A 16.1364
3 *877:8 *6310:A2 25.3147
*END
*D_NET *878 0.00137494
*CONN
*I *6308:B I *D sky130_fd_sc_hd__nor2_1
*I *6307:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6308:B 0.000315049
2 *6307:Y 0.000315049
3 *6308:B *6307:A1 5.60693e-05
4 *6308:B *1294:28 2.5386e-05
5 *6307:A2 *6308:B 6.12686e-06
6 *6756:D *6308:B 0.000341237
7 *86:26 *6308:B 0.000316025
*RES
1 *6307:Y *6308:B 38.2222
*END
*D_NET *879 0.00140265
*CONN
*I *6314:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6311:A I *D sky130_fd_sc_hd__nor2_1
*I *6309:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6314:A2 8.46581e-06
2 *6311:A 7.42916e-05
3 *6309:X 0.000251249
4 *879:9 0.000334007
5 *6311:A *6311:B 0.000152131
6 *6311:A *6315:B 2.61012e-05
7 *6314:A2 *6311:B 3.14978e-05
8 *879:9 *6226:B 0
9 *879:9 *1294:37 0
10 *6757:D *879:9 6.74811e-05
11 *596:44 *6311:A 0.000402638
12 *596:44 *6314:A2 2.15348e-05
13 *596:44 *879:9 1.09551e-05
14 *600:45 *879:9 0
15 *841:43 *879:9 2.22923e-05
*RES
1 *6309:X *879:9 23.9819
2 *879:9 *6311:A 14.4335
3 *879:9 *6314:A2 9.97254
*END
*D_NET *880 0.00216318
*CONN
*I *6311:B I *D sky130_fd_sc_hd__nor2_1
*I *6310:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6311:B 0.000338022
2 *6310:Y 0.000338022
3 *6311:B *6315:B 0.000483488
4 *6310:B1 *6311:B 3.82228e-05
5 *6311:A *6311:B 0.000152131
6 *6314:A2 *6311:B 3.14978e-05
7 *588:46 *6311:B 0.000372364
8 *595:58 *6311:B 0.000372364
9 *596:44 *6311:B 3.70723e-05
*RES
1 *6310:Y *6311:B 42.9646
*END
*D_NET *881 0.00218619
*CONN
*I *6313:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6323:D I *D sky130_fd_sc_hd__and4_1
*I *6312:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6313:A 0
2 *6323:D 0.000176158
3 *6312:X 0.000736649
4 *881:10 0.000912807
5 *6323:D *889:5 6.50727e-05
6 *6323:D *889:9 6.08467e-05
7 *881:10 *6226:A 0
8 *881:10 *6315:A 0.000111274
9 *881:10 *6325:A 0
10 *881:10 *1087:43 0
11 *881:10 *1087:56 0
12 *881:10 *1087:65 0
13 *6229:B *881:10 0
14 *86:26 *881:10 0
15 *588:43 *6323:D 0.000123387
*RES
1 *6312:X *881:10 33.9589
2 *881:10 *6323:D 14.9881
3 *881:10 *6313:A 9.24915
*END
*D_NET *882 0.00380156
*CONN
*I *6317:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6318:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6319:C I *D sky130_fd_sc_hd__and3_1
*I *6320:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6315:A I *D sky130_fd_sc_hd__nor2_1
*I *6313:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6317:A2 0
2 *6318:A2 0.000196657
3 *6319:C 0.000198238
4 *6320:A2 0
5 *6315:A 0.000190504
6 *6313:X 0
7 *882:22 0.00022705
8 *882:20 0.000327392
9 *882:16 0.000340121
10 *882:5 0.000428702
11 *6318:A2 *6318:A1 0.000346348
12 *6318:A2 *6322:A 0
13 *6318:A2 *1087:74 0
14 *6319:C *6320:B1 0.000217951
15 *6319:C *6321:C 0.000103943
16 *6319:C *884:13 2.41483e-05
17 *6319:C *884:15 4.82966e-05
18 *6319:C *1299:13 0.000266846
19 *882:16 *6318:A1 0
20 *882:16 *884:9 2.41274e-06
21 *882:16 *884:11 1.00846e-05
22 *882:20 *6317:A1 1.09551e-05
23 *882:20 *6318:B1 1.43983e-05
24 *882:20 *884:11 0.000217951
25 *882:20 *884:13 4.88955e-05
26 *882:22 *884:13 0.000122378
27 *6317:B1 *6319:C 3.52282e-05
28 *6317:B1 *882:16 1.79807e-05
29 *6758:D *6315:A 0.000101133
30 *6759:D *6318:A2 2.5386e-05
31 *86:26 *6315:A 0
32 *86:26 *882:16 0
33 *835:31 *6319:C 0.000167286
34 *881:10 *6315:A 0.000111274
*RES
1 *6313:X *882:5 13.7491
2 *882:5 *6315:A 20.1489
3 *882:5 *882:16 9.5469
4 *882:16 *882:20 3.93045
5 *882:20 *882:22 1.278
6 *882:22 *6320:A2 9.24915
7 *882:22 *6319:C 18.4604
8 *882:20 *6318:A2 25.0642
9 *882:16 *6317:A2 9.24915
*END
*D_NET *883 0.00170225
*CONN
*I *6315:B I *D sky130_fd_sc_hd__nor2_1
*I *6314:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6315:B 0.000573551
2 *6314:Y 0.000573551
3 *6311:A *6315:B 2.61012e-05
4 *6311:B *6315:B 0.000483488
5 *596:44 *6315:B 4.55574e-05
*RES
1 *6314:Y *6315:B 28.5294
*END
*D_NET *884 0.00386152
*CONN
*I *6317:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6318:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6320:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6319:A I *D sky130_fd_sc_hd__and3_1
*I *6323:A I *D sky130_fd_sc_hd__and4_1
*I *6316:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6317:A1 1.73264e-05
2 *6318:A1 0.000127728
3 *6320:A1 0
4 *6319:A 0
5 *6323:A 0.000125548
6 *6316:X 0.000172639
7 *884:15 0.000189301
8 *884:13 8.02054e-05
9 *884:11 0.00021926
10 *884:9 0.000265045
11 *6318:A1 *6318:B1 7.90257e-05
12 *6323:A *6323:B 0.000164829
13 *6323:A *6324:A2 0
14 *6323:A *889:9 7.22498e-05
15 *884:15 *6320:B1 0.000203753
16 *884:15 *1299:13 0.000213725
17 *6304:B *6323:A 0.000134323
18 *6317:B1 *6318:A1 2.22342e-05
19 *6317:B1 *884:11 0.000102003
20 *6317:B1 *884:13 0.00021243
21 *6317:B1 *884:15 0.000523693
22 *6318:A2 *6318:A1 0.000346348
23 *6319:C *884:13 2.41483e-05
24 *6319:C *884:15 4.82966e-05
25 *6759:D *6318:A1 0.000104731
26 *882:16 *6318:A1 0
27 *882:16 *884:9 2.41274e-06
28 *882:16 *884:11 1.00846e-05
29 *882:20 *6317:A1 1.09551e-05
30 *882:20 *884:11 0.000217951
31 *882:20 *884:13 4.88955e-05
32 *882:22 *884:13 0.000122378
*RES
1 *6316:X *884:9 12.9385
2 *884:9 *884:11 2.94181
3 *884:11 *884:13 2.38721
4 *884:13 *884:15 5.71483
5 *884:15 *6323:A 22.7442
6 *884:15 *6319:A 9.24915
7 *884:13 *6320:A1 9.24915
8 *884:11 *6318:A1 24.6489
9 *884:9 *6317:A1 9.82786
*END
*D_NET *885 0.000637283
*CONN
*I *6318:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6317:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6318:B1 0.000208333
2 *6317:Y 0.000208333
3 *6318:A1 *6318:B1 7.90257e-05
4 *6759:D *6318:B1 0.000127194
5 *86:26 *6318:B1 0
6 *882:20 *6318:B1 1.43983e-05
*RES
1 *6317:Y *6318:B1 33.3757
*END
*D_NET *886 0.000783741
*CONN
*I *6321:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6324:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6319:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6321:A_N 0.00011365
2 *6324:A2 0.000187389
3 *6319:X 0
4 *886:5 0.000301039
5 *6321:A_N *1087:83 0.00011818
6 *6321:A_N *1299:8 2.32311e-05
7 *6321:A_N *1299:13 3.67528e-06
8 *6324:A2 *1299:13 2.58518e-05
9 *6324:A2 *1300:22 1.07248e-05
10 *6304:B *6324:A2 0
11 *6323:A *6324:A2 0
*RES
1 *6319:X *886:5 13.7491
2 *886:5 *6324:A2 18.0727
3 *886:5 *6321:A_N 16.8591
*END
*D_NET *887 0.000686243
*CONN
*I *6321:C I *D sky130_fd_sc_hd__and3b_1
*I *6320:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6321:C 0.000238063
2 *6320:X 0.000238063
3 *6321:C *6760:CLK 0
4 *6321:C *1087:74 0
5 *6321:C *1299:8 3.11022e-05
6 *6319:C *6321:C 0.000103943
7 *6321:B *6321:C 7.50722e-05
*RES
1 *6320:X *6321:C 32.9632
*END
*D_NET *888 0.000839158
*CONN
*I *6322:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6321:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6322:A 0.000194963
2 *6321:X 0.000194963
3 *6322:A *6759:CLK 4.66492e-05
4 *6322:A *6760:CLK 0.000201734
5 *6322:A *1087:74 2.95757e-05
6 *6322:A *1087:83 0.000171273
7 *6318:A2 *6322:A 0
*RES
1 *6321:X *6322:A 33.7938
*END
*D_NET *889 0.00652908
*CONN
*I *6325:A I *D sky130_fd_sc_hd__nor2_1
*I *6327:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6326:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6328:A2 I *D sky130_fd_sc_hd__a21o_1
*I *6329:C I *D sky130_fd_sc_hd__nand3_1
*I *6323:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *6325:A 9.46561e-05
2 *6327:A2 0.000310199
3 *6326:A2 3.64255e-05
4 *6328:A2 0
5 *6329:C 0.000511703
6 *6323:X 2.04786e-05
7 *889:28 0.0004311
8 *889:21 9.91073e-05
9 *889:9 0.000974382
10 *889:5 0.000563182
11 *6325:A *1087:65 3.31733e-05
12 *6325:A *1087:74 0.000149643
13 *6326:A2 *6326:A1 6.50586e-05
14 *6327:A2 *6327:A1 0.000203677
15 *6327:A2 *1301:8 0.000235007
16 *6329:C *6329:B 0.000706916
17 *6329:C *1301:12 0.00015324
18 *889:9 *6323:B 6.80985e-05
19 *889:9 *6323:C 5.07314e-05
20 *889:9 *6325:B 0.000470585
21 *889:21 *1301:10 1.07248e-05
22 *889:21 *1301:12 5.2092e-05
23 *889:28 *1301:8 2.95757e-05
24 *889:28 *1301:10 0.000158353
25 *6293:B1 *6329:C 7.77309e-06
26 *6317:B1 *6326:A2 7.92757e-06
27 *6323:A *889:9 7.22498e-05
28 *6323:D *889:5 6.50727e-05
29 *6323:D *889:9 6.08467e-05
30 *6762:D *6327:A2 2.65667e-05
31 *588:43 *889:9 0.000113844
32 *602:61 *6327:A2 0.00015428
33 *602:61 *6329:C 0.000266494
34 *602:61 *889:21 2.71542e-05
35 *602:61 *889:28 8.36326e-05
36 *827:29 *6326:A2 1.19721e-05
37 *835:31 *6326:A2 0.000164815
38 *841:22 *6329:C 3.83429e-05
39 *881:10 *6325:A 0
*RES
1 *6323:X *889:5 9.97254
2 *889:5 *889:9 18.5339
3 *889:9 *6329:C 29.4858
4 *889:9 *889:21 1.00149
5 *889:21 *6328:A2 13.7491
6 *889:21 *889:28 3.493
7 *889:28 *6326:A2 15.5817
8 *889:28 *6327:A2 24.7545
9 *889:5 *6325:A 21.3269
*END
*D_NET *890 0.00138532
*CONN
*I *6325:B I *D sky130_fd_sc_hd__nor2_1
*I *6324:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6325:B 0.000346729
2 *6324:Y 0.000346729
3 *6325:B *6227:D 6.80719e-05
4 *6325:B *1087:65 3.67708e-05
5 *6325:B *1087:74 0.000116439
6 *889:9 *6325:B 0.000470585
*RES
1 *6324:Y *6325:B 35.321
*END
*D_NET *891 0.000750275
*CONN
*I *6327:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6326:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6327:B1 0.000264639
2 *6326:Y 0.000264639
3 *6327:B1 *1301:8 0
4 *6762:D *6327:B1 0.000151726
5 *835:31 *6327:B1 6.92705e-05
*RES
1 *6326:Y *6327:B1 33.3757
*END
*D_NET *892 0.00130631
*CONN
*I *6330:B I *D sky130_fd_sc_hd__and3_1
*I *6328:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *6330:B 0.000266491
2 *6328:X 0.000266491
3 *6330:B *6328:B1 0.00021206
4 *6330:B *6329:A 0.000184931
5 *6330:B *6331:A 2.41274e-06
6 *6293:B1 *6330:B 0.000372943
7 *588:43 *6330:B 9.80242e-07
*RES
1 *6328:X *6330:B 35.5913
*END
*D_NET *893 0.00059099
*CONN
*I *6330:C I *D sky130_fd_sc_hd__and3_1
*I *6329:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *6330:C 0.000142991
2 *6329:Y 0.000142991
3 *6330:C *6329:A 0.000148144
4 *6330:A *6330:C 5.61818e-05
5 *596:22 *6330:C 5.89344e-05
6 *596:44 *6330:C 4.17482e-05
*RES
1 *6329:Y *6330:C 32.4757
*END
*D_NET *894 0.00102221
*CONN
*I *6331:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6330:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6331:A 0.000263087
2 *6330:X 0.000263087
3 *6331:A *6328:B1 0.000267634
4 *6331:A *6329:A 7.86847e-05
5 *6330:B *6331:A 2.41274e-06
6 *6763:D *6331:A 0
7 *588:43 *6331:A 0.000147308
*RES
1 *6330:X *6331:A 36.9792
*END
*D_NET *895 0.0139711
*CONN
*I *6648:B I *D sky130_fd_sc_hd__and4b_1
*I *6355:A I *D sky130_fd_sc_hd__buf_2
*I *6359:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6344:A I *D sky130_fd_sc_hd__buf_2
*I *6333:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6332:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6648:B 2.06324e-05
2 *6355:A 0
3 *6359:A 1.9289e-05
4 *6344:A 0
5 *6333:A 0.000257391
6 *6332:X 0.000671029
7 *895:57 0.00148365
8 *895:46 0.00178852
9 *895:33 0.000365417
10 *895:30 0.00137014
11 *895:8 0.000829542
12 *895:7 0.00259268
13 *6333:A *6335:A 0
14 *6333:A *6337:A 0.000177787
15 *6333:A *6345:A 0
16 *6333:A *1088:12 0
17 *6359:A *1090:7 6.92705e-05
18 *895:7 *1088:5 2.93863e-05
19 *895:7 *1088:10 1.53125e-05
20 *895:7 *1149:30 2.52287e-06
21 *895:8 *6764:CLK 0
22 *895:8 *902:20 0
23 *895:8 *1088:40 4.90673e-05
24 *895:30 *6439:A 0
25 *895:30 *6439:B 0.000154256
26 *895:30 *6439:D 8.92568e-06
27 *895:30 *6778:CLK 0.000337325
28 *895:30 *6779:CLK 0.000126913
29 *895:30 *905:27 5.30055e-05
30 *895:30 *910:38 0.000243759
31 *895:30 *913:31 0
32 *895:30 *1088:40 0.000137272
33 *895:30 *1088:54 0.000120139
34 *895:30 *1088:57 8.85525e-05
35 *895:30 *1090:15 0.000402008
36 *895:30 *1090:24 0.000351993
37 *895:46 *910:8 3.34802e-05
38 *895:46 *910:22 2.19131e-05
39 *895:46 *1090:7 1.61631e-05
40 *895:57 *6024:A 4.62917e-05
41 *895:57 *6835:CLK 3.1759e-05
42 *895:57 *910:22 0.000120055
43 *6026:B2 *895:57 0.000169093
44 *6332:A *895:7 6.50586e-05
45 *6352:B *895:8 0
46 *6352:B *895:30 0
47 *6358:B1 *895:8 0
48 *6358:B1 *895:30 0
49 *6360:A1 *895:30 7.52398e-05
50 *6648:C *895:57 1.41291e-05
51 *6648:D *895:57 8.37019e-05
52 *6649:A *895:57 0.000148806
53 *6675:A *895:57 0
54 *6683:A2 *895:57 0
55 *6764:D *6333:A 0
56 *6765:D *6333:A 7.90212e-05
57 *6765:D *895:8 5.22654e-06
58 *6780:D *895:30 0.000294211
59 *366:8 *895:46 0
60 *366:8 *895:57 0
61 *402:8 *895:57 0.000638429
62 *545:19 *895:57 0
63 *545:26 *895:57 0
64 *593:40 *895:30 0
65 *597:33 *895:46 5.3697e-05
66 *597:33 *895:57 0
67 *597:39 *895:30 0
68 *597:50 *895:7 0.000309013
69 *768:20 *6333:A 0
70 *768:20 *895:8 0
*RES
1 *6332:X *895:7 25.01
2 *895:7 *895:8 14.2896
3 *895:8 *6333:A 21.468
4 *895:8 *6344:A 13.7491
5 *895:7 *895:30 46.3373
6 *895:30 *895:33 5.07872
7 *895:33 *6359:A 9.97254
8 *895:33 *895:46 17.238
9 *895:46 *6355:A 9.24915
10 *895:46 *895:57 49.5289
11 *895:57 *6648:B 9.82786
*END
*D_NET *896 0.00287031
*CONN
*I *6340:A I *D sky130_fd_sc_hd__and2_1
*I *6334:A I *D sky130_fd_sc_hd__and2_1
*I *6342:A I *D sky130_fd_sc_hd__and2_1
*I *6336:A I *D sky130_fd_sc_hd__and2_1
*I *6338:A I *D sky130_fd_sc_hd__and2_1
*I *6333:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6340:A 1.96137e-05
2 *6334:A 0.000139531
3 *6342:A 0
4 *6336:A 0.000183789
5 *6338:A 0.000203078
6 *6333:X 0.00025394
7 *896:34 0.000159145
8 *896:21 0.000183789
9 *896:10 0.000351223
10 *896:8 0.000402086
11 *6334:A *6335:A 0.000142393
12 *6334:A *6340:B 0.000223764
13 *6336:A *6336:B 0.000118166
14 *6338:A *6338:B 0.000122378
15 *6338:A *1091:28 0
16 *6338:A *1091:30 0
17 *6340:A *6335:A 2.61147e-05
18 *6340:A *6340:B 0.00011818
19 *896:8 *6335:A 2.652e-05
20 *896:8 *6337:A 0
21 *896:8 *1091:26 0
22 *896:10 *6337:A 0
23 *896:10 *1091:28 0
24 *6199:A *6338:A 0
25 *6334:B *6338:A 0
26 *6334:B *896:10 0
27 *6342:B *6336:A 0.000196597
*RES
1 *6333:X *896:8 18.2442
2 *896:8 *896:10 3.07775
3 *896:10 *6338:A 18.5201
4 *896:10 *896:21 4.5
5 *896:21 *6336:A 15.5427
6 *896:21 *6342:A 9.24915
7 *896:8 *896:34 4.5
8 *896:34 *6334:A 15.5427
9 *896:34 *6340:A 10.5271
*END
*D_NET *897 0.000951257
*CONN
*I *6335:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6334:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6335:A 0.000378114
2 *6334:X 0.000378114
3 *6335:A *6337:A 0
4 *6335:A *1091:26 0
5 *6333:A *6335:A 0
6 *6334:A *6335:A 0.000142393
7 *6340:A *6335:A 2.61147e-05
8 *896:8 *6335:A 2.652e-05
*RES
1 *6334:X *6335:A 34.7664
*END
*D_NET *898 0.000873263
*CONN
*I *6337:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6336:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6337:A 0.000320758
2 *6336:X 0.000320758
3 *6337:A *6728:CLK 0
4 *6333:A *6337:A 0.000177787
5 *6334:B *6337:A 5.39608e-05
6 *6335:A *6337:A 0
7 *896:8 *6337:A 0
8 *896:10 *6337:A 0
*RES
1 *6336:X *6337:A 36.3896
*END
*D_NET *899 0.00050445
*CONN
*I *6339:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6338:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6339:A 0.000162559
2 *6338:X 0.000162559
3 *6339:A *6730:CLK 0.000118485
4 *590:35 *6339:A 6.08467e-05
*RES
1 *6338:X *6339:A 31.1923
*END
*D_NET *900 0.000504482
*CONN
*I *6341:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6340:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6341:A 0.000208534
2 *6340:X 0.000208534
3 *6341:A *6346:A 0
4 *6341:A *6767:CLK 4.26566e-05
5 *6341:A *1091:26 4.47578e-05
6 *6767:D *6341:A 0
*RES
1 *6340:X *6341:A 31.9934
*END
*D_NET *901 0.00134914
*CONN
*I *6343:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6342:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6343:A 0.000607773
2 *6342:X 0.000607773
3 *6343:A *6730:CLK 0
4 *6343:A *6766:CLK 4.81015e-05
5 *6343:A *1149:12 0
6 *6766:D *6343:A 3.93159e-05
7 *6768:D *6343:A 4.61732e-05
*RES
1 *6342:X *6343:A 38.7824
*END
*D_NET *902 0.0220859
*CONN
*I *6589:A I *D sky130_fd_sc_hd__and2_1
*I *6536:A I *D sky130_fd_sc_hd__and2_1
*I *6488:A I *D sky130_fd_sc_hd__and2_1
*I *6676:A I *D sky130_fd_sc_hd__and2_1
*I *6345:A I *D sky130_fd_sc_hd__and2_1
*I *6344:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6589:A 0
2 *6536:A 0
3 *6488:A 0.000173413
4 *6676:A 0
5 *6345:A 0.000121169
6 *6344:X 0.000272508
7 *902:53 0.0014319
8 *902:46 0.00178413
9 *902:31 0.000608988
10 *902:28 0.00132276
11 *902:21 0.00364523
12 *902:20 0.00283735
13 *902:7 0.000825204
14 *6345:A *6764:CLK 3.49272e-05
15 *902:20 *6764:CLK 5.21927e-05
16 *902:21 *6000:B2 0.000196706
17 *902:21 *6438:C 1.78514e-05
18 *902:21 *6438:D 9.34869e-05
19 *902:21 *1067:13 0.000387858
20 *902:21 *1315:8 0.000144531
21 *902:21 *1350:15 7.58595e-05
22 *902:28 *6819:CLK 0.000142686
23 *902:28 *904:21 7.13655e-06
24 *902:28 *904:55 0.000868304
25 *902:28 *947:8 9.39891e-06
26 *902:28 *1096:8 7.69735e-05
27 *902:28 *1096:10 6.39594e-05
28 *902:28 *1096:12 0.000223781
29 *902:28 *1096:16 9.634e-05
30 *902:28 *1096:50 0.000105847
31 *902:28 *1274:23 8.36326e-05
32 *902:46 *6502:A 6.08467e-05
33 *902:46 *6540:A1 0.000123582
34 *902:46 *7082:A 0.000419724
35 *902:46 *947:76 0.0003637
36 *902:53 *6501:A 0.000217937
37 *6333:A *6345:A 0
38 *6537:A *902:53 6.64392e-05
39 *6541:A *902:53 3.99086e-06
40 *6542:A *902:46 0.000207266
41 *6542:B *902:46 1.03403e-05
42 *6542:C *902:46 0.000159059
43 *6589:B *902:31 0.000207266
44 *6590:A *902:46 0.000119392
45 *6604:B *902:28 0.000101148
46 *6604:C *902:28 8.10016e-06
47 *6647:B1 *902:28 0.000200236
48 *6764:D *6345:A 0.000247231
49 *6764:D *902:20 0.000101133
50 *6765:D *6345:A 0
51 *6765:D *902:7 0.000183145
52 *6770:D *902:21 0
53 *6820:D *902:46 4.27148e-05
54 *6831:D *902:28 8.07939e-05
55 *275:11 *902:21 0
56 *366:8 *902:21 0.000247278
57 *366:8 *902:28 0.000199962
58 *366:10 *902:28 2.75427e-05
59 *366:26 *902:28 4.54077e-05
60 *402:8 *902:28 0
61 *402:18 *902:28 0
62 *403:22 *902:28 8.92568e-06
63 *441:7 *902:53 3.64685e-05
64 *535:11 *902:28 0.000186445
65 *593:31 *902:46 0.000127179
66 *628:28 *902:21 0.000200236
67 *628:37 *902:21 0.00022117
68 *813:12 *902:21 4.44689e-05
69 *813:21 *902:21 0.00096937
70 *813:21 *902:28 0.000119492
71 *830:29 *902:46 0.00102381
72 *895:8 *902:20 0
*RES
1 *6344:X *902:7 18.3548
2 *902:7 *6345:A 18.0727
3 *902:7 *902:20 19.8688
4 *902:20 *902:21 76.9926
5 *902:21 *6676:A 13.7491
6 *902:21 *902:28 46.6792
7 *902:28 *902:31 6.88721
8 *902:31 *902:46 42.5376
9 *902:46 *902:53 20.3515
10 *902:53 *6488:A 13.3002
11 *902:53 *6536:A 9.24915
12 *902:31 *6589:A 9.24915
*END
*D_NET *903 0.00124937
*CONN
*I *6346:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6345:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6346:A 0.000408658
2 *6345:X 0.000408658
3 *6346:A *1091:17 0.000101595
4 *6346:A *1091:25 0.0002817
5 *6346:A *1149:12 0
6 *6341:A *6346:A 0
7 *6769:D *6346:A 4.87539e-05
*RES
1 *6345:X *6346:A 35.4604
*END
*D_NET *904 0.0226263
*CONN
*I *6571:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6520:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6362:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6348:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6460:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6347:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6571:B1 0.000175386
2 *6520:B1 0
3 *6362:A 0
4 *6348:A 0.00069012
5 *6460:B1 0.00087699
6 *6347:X 0
7 *904:60 0.00134964
8 *904:55 0.00280706
9 *904:34 0.00127206
10 *904:21 0.0043207
11 *904:5 0.0041438
12 *6460:B1 *6458:A 0.000326398
13 *6571:B1 *1270:8 0.000118568
14 *904:21 *947:8 1.91391e-05
15 *904:34 *6363:B 3.67528e-06
16 *904:34 *915:17 7.74215e-05
17 *904:34 *915:26 0.000221697
18 *904:34 *938:10 0.000132831
19 *904:34 *1249:6 0
20 *904:34 *1249:16 0
21 *904:55 *6575:A 0.000190042
22 *904:55 *947:8 0.000120419
23 *904:55 *947:76 0.000985469
24 *904:55 *1270:8 3.31733e-05
25 *904:55 *1274:32 0.000110148
26 *904:60 *6522:A 0.000156562
27 *904:60 *6561:B1 4.33655e-05
28 *904:60 *6562:B 0.000436825
29 *904:60 *6816:CLK 7.48797e-05
30 *904:60 *1271:5 0.000156742
31 *6455:A *6460:B1 1.65872e-05
32 *6455:C *6460:B1 0.000220183
33 *6456:A3 *6460:B1 0.000413252
34 *6458:B *6460:B1 1.28832e-05
35 *6458:C *6460:B1 6.50586e-05
36 *6460:A1 *6460:B1 6.50727e-05
37 *6461:A2 *6460:B1 1.287e-05
38 *6522:B *904:60 6.50727e-05
39 *6523:A *904:60 0.000115934
40 *6559:A1 *904:55 5.79399e-05
41 *6564:B *904:60 0.000122378
42 *6564:C *6571:B1 6.08467e-05
43 *6566:D *904:55 0
44 *6673:B1 *904:21 1.25923e-05
45 *6674:A1 *904:55 0.000148547
46 *6688:A *904:34 5.39463e-05
47 *6816:D *904:60 1.87611e-05
48 *6841:D *904:34 0.000139672
49 *354:10 *904:21 7.22572e-05
50 *371:24 *904:60 0.000215206
51 *432:5 *904:60 0.000314044
52 *462:35 *904:55 0
53 *463:13 *904:55 0.000222361
54 *467:20 *6571:B1 0.000123597
55 *467:20 *904:55 0.000290644
56 *593:31 *6348:A 6.80864e-05
57 *902:28 *904:21 7.13655e-06
58 *902:28 *904:55 0.000868304
*RES
1 *6347:X *904:5 13.7491
2 *904:5 *904:21 23.5435
3 *904:21 *6460:B1 32.1808
4 *904:21 *904:34 28.2726
5 *904:34 *6348:A 34.9696
6 *904:34 *6362:A 9.24915
7 *904:5 *904:55 49.244
8 *904:55 *904:60 41.8513
9 *904:60 *6520:B1 9.24915
10 *904:55 *6571:B1 18.9623
*END
*D_NET *905 0.0155387
*CONN
*I *6367:B I *D sky130_fd_sc_hd__and2_1
*I *6365:B I *D sky130_fd_sc_hd__and2_1
*I *6349:B I *D sky130_fd_sc_hd__and2b_1
*I *6695:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6369:B I *D sky130_fd_sc_hd__and2b_1
*I *6348:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6367:B 0
2 *6365:B 0.000251726
3 *6349:B 0
4 *6695:B1_N 0.00109121
5 *6369:B 0
6 *6348:X 0.000638941
7 *905:46 0.000513201
8 *905:27 0.00317098
9 *905:19 0.00228997
10 *905:13 0.000489171
11 *905:8 0.00117939
12 *6365:B *6366:A 0.000151726
13 *6365:B *7093:A 6.50727e-05
14 *6365:B *913:7 2.65831e-05
15 *6365:B *1090:82 0
16 *6365:B *1090:84 0
17 *6365:B *1330:43 0.000137272
18 *6695:B1_N *6696:A1 7.97944e-05
19 *6695:B1_N *1219:42 8.04912e-05
20 *905:8 *7094:A 0
21 *905:8 *1074:8 3.14544e-05
22 *905:8 *1090:82 0
23 *905:8 *1090:84 0
24 *905:13 *6776:CLK 0
25 *905:13 *907:24 7.65861e-05
26 *905:13 *1074:8 0.000135519
27 *905:19 *6370:A 0.000313481
28 *905:19 *907:24 4.62705e-05
29 *905:27 *5982:A 0.00103317
30 *905:27 *5990:A 0.000169038
31 *905:27 *5990:B 6.58186e-05
32 *905:27 *6439:A 4.58003e-05
33 *905:27 *6439:D 0.000169093
34 *905:27 *1090:24 3.31882e-05
35 *905:46 *6368:A 9.39633e-05
36 *905:46 *6772:CLK 0.000379505
37 *905:46 *7093:A 2.39581e-05
38 *6034:A *6695:B1_N 9.9028e-05
39 *6037:A2_N *6695:B1_N 0.000680783
40 *6440:C *905:27 0.000292115
41 *6695:A2 *6695:B1_N 0.000453011
42 *6696:A2 *6695:B1_N 6.50727e-05
43 *6696:B1 *6695:B1_N 0.000663171
44 *6774:D *905:8 0
45 *6776:D *905:13 0
46 *6776:D *905:46 6.50727e-05
47 *6844:D *6695:B1_N 2.42273e-05
48 *354:10 *6365:B 2.08194e-05
49 *593:31 *905:8 0
50 *650:24 *6695:B1_N 9.40969e-05
51 *801:56 *905:13 4.87897e-05
52 *801:56 *905:19 0.000197103
53 *895:30 *905:27 5.30055e-05
*RES
1 *6348:X *905:8 27.9316
2 *905:8 *905:13 12.0384
3 *905:13 *6369:B 9.24915
4 *905:13 *905:19 7.37864
5 *905:19 *905:27 46.222
6 *905:27 *6695:B1_N 43.297
7 *905:19 *6349:B 9.24915
8 *905:8 *905:46 12.8673
9 *905:46 *6365:B 26.511
10 *905:46 *6367:B 9.24915
*END
*D_NET *906 0.00141521
*CONN
*I *6350:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6349:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6350:A 0.000494175
2 *6349:X 0.000494175
3 *6350:A *6771:CLK 0
4 *6350:A *1090:20 0.000190042
5 *6350:A *1090:24 0.000197119
6 *6350:A *1090:42 1.92172e-05
7 *275:11 *6350:A 0
8 *597:39 *6350:A 2.04806e-05
*RES
1 *6349:X *6350:A 37.5366
*END
*D_NET *907 0.0229362
*CONN
*I *6624:B I *D sky130_fd_sc_hd__and4b_1
*I *6693:B I *D sky130_fd_sc_hd__and3b_1
*I *6652:B I *D sky130_fd_sc_hd__and3b_1
*I *6374:C I *D sky130_fd_sc_hd__and3b_1
*I *6352:C I *D sky130_fd_sc_hd__and3b_1
*I *6351:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6624:B 0.000189647
2 *6693:B 0.00115254
3 *6652:B 0
4 *6374:C 0
5 *6352:C 0.000700655
6 *6351:X 0
7 *907:52 0.00224964
8 *907:46 0.00132818
9 *907:24 0.00134825
10 *907:20 0.00220097
11 *907:17 0.00191036
12 *907:13 0.00119404
13 *907:4 0.000878487
14 *6352:C *6357:A1 7.93468e-05
15 *6352:C *7092:A 0.000223897
16 *6693:B *6018:A 7.12909e-05
17 *6693:B *6640:A1 0.000143047
18 *6693:B *6689:B1 7.06474e-05
19 *6693:B *6842:CLK 0
20 *907:13 *6645:A 0.000405513
21 *907:13 *1245:35 0.000423922
22 *907:20 *5977:A 4.3116e-06
23 *907:20 *5997:B1 0
24 *907:20 *6020:A2 0
25 *907:20 *6021:A1 0
26 *907:20 *6661:A 0.000143032
27 *907:20 *1220:8 0
28 *907:20 *1317:50 0
29 *907:20 *1346:8 0.000200933
30 *907:24 *6773:CLK 4.0752e-05
31 *907:24 *1074:8 0.000169093
32 *907:24 *1090:24 0.000192172
33 *907:24 *1090:42 0.000110684
34 *5999:A *907:20 0
35 *6015:A1_N *6624:B 0
36 *6015:A1_N *907:52 0
37 *6029:A *907:13 9.24241e-05
38 *6483:A *907:20 8.01597e-05
39 *6483:B *907:20 0.000214043
40 *6607:B1_N *907:13 0.000237969
41 *6607:B1_N *907:46 4.88955e-05
42 *6607:B1_N *907:52 4.95737e-05
43 *6610:A *6624:B 2.3939e-05
44 *6611:A *6624:B 8.62625e-06
45 *6621:A *907:13 0.000271044
46 *6621:B *907:13 0.000167076
47 *6624:D *6624:B 6.08467e-05
48 *6639:A2 *6693:B 5.04734e-05
49 *6639:B1_N *6693:B 0
50 *6645:B *907:13 0.000167076
51 *6648:A_N *907:17 6.47268e-05
52 *6652:A_N *907:13 0.000118166
53 *6689:A1 *6693:B 0
54 *6690:B1 *6693:B 0.000148159
55 *6697:A *6693:B 0.000118485
56 *6830:D *6693:B 0
57 *6838:D *907:20 2.22923e-05
58 *6842:D *6693:B 0
59 *274:8 *6352:C 0.000449329
60 *274:8 *907:24 8.96665e-05
61 *275:11 *907:24 0.00021285
62 *365:6 *907:20 0
63 *505:14 *6624:B 0.00017416
64 *514:8 *6624:B 7.14746e-05
65 *525:18 *6693:B 3.14544e-05
66 *531:5 *907:13 5.75672e-05
67 *531:5 *907:17 6.41884e-05
68 *532:32 *6693:B 0
69 *555:6 *907:20 0.00021776
70 *567:9 *6693:B 9.34396e-06
71 *567:14 *6693:B 0
72 *602:58 *907:24 0.00246662
73 *612:16 *907:20 0
74 *620:9 *6693:B 0
75 *629:6 *907:20 0
76 *629:15 *907:20 0
77 *665:17 *907:20 0.000740257
78 *739:32 *6693:B 0
79 *801:56 *6352:C 0.00023975
80 *801:56 *907:24 0.000552585
81 *813:21 *907:20 0
82 *829:24 *6624:B 1.68417e-05
83 *829:24 *907:52 2.25812e-05
84 *829:29 *907:52 2.15266e-05
85 *905:13 *907:24 7.65861e-05
86 *905:19 *907:24 4.62705e-05
*RES
1 *6351:X *907:4 9.24915
2 *907:4 *907:13 32.8232
3 *907:13 *907:17 10.2148
4 *907:17 *907:20 49.5182
5 *907:20 *907:24 38.1048
6 *907:24 *6352:C 37.4908
7 *907:24 *6374:C 13.7491
8 *907:13 *6652:B 9.24915
9 *907:4 *907:46 5.2234
10 *907:46 *907:52 25.8357
11 *907:52 *6693:B 43.8183
12 *907:46 *6624:B 19.2113
*END
*D_NET *908 0.00323202
*CONN
*I *6353:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6352:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6353:A 0.000506335
2 *6352:X 0.000506335
3 *6353:A *7071:A 0.000324166
4 *6353:A *7092:A 0.000156351
5 *6353:A *913:31 0.000438052
6 *6353:A *1067:26 4.56667e-05
7 *6353:A *1354:19 0.000736136
8 *6360:A1 *6353:A 0.000369533
9 *275:11 *6353:A 0.000149445
10 *597:39 *6353:A 0
*RES
1 *6352:X *6353:A 49.7031
*END
*D_NET *909 0.000958658
*CONN
*I *6357:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6354:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6357:A1 0.000172444
2 *6354:Y 0.000172444
3 *6357:A1 *6357:B1 0.000107496
4 *6352:C *6357:A1 7.93468e-05
5 *6782:D *6357:A1 0.000111722
6 *274:8 *6357:A1 0
7 *801:56 *6357:A1 0.000315206
8 *803:39 *6357:A1 0
*RES
1 *6354:Y *6357:A1 34.3456
*END
*D_NET *910 0.0243716
*CONN
*I *6356:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6415:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6419:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6679:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6689:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6355:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6356:A 0
2 *6415:A1 0.000110509
3 *6419:A1 0.000159188
4 *6679:B1 6.87849e-05
5 *6689:B1 0.000181354
6 *6355:X 0
7 *910:52 0.00100046
8 *910:48 0.00158569
9 *910:38 0.00220725
10 *910:22 0.00164527
11 *910:9 0.00336614
12 *910:8 0.00323891
13 *910:5 0.000278277
14 *6415:A1 *6790:CLK 0.000174206
15 *6415:A1 *1089:41 0.000127194
16 *6419:A1 *6419:A3 9.07343e-06
17 *6419:A1 *6790:CLK 0.000242149
18 *6419:A1 *936:33 5.94477e-06
19 *6679:B1 *1090:71 2.65667e-05
20 *910:38 *1090:15 0.000196576
21 *910:38 *1090:64 3.25887e-05
22 *910:38 *1090:109 1.50262e-05
23 *910:48 *6374:B 0.000523679
24 *910:48 *7077:A 0.000123974
25 *910:48 *1067:13 4.26431e-05
26 *910:48 *1069:5 3.62662e-06
27 *910:52 *6136:A2 0.000122378
28 *910:52 *6136:B1 0.000888986
29 *910:52 *6405:B 0.000271058
30 *910:52 *6405:C 4.22229e-06
31 *910:52 *6408:B1 0.000474783
32 *910:52 *6413:B 0.000457669
33 *5996:A1 *910:9 1.00846e-05
34 *5996:A2 *910:9 0.000434578
35 *5996:B1 *910:9 0.000729664
36 *6004:B *910:9 2.86013e-06
37 *6004:C_N *910:9 6.24083e-05
38 *6122:A *910:9 0.00110787
39 *6373:A *910:52 0.000254691
40 *6373:C *910:48 1.67988e-05
41 *6373:C *910:52 0.000161172
42 *6413:A *910:52 3.21548e-05
43 *6415:B1 *6415:A1 0.000304998
44 *6415:B1 *6419:A1 0.000191541
45 *6419:B1 *6419:A1 1.74101e-05
46 *6441:B *910:9 0.000470571
47 *6441:C *910:9 2.20702e-05
48 *6679:A1 *6679:B1 6.50586e-05
49 *6679:A1 *910:22 9.97706e-05
50 *6679:A1 *910:38 1.09738e-05
51 *6679:A2 *6679:B1 3.14978e-05
52 *6680:B1 *6679:B1 2.65667e-05
53 *6690:B1 *6689:B1 0.000151741
54 *6693:B *6689:B1 7.06474e-05
55 *361:9 *910:9 8.40003e-05
56 *366:7 *910:9 0.000167076
57 *366:8 *910:8 0
58 *366:8 *910:22 0
59 *597:33 *910:22 0
60 *597:33 *910:38 0.000103047
61 *597:39 *910:38 0
62 *604:37 *910:38 0.000260251
63 *604:37 *910:48 6.50586e-05
64 *604:41 *910:38 0.000568096
65 *605:33 *6419:A1 2.32591e-05
66 *612:11 *910:9 0.000266846
67 *614:35 *910:9 2.16355e-05
68 *614:40 *910:9 2.16355e-05
69 *626:8 *910:9 2.41483e-05
70 *634:9 *910:9 2.41916e-05
71 *770:38 *910:52 4.23874e-05
72 *801:56 *910:48 0.000421467
73 *895:30 *910:38 0.000243759
74 *895:46 *910:8 3.34802e-05
75 *895:46 *910:22 2.19131e-05
76 *895:57 *910:22 0.000120055
*RES
1 *6355:X *910:5 13.7491
2 *910:5 *910:8 5.91674
3 *910:8 *910:9 52.8561
4 *910:9 *6689:B1 23.8184
5 *910:5 *910:22 10.5224
6 *910:22 *6679:B1 11.6364
7 *910:22 *910:38 47.8949
8 *910:38 *910:48 28.0993
9 *910:48 *910:52 32.399
10 *910:52 *6419:A1 20.267
11 *910:52 *6415:A1 19.3184
12 *910:38 *6356:A 9.24915
*END
*D_NET *911 0.00614151
*CONN
*I *6372:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6379:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6357:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6385:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6387:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6356:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6372:B1 0.000183779
2 *6379:B1 8.83238e-05
3 *6357:B1 0.000147857
4 *6385:B1 0.000495757
5 *6387:B1 0.000210167
6 *6356:X 2.86212e-05
7 *911:23 0.000643613
8 *911:10 0.000628211
9 *911:8 0.000613076
10 *911:7 0.000319108
11 *6372:B1 *7077:A 0.00018643
12 *6379:B1 *6379:A1 6.73186e-05
13 *6379:B1 *6379:A2 1.00846e-05
14 *6387:B1 *6387:A2 0
15 *911:8 *7077:A 0.000191541
16 *911:10 *6387:A2 0
17 *911:10 *7077:A 0.000602305
18 *6354:A *6387:B1 2.32311e-05
19 *6354:A *911:10 3.64684e-05
20 *6357:A1 *6357:B1 0.000107496
21 *6357:A2 *6387:B1 0.000127194
22 *6374:A_N *6372:B1 0
23 *6374:A_N *911:8 0
24 *6385:A1 *6385:B1 9.28757e-05
25 *6387:A1 *6387:B1 0
26 *6778:D *6372:B1 0.000430366
27 *6782:D *6357:B1 8.90486e-05
28 *6782:D *6385:B1 8.58411e-05
29 *6783:D *6387:B1 7.34948e-06
30 *354:15 *911:10 0
31 *600:23 *6379:B1 0.000132219
32 *604:37 *911:7 6.08467e-05
33 *605:39 *6372:B1 0.000532383
*RES
1 *6356:X *911:7 14.4725
2 *911:7 *911:8 3.493
3 *911:8 *911:10 13.0438
4 *911:10 *6387:B1 19.5141
5 *911:10 *911:23 4.5
6 *911:23 *6385:B1 17.6405
7 *911:23 *6357:B1 13.3243
8 *911:8 *6379:B1 17.2456
9 *911:7 *6372:B1 22.957
*END
*D_NET *912 0.0021263
*CONN
*I *6360:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6358:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6360:A2 0.000660527
2 *6358:Y 0.000660527
3 *6783:D *6360:A2 0.000619251
4 *275:11 *6360:A2 0
5 *597:39 *6360:A2 0.000185992
*RES
1 *6358:Y *6360:A2 41.9679
*END
*D_NET *913 0.0168588
*CONN
*I *6402:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6398:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6411:A1 I *D sky130_fd_sc_hd__a32o_1
*I *6382:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6360:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6359:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6402:A1 1.59204e-05
2 *6398:A1 0.000608833
3 *6411:A1 0.000834634
4 *6382:B1_N 0.000118045
5 *6360:B1_N 0
6 *6359:X 0.000733098
7 *913:58 0.00089631
8 *913:31 0.00121997
9 *913:18 0.00208139
10 *913:8 0.00170511
11 *913:7 0.00113176
12 *6398:A1 *6400:A2 1.20742e-05
13 *6398:A1 *6402:A2 2.33638e-05
14 *6398:A1 *6402:A3 1.61631e-05
15 *6398:A1 *914:37 1.41291e-05
16 *6411:A1 *6169:A2 1.65872e-05
17 *6411:A1 *6403:B 8.01837e-05
18 *6411:A1 *6411:A3 0.000570342
19 *913:7 *6366:A 0.00011818
20 *913:7 *1090:7 9.91596e-05
21 *913:7 *1330:43 0.000107496
22 *913:18 *6169:C1 0.000154185
23 *913:18 *6372:A2 2.51637e-05
24 *913:18 *1331:10 0.000122083
25 *913:31 *6169:C1 3.65842e-05
26 *913:31 *6387:A2 0.000483474
27 *913:31 *7092:A 0.000370801
28 *913:31 *1088:57 3.20069e-06
29 *913:31 *1354:19 2.41274e-06
30 *913:58 *6402:B2 0.000110297
31 *6138:B *913:31 7.95198e-05
32 *6168:A *913:18 0
33 *6352:B *913:31 1.79196e-05
34 *6353:A *913:31 0.000438052
35 *6354:B *913:31 0.000423922
36 *6365:A *6398:A1 4.77259e-05
37 *6365:A *913:7 2.32834e-05
38 *6365:B *913:7 2.65831e-05
39 *6398:B1 *6398:A1 0.000605458
40 *6402:B1 *913:58 2.7381e-05
41 *6411:B1 *6411:A1 0.000575894
42 *6781:D *6382:B1_N 0.000365048
43 *275:11 *913:31 2.41483e-05
44 *354:10 *913:8 0.000405599
45 *354:10 *913:18 0.000121132
46 *354:10 *913:58 0.00024873
47 *354:15 *913:18 0.000731292
48 *354:15 *913:31 3.99466e-05
49 *751:25 *913:31 1.92336e-05
50 *774:6 *6411:A1 0
51 *774:24 *913:18 0
52 *775:10 *913:18 4.5539e-05
53 *811:31 *913:8 2.09495e-05
54 *811:31 *913:18 0.000180046
55 *811:53 *6402:A1 1.05106e-05
56 *811:53 *913:8 0.000383151
57 *811:53 *913:58 0.000286819
58 *895:30 *913:31 0
*RES
1 *6359:X *913:7 31.1106
2 *913:7 *913:8 7.23027
3 *913:8 *913:18 24.964
4 *913:18 *913:31 47.7474
5 *913:31 *6360:B1_N 9.24915
6 *913:18 *6382:B1_N 17.8002
7 *913:8 *6411:A1 37.6452
8 *913:7 *913:58 13.9837
9 *913:58 *6398:A1 31.4169
10 *913:58 *6402:A1 9.82786
*END
*D_NET *914 0.00642794
*CONN
*I *6400:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6363:A I *D sky130_fd_sc_hd__and2_1
*I *6397:B I *D sky130_fd_sc_hd__xor2_1
*I *6394:A I *D sky130_fd_sc_hd__nand2_1
*I *6396:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6361:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6400:A2 5.42672e-05
2 *6363:A 0.000298056
3 *6397:B 0.000431668
4 *6394:A 0
5 *6396:A1 0.000210706
6 *6361:X 0
7 *914:37 0.000705075
8 *914:9 0.000352253
9 *914:5 0.000749109
10 *914:4 0.000528645
11 *6363:A *6400:B1 0.000155705
12 *6363:A *6401:B 0.000362141
13 *6396:A1 *6396:B1 0.000145665
14 *6396:A1 *936:33 2.352e-05
15 *6396:A1 *1089:68 5.66868e-06
16 *6396:A1 *1089:81 0.000100744
17 *6397:B *6398:A3 0.000118166
18 *6397:B *938:22 5.34081e-05
19 *6397:B *1329:6 0
20 *914:9 *6396:B1 2.16355e-05
21 *914:9 *936:33 6.08467e-05
22 *6398:A1 *6400:A2 1.20742e-05
23 *6398:A1 *914:37 1.41291e-05
24 *6398:B1 *6363:A 2.18145e-05
25 *6398:B1 *6400:A2 0.000350705
26 *6784:D *6396:A1 0.000148129
27 *6785:D *6397:B 0.000910579
28 *777:31 *6363:A 0.00031994
29 *777:31 *6400:A2 0.00027329
*RES
1 *6361:X *914:4 9.24915
2 *914:4 *914:5 4.05102
3 *914:5 *914:9 3.52053
4 *914:9 *6396:A1 26.3422
5 *914:9 *6394:A 9.24915
6 *914:5 *6397:B 33.5817
7 *914:4 *914:37 8.48785
8 *914:37 *6363:A 20.0036
9 *914:37 *6400:A2 13.8789
*END
*D_NET *915 0.00702542
*CONN
*I *6550:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6395:A I *D sky130_fd_sc_hd__buf_2
*I *6427:A I *D sky130_fd_sc_hd__buf_2
*I *6687:A I *D sky130_fd_sc_hd__and2_1
*I *6363:B I *D sky130_fd_sc_hd__and2_1
*I *6362:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6550:A 0.000449942
2 *6395:A 0
3 *6427:A 2.12016e-05
4 *6687:A 0.000110682
5 *6363:B 0.000191602
6 *6362:X 0
7 *915:35 0.000580993
8 *915:26 0.000722048
9 *915:17 0.000801687
10 *915:5 0.000312813
11 *6363:B *6364:A 6.92705e-05
12 *6427:A *1127:19 5.0715e-05
13 *6687:B *6687:A 0.000167076
14 *6688:A *915:17 0.000130777
15 *354:5 *6427:A 5.0715e-05
16 *354:10 *6363:B 0.000174205
17 *354:10 *915:17 0.00039841
18 *354:10 *915:26 0.00131333
19 *354:45 *6550:A 0
20 *354:45 *915:26 0
21 *354:45 *915:35 0
22 *367:17 *6550:A 0.00105478
23 *456:11 *6550:A 0.000122378
24 *904:34 *6363:B 3.67528e-06
25 *904:34 *915:17 7.74215e-05
26 *904:34 *915:26 0.000221697
*RES
1 *6362:X *915:5 13.7491
2 *915:5 *6363:B 19.2382
3 *915:5 *915:17 6.81502
4 *915:17 *6687:A 16.691
5 *915:17 *915:26 23.4251
6 *915:26 *6427:A 15.0271
7 *915:26 *915:35 3.07775
8 *915:35 *6395:A 13.7491
9 *915:35 *6550:A 28.9183
*END
*D_NET *916 0.000302901
*CONN
*I *6364:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6363:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6364:A 0.000116815
2 *6363:X 0.000116815
3 *6363:B *6364:A 6.92705e-05
*RES
1 *6363:X *6364:A 21.4401
*END
*D_NET *917 0.000574788
*CONN
*I *6366:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6365:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6366:A 0.000152441
2 *6365:X 0.000152441
3 *6366:A *1090:82 0
4 *6366:A *1090:84 0
5 *6365:B *6366:A 0.000151726
6 *913:7 *6366:A 0.00011818
*RES
1 *6365:X *6366:A 31.4388
*END
*D_NET *918 0.00105547
*CONN
*I *6368:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6367:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6368:A 4.50515e-05
2 *6367:X 4.50515e-05
3 *6368:A *6772:CLK 0.00033061
4 *6368:A *7093:A 0.000540793
5 *905:46 *6368:A 9.39633e-05
*RES
1 *6367:X *6368:A 24.2131
*END
*D_NET *919 0.00100164
*CONN
*I *6370:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6369:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *6370:A 0.000308342
2 *6369:X 0.000308342
3 *6370:A *6439:D 0
4 *274:8 *6370:A 0
5 *593:40 *6370:A 7.14746e-05
6 *905:19 *6370:A 0.000313481
*RES
1 *6369:X *6370:A 33.6572
*END
*D_NET *920 0.00910686
*CONN
*I *6410:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6372:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6412:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6413:B I *D sky130_fd_sc_hd__and3_1
*I *6371:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6410:A1 0.000192683
2 *6372:A2 0.000790235
3 *6412:A1 0.000380112
4 *6413:B 0.000599189
5 *6371:X 0
6 *920:30 0.00121231
7 *920:9 0.00144401
8 *920:4 0.000694097
9 *6372:A2 *6169:A2 0
10 *6372:A2 *6169:C1 2.22198e-05
11 *6372:A2 *6404:A 0
12 *6372:A2 *6408:B1 3.18679e-05
13 *6372:A2 *947:42 3.31733e-05
14 *6410:A1 *6410:A2 1.07248e-05
15 *6410:A1 *947:42 0.000357075
16 *6412:A1 *6389:B 9.77204e-05
17 *6412:A1 *6413:C 2.15184e-05
18 *6412:A1 *935:8 0.000141001
19 *6412:A1 *935:19 0.000311593
20 *6412:A1 *940:9 0.000207266
21 *6413:B *6413:C 9.18559e-06
22 *6413:B *6422:C1 7.69735e-05
23 *6413:B *935:8 8.62625e-06
24 *6413:B *1332:20 0
25 *920:9 *934:46 0.000106845
26 *920:9 *1331:21 1.67988e-05
27 *920:9 *1332:15 0.000114725
28 *920:9 *1332:20 4.33819e-05
29 *920:30 *6409:B 0.000205985
30 *920:30 *943:5 0.000111708
31 *920:30 *1331:13 0.000218833
32 *920:30 *1331:21 0.000330596
33 *6168:A *6372:A2 5.0715e-05
34 *6373:A *6372:A2 0.000521534
35 *6373:C *6372:A2 7.68538e-06
36 *6413:A *6413:B 7.98171e-06
37 *605:33 *6372:A2 0.000111722
38 *775:10 *6372:A2 0.000116971
39 *778:10 *6410:A1 0
40 *811:19 *6372:A2 1.69657e-05
41 *910:52 *6413:B 0.000457669
42 *913:18 *6372:A2 2.51637e-05
*RES
1 *6371:X *920:4 9.24915
2 *920:4 *920:9 12.4574
3 *920:9 *6413:B 24.6208
4 *920:9 *6412:A1 24.7517
5 *920:4 *920:30 13.5424
6 *920:30 *6372:A2 39.6376
7 *920:30 *6410:A1 20.1489
*END
*D_NET *921 0.00275639
*CONN
*I *6374:B I *D sky130_fd_sc_hd__and3b_1
*I *6373:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *6374:B 0.000665582
2 *6373:X 0.000665582
3 *6374:B *7077:A 0
4 *6169:A1 *6374:B 3.58321e-05
5 *6373:C *6374:B 0.000370801
6 *6374:A_N *6374:B 0.000399776
7 *6778:D *6374:B 8.14875e-05
8 *354:15 *6374:B 0
9 *773:16 *6374:B 1.36556e-05
10 *910:48 *6374:B 0.000523679
*RES
1 *6373:X *6374:B 44.6366
*END
*D_NET *922 0.000972708
*CONN
*I *6375:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6374:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *6375:A 0.000331567
2 *6374:X 0.000331567
3 *6778:D *6375:A 0.000309574
4 *274:8 *6375:A 0
*RES
1 *6374:X *6375:A 34.2118
*END
*D_NET *923 0.00650394
*CONN
*I *6377:A I *D sky130_fd_sc_hd__nor2_1
*I *6378:A I *D sky130_fd_sc_hd__and2_1
*I *6381:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6419:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6416:A I *D sky130_fd_sc_hd__nor2_1
*I *6376:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6377:A 0
2 *6378:A 8.36984e-05
3 *6381:A1 0
4 *6419:B2 0.000171911
5 *6416:A 0
6 *6376:X 3.9463e-05
7 *923:42 0.000190679
8 *923:36 0.000569098
9 *923:12 0.000295072
10 *923:5 0.000624741
11 *6378:A *6379:A1 0.000275256
12 *6419:B2 *6422:C1 0.000200236
13 *6419:B2 *936:33 5.43333e-05
14 *923:5 *1334:15 0.000113968
15 *923:12 *6418:A 9.60366e-05
16 *923:12 *6422:C1 0.000201582
17 *923:12 *936:33 2.81988e-05
18 *923:36 *6381:B1 0.00122299
19 *923:36 *6390:A2 3.0332e-05
20 *923:36 *6390:B1 6.50727e-05
21 *923:36 *6423:B 9.71182e-06
22 *923:36 *1334:15 6.08467e-05
23 *923:36 *1334:23 0.000465064
24 *6165:B *923:36 5.49209e-05
25 *6381:A2 *923:36 6.3657e-05
26 *6390:A1 *923:36 0.000171288
27 *6419:B1 *6419:B2 7.34948e-06
28 *600:23 *923:36 0.000192814
29 *750:37 *6378:A 3.82088e-05
30 *750:37 *923:36 0.000114594
31 *750:37 *923:42 0.000367089
32 *750:43 *6378:A 0.000275256
33 *772:5 *923:36 0.0003122
34 *792:48 *6419:B2 0.000108266
*RES
1 *6376:X *923:5 10.5271
2 *923:5 *923:12 9.31204
3 *923:12 *6416:A 13.7491
4 *923:12 *6419:B2 20.184
5 *923:5 *923:36 23.7033
6 *923:36 *6381:A1 9.24915
7 *923:36 *923:42 4.05102
8 *923:42 *6378:A 13.8789
9 *923:42 *6377:A 9.24915
*END
*D_NET *924 0.00124119
*CONN
*I *6379:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6377:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6379:A1 0.00024157
2 *6377:Y 0.00024157
3 *6379:A1 *6379:A2 0.000321905
4 *6378:A *6379:A1 0.000275256
5 *6379:B1 *6379:A1 6.73186e-05
6 *600:23 *6379:A1 4.52739e-05
7 *750:43 *6379:A1 4.82966e-05
*RES
1 *6377:Y *6379:A1 27.5649
*END
*D_NET *925 0.000802246
*CONN
*I *6379:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6378:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6379:A2 0.000235128
2 *6378:X 0.000235128
3 *6379:A1 *6379:A2 0.000321905
4 *6379:B1 *6379:A2 1.00846e-05
*RES
1 *6378:X *6379:A2 22.5493
*END
*D_NET *926 0.00596263
*CONN
*I *6423:A I *D sky130_fd_sc_hd__and3_1
*I *6381:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6421:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6422:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6420:A I *D sky130_fd_sc_hd__nand2_1
*I *6380:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6423:A 3.30239e-05
2 *6381:B1 0.000718863
3 *6421:A1 0.000153712
4 *6422:A1 0
5 *6420:A 3.71788e-05
6 *6380:X 0
7 *926:35 0.000838692
8 *926:25 0.000319421
9 *926:12 0.000278874
10 *926:4 0.000320599
11 *6420:A *6421:B2 0.000436811
12 *6420:A *6422:A2 0.000200536
13 *6421:A1 *6421:B1 0.000163982
14 *6421:A1 *6423:B 0
15 *6423:A *6164:B 3.31882e-05
16 *6423:A *1334:24 4.33979e-05
17 *926:12 *6380:A 3.01683e-06
18 *926:12 *6791:CLK 0.000373061
19 *926:12 *936:33 5.01375e-05
20 *926:12 *1335:5 5.05976e-05
21 *926:12 *1335:13 4.02303e-05
22 *926:25 *6791:CLK 0.000202245
23 *926:25 *1335:13 0.000207294
24 *926:35 *6137:B 7.50872e-05
25 *926:35 *6421:B1 3.31882e-05
26 *926:35 *1334:24 3.67708e-05
27 *6421:A2 *6421:A1 1.77537e-06
28 *350:8 *6421:A1 0
29 *350:8 *926:35 0
30 *792:47 *6423:A 3.49272e-05
31 *792:47 *926:35 5.302e-05
32 *923:36 *6381:B1 0.00122299
*RES
1 *6380:X *926:4 9.24915
2 *926:4 *926:12 17.1227
3 *926:12 *6420:A 13.8548
4 *926:12 *6422:A1 9.24915
5 *926:4 *926:25 9.66022
6 *926:25 *6421:A1 17.5597
7 *926:25 *926:35 3.07775
8 *926:35 *6381:B1 29.688
9 *926:35 *6423:A 15.1659
*END
*D_NET *927 0.000361354
*CONN
*I *6382:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *6381:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *6382:A2 0.000151645
2 *6381:Y 0.000151645
3 *6382:A2 *6165:A 0
4 *751:5 *6382:A2 3.14978e-05
5 *751:16 *6382:A2 2.65667e-05
6 *811:19 *6382:A2 0
*RES
1 *6381:Y *6382:A2 31.4388
*END
*D_NET *928 0.00551728
*CONN
*I *6384:A I *D sky130_fd_sc_hd__and2_1
*I *6386:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6424:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6426:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6429:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6383:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6384:A 8.60293e-05
2 *6386:A1 0
3 *6424:A1 0
4 *6426:B1 0
5 *6429:A1 0.000286582
6 *6383:X 9.29119e-05
7 *928:26 0.00114088
8 *928:15 0.00113863
9 *928:9 0.000324217
10 *928:8 0.000218829
11 *6384:A *6169:C1 0.000264551
12 *6384:A *6387:A2 0.000271674
13 *6429:A1 *936:15 0
14 *6429:A1 *1337:21 2.65831e-05
15 *928:8 *6165:A 0
16 *928:8 *1337:29 0.000165669
17 *928:15 *6424:B1_N 0.000164843
18 *928:26 *6421:B1 3.14978e-05
19 *928:26 *6421:B2 5.38599e-05
20 *928:26 *6422:A2 0.000224395
21 *928:26 *6422:B1 0.000273917
22 *928:26 *936:33 0
23 *6421:A2 *928:26 6.50727e-05
24 *6424:A2 *928:26 0.000107496
25 *6428:A2 *928:15 7.6719e-06
26 *6428:A2 *928:26 0.00017442
27 *6428:B1 *6429:A1 0
28 *6428:B1 *928:26 0
29 *354:15 *928:9 1.41291e-05
30 *354:15 *928:15 0.000276363
31 *354:15 *928:26 1.5613e-05
32 *751:25 *6384:A 6.48838e-05
33 *751:25 *928:9 2.65667e-05
*RES
1 *6383:X *928:8 21.3269
2 *928:8 *928:9 1.278
3 *928:9 *928:15 10.3446
4 *928:15 *928:26 23.6265
5 *928:26 *6429:A1 20.184
6 *928:26 *6426:B1 13.7491
7 *928:15 *6424:A1 9.24915
8 *928:9 *6386:A1 9.24915
9 *928:8 *6384:A 13.8548
*END
*D_NET *929 0.000992677
*CONN
*I *6385:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6384:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6385:A2 0.000298679
2 *6384:X 0.000298679
3 *6385:A2 *6387:A2 0.000217951
4 *6138:B *6385:A2 9.34088e-05
5 *811:19 *6385:A2 8.39596e-05
*RES
1 *6384:X *6385:A2 35.4548
*END
*D_NET *930 0.00233407
*CONN
*I *6387:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6386:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6387:A2 0.000525675
2 *6386:X 0.000525675
3 *6387:A2 *7085:A 3.31736e-05
4 *6138:B *6387:A2 0
5 *6139:B *6387:A2 0
6 *6384:A *6387:A2 0.000271674
7 *6385:A2 *6387:A2 0.000217951
8 *6387:A1 *6387:A2 0.000101133
9 *6387:B1 *6387:A2 0
10 *751:25 *6387:A2 9.82896e-06
11 *753:8 *6387:A2 0.000165481
12 *911:10 *6387:A2 0
13 *913:31 *6387:A2 0.000483474
*RES
1 *6386:X *6387:A2 44.4622
*END
*D_NET *931 0.00201168
*CONN
*I *6399:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6389:B I *D sky130_fd_sc_hd__or2_1
*I *6388:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6399:A 3.37207e-05
2 *6389:B 0.000252076
3 *6388:X 0.000178717
4 *931:8 0.000464513
5 *6389:B *935:19 0
6 *6389:B *1332:8 0
7 *6389:B *1332:15 0
8 *6399:A *935:24 0.000489932
9 *6399:A *940:9 0.000489932
10 *931:8 *6388:B 1.77537e-06
11 *931:8 *6396:A2 0
12 *931:8 *935:19 0
13 *931:8 *1089:32 3.28898e-06
14 *6412:A1 *6389:B 9.77204e-05
*RES
1 *6388:X *931:8 17.135
2 *931:8 *6389:B 20.5642
3 *931:8 *6399:A 18.9094
*END
*D_NET *932 0.002973
*CONN
*I *6405:A I *D sky130_fd_sc_hd__and3_1
*I *6390:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6389:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *6405:A 0
2 *6390:A2 0.000314661
3 *6389:X 0.000728622
4 *932:8 0.00104328
5 *6390:A2 *6405:C 4.90264e-05
6 *6390:A2 *934:32 0.000114878
7 *932:8 *6389:A 0.000224381
8 *932:8 *6403:B 4.70005e-05
9 *932:8 *6405:C 5.22654e-06
10 *932:8 *934:32 2.78316e-05
11 *932:8 *934:46 2.7961e-05
12 *6165:B *6390:A2 0.000111708
13 *6172:A *6390:A2 6.60341e-05
14 *6390:A1 *6390:A2 6.50727e-05
15 *778:10 *6390:A2 0
16 *778:10 *932:8 0
17 *779:9 *6390:A2 0.000116986
18 *923:36 *6390:A2 3.0332e-05
*RES
1 *6389:X *932:8 26.9729
2 *932:8 *6390:A2 24.3337
3 *932:8 *6405:A 13.7491
*END
*D_NET *933 0.00234115
*CONN
*I *6391:B I *D sky130_fd_sc_hd__nor2_2
*I *6425:A I *D sky130_fd_sc_hd__inv_2
*I *6390:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *6391:B 5.34345e-05
2 *6425:A 0.000225124
3 *6390:X 0.000305057
4 *933:6 0.000583615
5 *6391:B *1337:21 2.65831e-05
6 *6425:A *1081:61 5.14001e-05
7 *933:6 *6164:A 2.19276e-05
8 *933:6 *6390:B1 0.000526297
9 *933:6 *6791:CLK 1.25165e-05
10 *933:6 *1337:21 9.24241e-05
11 *6137:C *933:6 0.000143047
12 *6424:A2 *933:6 0.000139435
13 *6430:C1 *6425:A 3.20069e-06
14 *6430:C1 *933:6 5.93461e-05
15 *354:18 *933:6 5.50867e-05
16 *788:18 *6425:A 0
17 *788:25 *6391:B 4.26566e-05
18 *792:47 *6425:A 0
*RES
1 *6390:X *933:6 25.9624
2 *933:6 *6425:A 19.49
3 *933:6 *6391:B 15.5817
*END
*D_NET *934 0.00831675
*CONN
*I *6392:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6419:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6405:B I *D sky130_fd_sc_hd__and3_1
*I *6421:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6424:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6391:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *6392:A 0
2 *6419:A2 0.000324705
3 *6405:B 0.000165316
4 *6421:B1 0.000291031
5 *6424:B1_N 4.81585e-05
6 *6391:Y 0.000174912
7 *934:46 0.000597226
8 *934:32 0.000899853
9 *934:15 0.000869808
10 *934:6 0.000339832
11 *6419:A2 *6413:C 0.000242134
12 *6419:A2 *6419:A3 2.41274e-06
13 *6419:A2 *6789:CLK 6.08467e-05
14 *6419:A2 *6790:CLK 0.000351993
15 *6419:A2 *935:8 2.16355e-05
16 *6419:A2 *951:8 0
17 *6421:B1 *6137:A 1.80257e-05
18 *6421:B1 *6137:B 4.97617e-05
19 *6421:B1 *6421:B2 0.000103213
20 *6421:B1 *6791:CLK 0.000107496
21 *6421:B1 *1335:13 2.61955e-05
22 *934:6 *6390:B1 9.77871e-05
23 *934:6 *1337:21 3.42931e-05
24 *934:15 *6390:B1 8.47466e-05
25 *934:32 *6164:D 0
26 *934:32 *6390:B1 7.20391e-05
27 *934:32 *1332:20 0
28 *934:46 *6136:A2 0
29 *934:46 *935:8 3.40557e-05
30 *934:46 *1331:21 0.00027329
31 *934:46 *1332:15 1.41689e-05
32 *934:46 *1332:20 0.00011818
33 *6137:C *6421:B1 6.08467e-05
34 *6137:C *934:32 0
35 *6164:C *934:32 0.000133799
36 *6165:B *934:32 2.22923e-05
37 *6390:A2 *934:32 0.000114878
38 *6421:A1 *6421:B1 0.000163982
39 *6428:A2 *6424:B1_N 2.65831e-05
40 *602:56 *6419:A2 0.000131249
41 *602:56 *934:46 0.00104412
42 *605:33 *6419:A2 1.67404e-05
43 *750:8 *934:32 0
44 *779:9 *934:6 0.00010556
45 *779:9 *934:15 9.28672e-05
46 *779:9 *934:32 0.000127461
47 *792:47 *6421:B1 0.000190028
48 *910:52 *6405:B 0.000271058
49 *920:9 *934:46 0.000106845
50 *926:35 *6421:B1 3.31882e-05
51 *928:15 *6424:B1_N 0.000164843
52 *928:26 *6421:B1 3.14978e-05
53 *932:8 *934:32 2.78316e-05
54 *932:8 *934:46 2.7961e-05
*RES
1 *6391:Y *934:6 19.3184
2 *934:6 *6424:B1_N 15.5817
3 *934:6 *934:15 3.90826
4 *934:15 *6421:B1 32.1499
5 *934:15 *934:32 14.2896
6 *934:32 *6405:B 16.691
7 *934:32 *934:46 18.5868
8 *934:46 *6419:A2 29.6076
9 *934:46 *6392:A 9.24915
*END
*D_NET *935 0.0066378
*CONN
*I *6396:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6398:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6402:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6411:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6415:A2 I *D sky130_fd_sc_hd__a32o_1
*I *6392:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6396:A2 0.000249783
2 *6398:A2 0.000176204
3 *6402:A2 0.000339532
4 *6411:A2 0.000127927
5 *6415:A2 0.000263902
6 *6392:X 0.000207229
7 *935:38 0.000782676
8 *935:24 0.000538362
9 *935:19 0.000527715
10 *935:8 0.000605568
11 *6396:A2 *6388:B 0
12 *6396:A2 *6422:C1 7.33821e-05
13 *6396:A2 *1089:32 5.85117e-05
14 *6398:A2 *6398:A3 1.07248e-05
15 *6398:A2 *947:42 0
16 *6402:A2 *6402:A3 6.50727e-05
17 *6411:A2 *6403:B 5.04734e-05
18 *6415:A2 *6415:A3 1.09551e-05
19 *935:8 *6422:C1 0.000149628
20 *935:19 *6422:C1 0.000374525
21 *935:24 *940:9 0.000156562
22 *935:24 *940:27 9.40969e-05
23 *935:38 *947:42 0
24 *6171:A *6411:A2 0
25 *6171:A *935:38 0
26 *6171:B *6398:A2 2.19131e-05
27 *6171:B *935:38 8.3647e-05
28 *6389:B *935:19 0
29 *6397:A *6398:A2 0
30 *6398:A1 *6402:A2 2.33638e-05
31 *6399:A *935:24 0.000489932
32 *6412:A1 *935:8 0.000141001
33 *6412:A1 *935:19 0.000311593
34 *6413:B *935:8 8.62625e-06
35 *6415:B1 *6415:A2 9.73599e-06
36 *6415:B2 *6415:A2 6.50586e-05
37 *6419:A2 *935:8 2.16355e-05
38 *603:30 *935:24 0.00046538
39 *774:6 *6411:A2 0
40 *777:22 *6398:A2 0
41 *777:22 *6402:A2 9.9028e-05
42 *778:10 *6411:A2 0
43 *778:10 *935:38 0
44 *931:8 *6396:A2 0
45 *931:8 *935:19 0
46 *934:46 *935:8 3.40557e-05
*RES
1 *6392:X *935:8 18.7989
2 *935:8 *6415:A2 19.4881
3 *935:8 *935:19 6.81502
4 *935:19 *935:24 20.2609
5 *935:24 *6411:A2 16.9124
6 *935:24 *935:38 6.63182
7 *935:38 *6402:A2 21.6824
8 *935:38 *6398:A2 18.0727
9 *935:19 *6396:A2 20.3474
*END
*D_NET *936 0.00815935
*CONN
*I *6394:B I *D sky130_fd_sc_hd__nand2_1
*I *6426:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6429:A2 I *D sky130_fd_sc_hd__a31o_1
*I *6393:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6394:B 0
2 *6426:A1 0.000123059
3 *6429:A2 0
4 *6393:X 0.000890932
5 *936:33 0.00152008
6 *936:15 0.00176299
7 *936:8 0.00101078
8 *936:8 *1081:51 0
9 *936:8 *1337:8 5.53934e-05
10 *936:8 *1337:21 5.22654e-06
11 *936:15 *1337:21 2.97007e-05
12 *936:33 *6396:B1 0.000320257
13 *936:33 *6418:A 3.77804e-05
14 *936:33 *6419:A3 0
15 *936:33 *6422:C1 0.000585274
16 *936:33 *6790:CLK 0
17 *936:33 *938:22 0.000377492
18 *936:33 *1089:39 0
19 *6396:A1 *936:33 2.352e-05
20 *6412:B1 *936:33 0.000133968
21 *6415:B1 *936:33 0
22 *6419:A1 *936:33 5.94477e-06
23 *6419:B2 *936:33 5.43333e-05
24 *6426:A2 *6426:A1 0.000217923
25 *6426:A2 *936:8 0.000130777
26 *6426:A2 *936:15 0.000210962
27 *6428:B1 *936:15 7.14746e-05
28 *6428:B1 *936:33 2.4562e-05
29 *6429:A1 *936:15 0
30 *6784:D *936:33 0
31 *6793:D *936:8 0
32 *350:8 *936:33 0
33 *350:12 *936:15 7.77309e-06
34 *350:12 *936:33 1.44611e-05
35 *770:16 *936:33 0.000395421
36 *779:9 *6426:A1 1.00937e-05
37 *914:9 *936:33 6.08467e-05
38 *923:12 *936:33 2.81988e-05
39 *926:12 *936:33 5.01375e-05
40 *928:26 *936:33 0
*RES
1 *6393:X *936:8 29.4701
2 *936:8 *6429:A2 13.7491
3 *936:8 *936:15 4.32351
4 *936:15 *6426:A1 17.2697
5 *936:15 *936:33 49.631
6 *936:33 *6394:B 9.24915
*END
*D_NET *937 0.000809885
*CONN
*I *6396:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6394:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6396:B1 0.000161164
2 *6394:Y 0.000161164
3 *6396:A1 *6396:B1 0.000145665
4 *914:9 *6396:B1 2.16355e-05
5 *936:33 *6396:B1 0.000320257
*RES
1 *6394:Y *6396:B1 33.791
*END
*D_NET *938 0.019068
*CONN
*I *6568:A I *D sky130_fd_sc_hd__nand2_1
*I *6517:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6396:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6422:C1 I *D sky130_fd_sc_hd__o211a_1
*I *6457:A I *D sky130_fd_sc_hd__nand2_1
*I *6395:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6568:A 0.000283813
2 *6517:B1 4.11783e-05
3 *6396:C1 0
4 *6422:C1 0.00110696
5 *6457:A 0.000202242
6 *6395:X 0
7 *938:45 0.00205394
8 *938:44 0.00208639
9 *938:22 0.00193526
10 *938:11 0.00176852
11 *938:10 0.00186593
12 *938:4 0.00148538
13 *6422:C1 *6164:B 0
14 *6422:C1 *6164:D 0
15 *6422:C1 *6388:B 0
16 *6422:C1 *6421:B2 0.000171273
17 *6422:C1 *6422:B1 0.000175485
18 *6422:C1 *6423:C 0
19 *6422:C1 *1332:20 0
20 *6422:C1 *1334:24 0
21 *6457:A *1281:25 2.01874e-05
22 *6568:A *1082:8 1.44611e-05
23 *6568:A *1082:17 8.26975e-05
24 *6568:A *1263:13 0
25 *938:10 *1127:38 0
26 *938:10 *1249:16 0
27 *938:11 *6449:A 0.000171473
28 *938:11 *1281:25 3.63947e-05
29 *938:22 *6388:B 0
30 *938:22 *1089:81 0
31 *938:22 *1089:88 0
32 *938:22 *1089:98 0
33 *938:22 *1250:8 0
34 *938:22 *1250:17 0
35 *938:22 *1281:24 0
36 *938:22 *1329:6 0
37 *938:45 *6527:A 0.000142192
38 *938:45 *6529:B1 0.000116971
39 *938:45 *6533:A1 4.27148e-05
40 *938:45 *1082:8 0.000258359
41 *938:45 *1095:93 0
42 *938:45 *1263:13 0
43 *938:45 *1264:17 0.000205324
44 *938:45 *1264:30 3.1741e-05
45 *938:45 *1264:37 0.000181677
46 *6396:A2 *6422:C1 7.33821e-05
47 *6397:B *938:22 5.34081e-05
48 *6413:B *6422:C1 7.69735e-05
49 *6419:B2 *6422:C1 0.000200236
50 *6451:A *938:22 9.14346e-05
51 *6451:C *938:22 0
52 *6457:B *6457:A 0.000139764
53 *6457:B *938:11 1.65872e-05
54 *6457:B *938:22 5.56367e-05
55 *6470:B2 *938:10 0
56 *6505:B1 *938:45 0
57 *6526:A2 *938:45 5.41467e-05
58 *6526:C1 *938:45 0
59 *6532:B *938:45 0.000123582
60 *6533:B1 *938:45 0
61 *6533:C1 *938:45 0
62 *6795:D *938:22 4.47713e-05
63 *6806:D *6568:A 4.27003e-05
64 *350:8 *6422:C1 0
65 *354:45 *938:45 0
66 *356:5 *938:11 0.000845133
67 *356:7 *938:11 0.000111722
68 *356:11 *938:11 6.41884e-05
69 *373:8 *938:11 6.92705e-05
70 *375:8 *938:11 0.000436825
71 *400:31 *938:45 0.000196638
72 *419:14 *938:45 0
73 *427:8 *938:45 1.90218e-05
74 *446:10 *938:45 0
75 *456:11 *938:45 0
76 *596:22 *6517:B1 5.0715e-05
77 *904:34 *938:10 0.000132831
78 *923:12 *6422:C1 0.000201582
79 *935:8 *6422:C1 0.000149628
80 *935:19 *6422:C1 0.000374525
81 *936:33 *6422:C1 0.000585274
82 *936:33 *938:22 0.000377492
*RES
1 *6395:X *938:4 9.24915
2 *938:4 *938:10 33.5694
3 *938:10 *938:11 23.4621
4 *938:11 *6457:A 14.8434
5 *938:11 *938:22 28.3404
6 *938:22 *6422:C1 49.8767
7 *938:22 *6396:C1 13.7491
8 *938:4 *938:44 9.66022
9 *938:44 *938:45 48.7555
10 *938:45 *6517:B1 15.0271
11 *938:45 *6568:A 21.6423
*END
*D_NET *939 0.000547573
*CONN
*I *6398:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6397:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6398:A3 0.000187991
2 *6397:X 0.000187991
3 *6398:A3 *6361:A 0
4 *6397:A *6398:A3 0
5 *6397:B *6398:A3 0.000118166
6 *6398:A2 *6398:A3 1.07248e-05
7 *6785:D *6398:A3 4.27003e-05
*RES
1 *6397:X *6398:A3 32.2693
*END
*D_NET *940 0.00583059
*CONN
*I *6401:A I *D sky130_fd_sc_hd__nor2_1
*I *6410:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6403:B I *D sky130_fd_sc_hd__and2_1
*I *6412:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *6413:C I *D sky130_fd_sc_hd__and3_1
*I *6399:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6401:A 9.95452e-05
2 *6410:A2 9.61663e-05
3 *6403:B 0.000301134
4 *6412:A2 0
5 *6413:C 0.000600001
6 *6399:X 2.06324e-05
7 *940:37 0.000365459
8 *940:27 0.000605313
9 *940:9 0.000944368
10 *940:5 0.000499431
11 *6401:A *6410:B1 1.41976e-05
12 *6403:B *1332:15 0
13 *6410:A2 *947:42 5.79399e-05
14 *6413:C *6414:A 0.00013381
15 *6413:C *6414:B 0
16 *6413:C *6790:CLK 9.22013e-06
17 *6413:C *951:8 0
18 *6413:C *1089:39 6.62271e-05
19 *6413:C *1089:41 0.00012284
20 *6399:A *940:9 0.000489932
21 *6410:A1 *6410:A2 1.07248e-05
22 *6411:A1 *6403:B 8.01837e-05
23 *6411:A2 *6403:B 5.04734e-05
24 *6412:A1 *6413:C 2.15184e-05
25 *6412:A1 *940:9 0.000207266
26 *6413:B *6413:C 9.18559e-06
27 *6419:A2 *6413:C 0.000242134
28 *6789:D *6413:C 0.000122098
29 *603:30 *6401:A 2.32967e-05
30 *603:30 *6413:C 0.00010307
31 *603:30 *940:9 1.68741e-05
32 *603:30 *940:27 1.40978e-05
33 *603:30 *940:37 5.96914e-05
34 *774:6 *6403:B 0
35 *778:10 *6403:B 0.000146096
36 *778:10 *6410:A2 0
37 *932:8 *6403:B 4.70005e-05
38 *935:24 *940:9 0.000156562
39 *935:24 *940:27 9.40969e-05
*RES
1 *6399:X *940:5 9.82786
2 *940:5 *940:9 11.285
3 *940:9 *6413:C 37.5489
4 *940:9 *6412:A2 9.24915
5 *940:5 *940:27 3.49641
6 *940:27 *6403:B 27.5557
7 *940:27 *940:37 4.05102
8 *940:37 *6410:A2 20.9116
9 *940:37 *6401:A 11.6605
*END
*D_NET *941 0.00242445
*CONN
*I *6401:B I *D sky130_fd_sc_hd__nor2_1
*I *6400:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6401:B 0.000640645
2 *6400:Y 0.000640645
3 *6401:B *6400:B1 0.000125972
4 *6401:B *6402:A3 0
5 *6401:B *6409:B 0.00031834
6 *6401:B *1089:20 0
7 *6401:B *1330:20 0.000225473
8 *6363:A *6401:B 0.000362141
9 *6398:B1 *6401:B 3.08887e-05
10 *6409:A *6401:B 1.39717e-06
11 *603:30 *6401:B 7.89491e-05
*RES
1 *6400:Y *6401:B 44.3523
*END
*D_NET *942 0.000895219
*CONN
*I *6402:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6401:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6402:A3 0.000200707
2 *6401:Y 0.000200707
3 *6402:A3 *947:42 5.39325e-05
4 *6402:A3 *1089:20 0.00022117
5 *6365:A *6402:A3 2.04806e-05
6 *6398:A1 *6402:A3 1.61631e-05
7 *6401:B *6402:A3 0
8 *6402:A2 *6402:A3 6.50727e-05
9 *6786:D *6402:A3 0.000116986
*RES
1 *6401:Y *6402:A3 34.6215
*END
*D_NET *943 0.00400924
*CONN
*I *6409:B I *D sky130_fd_sc_hd__nor2_1
*I *6404:A I *D sky130_fd_sc_hd__clkinv_2
*I *6403:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6409:B 0.000565525
2 *6404:A 0.000247537
3 *6403:X 7.65377e-05
4 *943:5 0.000889599
5 *6404:A *6169:A2 0
6 *6409:B *6169:A2 0.000127969
7 *6409:B *6410:B1 0.000513368
8 *6409:B *6787:CLK 0.000237023
9 *6409:B *947:42 0.000161732
10 *6409:B *1089:20 8.37979e-05
11 *6409:B *1331:13 3.61993e-05
12 *6372:A2 *6404:A 0
13 *6401:B *6409:B 0.00031834
14 *603:30 *6409:B 1.39663e-05
15 *778:10 *6404:A 0.000419953
16 *920:30 *6409:B 0.000205985
17 *920:30 *943:5 0.000111708
*RES
1 *6403:X *943:5 10.5271
2 *943:5 *6404:A 25.8947
3 *943:5 *6409:B 35.9605
*END
*D_NET *944 0.000417584
*CONN
*I *6405:C I *D sky130_fd_sc_hd__and3_1
*I *6404:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *6405:C 0.000122928
2 *6404:Y 0.000122928
3 *6373:A *6405:C 2.48457e-05
4 *6390:A2 *6405:C 4.90264e-05
5 *605:33 *6405:C 6.50727e-05
6 *778:10 *6405:C 2.33334e-05
7 *910:52 *6405:C 4.22229e-06
8 *932:8 *6405:C 5.22654e-06
*RES
1 *6404:Y *6405:C 30.7643
*END
*D_NET *945 0.00138782
*CONN
*I *6408:B1 I *D sky130_fd_sc_hd__a22o_1
*I *6405:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6408:B1 0.000366446
2 *6405:X 0.000366446
3 *6408:B1 *6169:A2 0.000143047
4 *6408:B1 *947:42 5.22654e-06
5 *6372:A2 *6408:B1 3.18679e-05
6 *910:52 *6408:B1 0.000474783
*RES
1 *6405:X *6408:B1 35.321
*END
*D_NET *946 0.00590536
*CONN
*I *6619:A I *D sky130_fd_sc_hd__and3_1
*I *6656:A I *D sky130_fd_sc_hd__and3_1
*I *6600:A I *D sky130_fd_sc_hd__and3_1
*I *6407:A I *D sky130_fd_sc_hd__clkbuf_4
*I *6659:A I *D sky130_fd_sc_hd__and3_1
*I *6406:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *6619:A 0.00024914
2 *6656:A 0.000138755
3 *6600:A 0
4 *6407:A 0
5 *6659:A 8.9692e-05
6 *6406:X 0
7 *946:14 0.000510996
8 *946:9 0.000571057
9 *946:7 0.000739119
10 *946:4 0.000699752
11 *6659:A *1096:8 0.000156823
12 *946:14 *1096:8 0.000163465
13 *946:14 *1096:50 8.01987e-05
14 *6347:A *946:14 6.08467e-05
15 *6406:A *6619:A 0.000118166
16 *6406:A *946:7 7.24449e-05
17 *6600:B *6656:A 0
18 *6600:C *946:14 0.000151632
19 *6619:B *6619:A 1.43983e-05
20 *6620:A *6619:A 0
21 *6656:B *6656:A 0.000111326
22 *6657:A *6656:A 3.9739e-05
23 *6657:A *946:7 0.000118166
24 *6658:B *6656:A 6.50727e-05
25 *6833:D *6656:A 0.000179286
26 *367:41 *6659:A 0.000111708
27 *813:34 *6659:A 0.00015324
28 *813:34 *946:14 0.000226281
29 *813:48 *946:7 0.000313024
30 *813:48 *946:9 0.000188255
31 *813:48 *946:14 0.000362591
32 *829:24 *6619:A 0.000220183
*RES
1 *6406:X *946:4 9.24915
2 *946:4 *946:7 12.9488
3 *946:7 *946:9 4.60562
4 *946:9 *946:14 18.9752
5 *946:14 *6659:A 17.6896
6 *946:14 *6407:A 13.7491
7 *946:9 *6600:A 9.24915
8 *946:7 *6656:A 23.7113
9 *946:4 *6619:A 16.0973
*END
*D_NET *947 0.0268992
*CONN
*I *6560:C1 I *D sky130_fd_sc_hd__o211ai_1
*I *6509:C1 I *D sky130_fd_sc_hd__o211ai_1
*I *6498:C1 I *D sky130_fd_sc_hd__o311a_1
*I *6408:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6446:C1 I *D sky130_fd_sc_hd__o211ai_1
*I *6407:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6560:C1 0.000657498
2 *6509:C1 0
3 *6498:C1 8.64409e-05
4 *6408:B2 0
5 *6446:C1 0.000100024
6 *6407:X 0.000197209
7 *947:76 0.0017064
8 *947:68 0.00115872
9 *947:52 0.00148346
10 *947:42 0.00115914
11 *947:26 0.00265513
12 *947:22 0.00173764
13 *947:19 0.00244478
14 *947:8 0.00358751
15 *6498:C1 *6465:A 0.000164843
16 *6498:C1 *1138:9 0.000113197
17 *947:19 *6468:A 1.00981e-05
18 *947:19 *6809:CLK 0.000373619
19 *947:19 *6812:CLK 2.85274e-05
20 *947:19 *7100:A 0.000224395
21 *947:19 *1095:90 8.2739e-05
22 *947:19 *1096:7 3.9504e-05
23 *947:19 *1267:10 0.000627675
24 *947:26 *6475:B1 0
25 *947:26 *6477:A 7.18816e-06
26 *947:26 *1249:6 0
27 *947:26 *1249:16 0
28 *947:42 *6169:A2 1.2819e-05
29 *947:42 *6410:B1 9.96342e-05
30 *947:42 *1089:20 1.28326e-05
31 *947:52 *1138:9 0.000184618
32 *947:68 *6433:B 0
33 *947:68 *6464:A 0.000247216
34 *947:68 *6471:A 6.31809e-05
35 *947:68 *6509:A1 3.01683e-06
36 *947:68 *1257:10 4.41474e-05
37 *947:68 *1258:19 0
38 *947:68 *1259:10 0
39 *947:76 *6580:A 0.00017538
40 *947:76 *6580:B 0
41 *947:76 *6820:CLK 0
42 *947:76 *7081:A 0
43 *947:76 *7082:A 0
44 *6365:A *947:42 0.00033614
45 *6372:A2 *947:42 3.31733e-05
46 *6397:A *947:42 0
47 *6398:A2 *947:42 0
48 *6402:A3 *947:42 5.39325e-05
49 *6408:B1 *947:42 5.22654e-06
50 *6409:B *947:42 0.000161732
51 *6410:A1 *947:42 0.000357075
52 *6410:A2 *947:42 5.79399e-05
53 *6434:D *947:68 0
54 *6443:A1 *947:26 6.33884e-05
55 *6443:B1 *947:26 0
56 *6445:A1 *947:26 0.00011038
57 *6446:A1 *947:26 0
58 *6446:B1 *947:26 0
59 *6469:A *947:22 0.000222752
60 *6469:A *947:26 5.56367e-05
61 *6469:A *947:52 2.16355e-05
62 *6470:A1 *947:26 5.33121e-05
63 *6474:A2 *947:26 1.56625e-05
64 *6478:A3 *947:52 0.000290275
65 *6478:B1 *947:26 0
66 *6498:A1 *6498:C1 6.49003e-05
67 *6509:B1 *947:68 7.34948e-06
68 *6510:A2 *947:68 3.67528e-06
69 *6560:A2 *6560:C1 0.000716734
70 *6560:B1 *6560:C1 4.82966e-05
71 *6566:C *6560:C1 0.000113968
72 *6567:A2 *947:76 7.56859e-06
73 *6568:B *947:76 0
74 *6786:D *947:42 1.70077e-05
75 *6794:D *6446:C1 4.30017e-06
76 *6794:D *947:26 0
77 *6809:D *947:19 6.67095e-06
78 *6812:D *947:19 1.87611e-05
79 *6819:D *947:76 2.69064e-05
80 *6841:D *947:42 0
81 *357:8 *947:26 2.36494e-05
82 *359:10 *947:68 0
83 *369:16 *947:26 0
84 *371:29 *947:68 0.00145283
85 *371:39 *947:68 0.000118485
86 *377:33 *947:26 0
87 *385:17 *947:68 1.87469e-05
88 *388:8 *947:22 0
89 *388:8 *947:26 0
90 *388:25 *947:22 0
91 *398:15 *6498:C1 0.0002646
92 *398:15 *947:52 0.000494443
93 *398:37 *947:52 0.000238947
94 *400:5 *947:8 0.000171273
95 *402:8 *947:8 8.18766e-05
96 *402:8 *947:76 4.37999e-05
97 *409:11 *947:76 0.000118485
98 *419:14 *947:76 0
99 *462:18 *947:76 1.09738e-05
100 *777:22 *947:42 0
101 *778:10 *947:42 0
102 *902:28 *947:8 9.39891e-06
103 *902:46 *947:76 0.0003637
104 *904:21 *947:8 1.91391e-05
105 *904:55 *947:8 0.000120419
106 *904:55 *947:76 0.000985469
107 *935:38 *947:42 0
*RES
1 *6407:X *947:8 19.0748
2 *947:8 *947:19 46.0953
3 *947:19 *947:22 8.40826
4 *947:22 *947:26 38.7217
5 *947:26 *6446:C1 11.6364
6 *947:26 *947:42 42.4995
7 *947:42 *6408:B2 9.24915
8 *947:22 *947:52 15.9538
9 *947:52 *6498:C1 14.4335
10 *947:52 *947:68 46.1848
11 *947:68 *6509:C1 9.24915
12 *947:8 *947:76 41.2132
13 *947:76 *6560:C1 20.944
*END
*D_NET *948 0.00138886
*CONN
*I *6410:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6409:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6410:B1 0.000378527
2 *6409:Y 0.000378527
3 *6401:A *6410:B1 1.41976e-05
4 *6409:B *6410:B1 0.000513368
5 *603:30 *6410:B1 4.60686e-06
6 *947:42 *6410:B1 9.96342e-05
*RES
1 *6409:Y *6410:B1 35.0451
*END
*D_NET *949 0.00142427
*CONN
*I *6411:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6410:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6411:A3 0.000160043
2 *6410:Y 0.000160043
3 *6411:A1 *6411:A3 0.000570342
4 *6411:B1 *6411:A3 0.00051066
5 *774:9 *6411:A3 2.31834e-05
*RES
1 *6410:Y *6411:A3 26.8656
*END
*D_NET *950 0.00158075
*CONN
*I *6414:A I *D sky130_fd_sc_hd__nor2_1
*I *6412:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6414:A 0.000711084
2 *6412:Y 0.000711084
3 *6414:A *6414:B 5.22654e-06
4 *6413:C *6414:A 0.00013381
5 *603:30 *6414:A 1.9547e-05
6 *606:47 *6414:A 0
*RES
1 *6412:Y *6414:A 40.343
*END
*D_NET *951 0.0038286
*CONN
*I *6414:B I *D sky130_fd_sc_hd__nor2_1
*I *6423:C I *D sky130_fd_sc_hd__and3_1
*I *6416:B I *D sky130_fd_sc_hd__nor2_1
*I *6417:B I *D sky130_fd_sc_hd__and2_1
*I *6413:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *6414:B 0.000244305
2 *6423:C 0.000305332
3 *6416:B 2.06324e-05
4 *6417:B 0.00012769
5 *6413:X 0.000205692
6 *951:20 0.000636536
7 *951:8 0.000786929
8 *951:7 0.000798664
9 *6417:B *6418:A 6.50727e-05
10 *6417:B *6418:B 8.62625e-06
11 *6417:B *6419:A3 3.14978e-05
12 *6417:B *1334:10 4.20662e-05
13 *6423:C *6164:B 4.70005e-05
14 *6423:C *1334:23 5.07984e-05
15 *951:8 *6419:A3 0
16 *951:8 *6790:CLK 0
17 *951:20 *1334:10 0.000113968
18 *6413:C *6414:B 0
19 *6413:C *951:8 0
20 *6414:A *6414:B 5.22654e-06
21 *6419:A2 *951:8 0
22 *6422:C1 *6423:C 0
23 *6789:D *6414:B 0
24 *6790:D *951:8 0
25 *6790:D *951:20 2.13584e-05
26 *600:23 *6423:C 4.66005e-05
27 *604:33 *6423:C 0.000115028
28 *604:33 *951:20 0.000155579
29 *606:47 *6414:B 0
*RES
1 *6413:X *951:7 16.691
2 *951:7 *951:8 8.47603
3 *951:8 *6417:B 17.135
4 *951:8 *951:20 12.9878
5 *951:20 *6416:B 9.82786
6 *951:20 *6423:C 26.1871
7 *951:7 *6414:B 19.3184
*END
*D_NET *952 0.000482869
*CONN
*I *6415:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6414:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6415:A3 0.000235957
2 *6414:Y 0.000235957
3 *6415:A2 *6415:A3 1.09551e-05
*RES
1 *6414:Y *6415:A3 23.6826
*END
*D_NET *953 0.00291073
*CONN
*I *6418:A I *D sky130_fd_sc_hd__nor2_1
*I *6416:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6418:A 0.000206753
2 *6416:Y 0.000206753
3 *6418:A *6418:B 0.000277488
4 *6418:A *6419:A3 1.75143e-05
5 *6418:A *1334:15 0.000742581
6 *6417:B *6418:A 6.50727e-05
7 *600:23 *6418:A 0.00126075
8 *923:12 *6418:A 9.60366e-05
9 *936:33 *6418:A 3.77804e-05
*RES
1 *6416:Y *6418:A 43.3883
*END
*D_NET *954 0.00205627
*CONN
*I *6418:B I *D sky130_fd_sc_hd__nor2_1
*I *6420:B I *D sky130_fd_sc_hd__nand2_1
*I *6422:A2 I *D sky130_fd_sc_hd__o211a_1
*I *6417:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *6418:B 7.96841e-05
2 *6420:B 0
3 *6422:A2 0.000215082
4 *6417:X 0
5 *954:9 0.00049844
6 *954:5 0.000363042
7 *6418:B *6419:A3 0.00012316
8 *6422:A2 *6421:B2 2.82583e-05
9 *6422:A2 *6422:B1 3.75603e-05
10 *6417:B *6418:B 8.62625e-06
11 *6418:A *6418:B 0.000277488
12 *6420:A *6422:A2 0.000200536
13 *6791:D *954:9 0
14 *604:8 *6418:B 0
15 *604:8 *954:9 0
16 *928:26 *6422:A2 0.000224395
*RES
1 *6417:X *954:5 13.7491
2 *954:5 *954:9 11.3473
3 *954:9 *6422:A2 17.4959
4 *954:9 *6420:B 9.24915
5 *954:5 *6418:B 18.1077
*END
*D_NET *955 0.00177546
*CONN
*I *6419:A3 I *D sky130_fd_sc_hd__a32o_1
*I *6418:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *6419:A3 0.000603372
2 *6418:Y 0.000603372
3 *6419:A3 *6790:CLK 6.31665e-05
4 *6419:A3 *1334:15 0.000147308
5 *6417:B *6419:A3 3.14978e-05
6 *6418:A *6419:A3 1.75143e-05
7 *6418:B *6419:A3 0.00012316
8 *6419:A1 *6419:A3 9.07343e-06
9 *6419:A2 *6419:A3 2.41274e-06
10 *6790:D *6419:A3 0.000170592
11 *605:33 *6419:A3 3.99086e-06
12 *936:33 *6419:A3 0
13 *951:8 *6419:A3 0
*RES
1 *6418:Y *6419:A3 43.9586
*END
*D_NET *956 0.00225268
*CONN
*I *6421:B2 I *D sky130_fd_sc_hd__a22o_1
*I *6420:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6421:B2 0.00058418
2 *6420:Y 0.00058418
3 *6421:B2 *6422:B1 0.000156562
4 *6420:A *6421:B2 0.000436811
5 *6421:A2 *6421:B2 6.50727e-05
6 *6421:B1 *6421:B2 0.000103213
7 *6422:A2 *6421:B2 2.82583e-05
8 *6422:C1 *6421:B2 0.000171273
9 *6791:D *6421:B2 6.92705e-05
10 *928:26 *6421:B2 5.38599e-05
*RES
1 *6420:Y *6421:B2 35.3775
*END
*D_NET *957 0.000839608
*CONN
*I *6422:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6421:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *6422:B1 9.80413e-05
2 *6421:X 9.80413e-05
3 *6421:B2 *6422:B1 0.000156562
4 *6422:A2 *6422:B1 3.75603e-05
5 *6422:C1 *6422:B1 0.000175485
6 *928:26 *6422:B1 0.000273917
*RES
1 *6421:X *6422:B1 25.3706
*END
*D_NET *958 0.0011216
*CONN
*I *6962:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5973:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6962:TE_B 0.000321762
2 *5973:Y 0.000321762
3 *6962:TE_B *6962:A 4.12533e-05
4 *591:15 *6962:TE_B 0.000436825
*RES
1 *5973:Y *6962:TE_B 33.9359
*END
*D_NET *959 0.00105498
*CONN
*I *6963:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5934:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6963:TE_B 0.000310271
2 *5934:Y 0.000310271
3 io_oeb[30] *6963:TE_B 0.000121726
4 io_out[32] *6963:TE_B 6.7356e-05
5 la1_data_out[27] *6963:TE_B 0.000127194
6 *63:13 *6963:TE_B 0.000118166
*RES
1 *5934:Y *6963:TE_B 37.2579
*END
*D_NET *960 0.000660937
*CONN
*I *6964:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5933:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6964:TE_B 0.000195184
2 *5933:Y 0.000195184
3 *6964:TE_B *6964:A 4.61732e-05
4 *583:73 *6964:TE_B 0.000224395
*RES
1 *5933:Y *6964:TE_B 31.7175
*END
*D_NET *961 0.00051801
*CONN
*I *6965:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5872:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6965:TE_B 0.000167719
2 *5872:Y 0.000167719
3 *5872:A *6965:TE_B 0.000127179
4 *587:10 *6965:TE_B 5.53934e-05
*RES
1 *5872:Y *6965:TE_B 32.1327
*END
*D_NET *962 0.000336812
*CONN
*I *6966:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5863:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6966:TE_B 0.000161213
2 *5863:Y 0.000161213
3 io_oeb[16] *6966:TE_B 0
4 io_out[29] *6966:TE_B 0
5 la1_data_out[4] *6966:TE_B 0
6 *5863:A *6966:TE_B 1.43848e-05
*RES
1 *5863:Y *6966:TE_B 30.4689
*END
*D_NET *963 0.000614126
*CONN
*I *6967:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5881:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6967:TE_B 0.000154044
2 *5881:Y 0.000154044
3 *6967:TE_B *6967:A 0.000224395
4 *5881:A *6967:TE_B 6.75138e-05
5 *177:11 *6967:TE_B 1.41291e-05
*RES
1 *5881:Y *6967:TE_B 23.1039
*END
*D_NET *964 0.000645409
*CONN
*I *6968:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5928:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6968:TE_B 0.000219772
2 *5928:Y 0.000219772
3 *596:55 *6968:TE_B 0.000101133
4 *600:44 *6968:TE_B 0.000104731
*RES
1 *5928:Y *6968:TE_B 32.8267
*END
*D_NET *965 0.00129539
*CONN
*I *6969:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5850:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6969:TE_B 0.000370279
2 *5850:Y 0.000370279
3 io_oeb[22] *6969:TE_B 6.91859e-05
4 io_oeb[8] *6969:TE_B 0
5 la1_data_out[5] *6969:TE_B 0
6 *5850:A *6969:TE_B 6.65668e-05
7 *80:9 *6969:TE_B 0.000164241
8 *177:11 *6969:TE_B 0.000144546
9 *591:15 *6969:TE_B 0.000110297
*RES
1 *5850:Y *6969:TE_B 38.3966
*END
*D_NET *966 0.000948157
*CONN
*I *6970:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5847:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6970:TE_B 0.000372946
2 *5847:Y 0.000372946
3 *584:62 *6970:TE_B 0.000101133
4 *596:51 *6970:TE_B 0.000101133
*RES
1 *5847:Y *6970:TE_B 35.5997
*END
*D_NET *967 0.000330512
*CONN
*I *6971:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5925:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6971:TE_B 0.000121006
2 *5925:Y 0.000121006
3 *6971:TE_B *6971:A 4.58003e-05
4 la1_data_out[31] *6971:TE_B 4.27003e-05
*RES
1 *5925:Y *6971:TE_B 30.6083
*END
*D_NET *968 0.000748523
*CONN
*I *6972:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5924:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6972:TE_B 0.000309511
2 *5924:Y 0.000309511
3 *6972:TE_B *6972:A 3.601e-05
4 *597:65 *6972:TE_B 9.34919e-05
*RES
1 *5924:Y *6972:TE_B 32.8267
*END
*D_NET *969 0.00037539
*CONN
*I *6973:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5921:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6973:TE_B 0.000155159
2 *5921:Y 0.000155159
3 *587:34 *6973:TE_B 6.50727e-05
*RES
1 *5921:Y *6973:TE_B 30.4689
*END
*D_NET *970 0.000800595
*CONN
*I *6974:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5920:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6974:TE_B 5.137e-05
2 *5920:Y 5.137e-05
3 *6974:TE_B *6974:A 5.56461e-05
4 io_oeb[2] *6974:TE_B 0.000487686
5 *600:50 *6974:TE_B 9.82896e-06
6 *600:54 *6974:TE_B 0.000144695
*RES
1 *5920:Y *6974:TE_B 23.6585
*END
*D_NET *971 0.000984841
*CONN
*I *6975:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5918:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6975:TE_B 0.000266614
2 *5918:Y 0.000266614
3 *6975:TE_B *6980:A 0.000329545
4 *6975:TE_B *6980:TE_B 0.000122068
*RES
1 *5918:Y *6975:TE_B 35.9743
*END
*D_NET *972 0.000570355
*CONN
*I *6976:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5915:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6976:TE_B 0.000278595
2 *5915:Y 0.000278595
3 *588:21 *6976:TE_B 1.31657e-05
*RES
1 *5915:Y *6976:TE_B 33.3757
*END
*D_NET *973 0.00109438
*CONN
*I *6977:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5913:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6977:TE_B 0.000515567
2 *5913:Y 0.000515567
3 *6977:TE_B *6977:A 5.53789e-05
4 *6977:TE_B *6987:TE_B 0
5 la1_data_out[25] *6977:TE_B 7.86825e-06
6 la1_data_out[7] *6977:TE_B 0
7 *5860:A *6977:TE_B 0
*RES
1 *5913:Y *6977:TE_B 38.7768
*END
*D_NET *974 0.000783194
*CONN
*I *6978:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5912:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6978:TE_B 0.000276007
2 *5912:Y 0.000276007
3 io_oeb[6] *6978:TE_B 0.000101133
4 *5912:A *6978:TE_B 0.000103139
5 *605:48 *6978:TE_B 2.69064e-05
*RES
1 *5912:Y *6978:TE_B 32.8267
*END
*D_NET *975 0.00056837
*CONN
*I *6979:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5871:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6979:TE_B 0.000156991
2 *5871:Y 0.000156991
3 *585:47 *6979:TE_B 0.000127194
4 *600:45 *6979:TE_B 0.000127194
*RES
1 *5871:Y *6979:TE_B 32.1327
*END
*D_NET *976 0.00196399
*CONN
*I *6980:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5870:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6980:TE_B 0.000649674
2 *5870:Y 0.000649674
3 *6980:TE_B *7062:TE_B 1.00937e-05
4 *5870:A *6980:TE_B 2.85139e-05
5 *5900:A *6980:TE_B 0.000489918
6 *6975:TE_B *6980:TE_B 0.000122068
7 *63:13 *6980:TE_B 1.40502e-05
*RES
1 *5870:Y *6980:TE_B 39.3426
*END
*D_NET *977 0.000627961
*CONN
*I *6981:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5869:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6981:TE_B 0.000211048
2 *5869:Y 0.000211048
3 *596:55 *6981:TE_B 0.000101133
4 *600:44 *6981:TE_B 0.000104731
*RES
1 *5869:Y *6981:TE_B 32.8267
*END
*D_NET *978 0.00147694
*CONN
*I *6982:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5868:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6982:TE_B 0.000480902
2 *5868:Y 0.000480902
3 *6982:TE_B *6965:A 5.88662e-05
4 *6982:TE_B *6982:A 0.000159566
5 *587:10 *6982:TE_B 0.000296707
*RES
1 *5868:Y *6982:TE_B 41.6835
*END
*D_NET *979 0.000610589
*CONN
*I *6983:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5866:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6983:TE_B 0.000202362
2 *5866:Y 0.000202362
3 *584:60 *6983:TE_B 0.000101133
4 *595:58 *6983:TE_B 0.000104731
*RES
1 *5866:Y *6983:TE_B 32.8267
*END
*D_NET *980 0.000287101
*CONN
*I *6984:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5865:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6984:TE_B 0.000116096
2 *5865:Y 0.000116096
3 *6984:TE_B *1116:8 0
4 la1_data_out[22] *6984:TE_B 1.77537e-06
5 *62:5 *6984:TE_B 2.65667e-05
6 *600:58 *6984:TE_B 2.65667e-05
*RES
1 *5865:Y *6984:TE_B 30.4689
*END
*D_NET *981 0.00144983
*CONN
*I *6985:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5864:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6985:TE_B 0.000423312
2 *5864:Y 0.000423312
3 *6985:TE_B *6985:A 5.37824e-05
4 *583:69 *6985:TE_B 8.62625e-06
5 *591:15 *6985:TE_B 0.000540793
*RES
1 *5864:Y *6985:TE_B 35.5997
*END
*D_NET *982 0.000731322
*CONN
*I *6986:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5862:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6986:TE_B 0.000320062
2 *5862:Y 0.000320062
3 *6986:TE_B *6986:A 5.53789e-05
4 *605:48 *6986:TE_B 3.58185e-05
*RES
1 *5862:Y *6986:TE_B 33.242
*END
*D_NET *983 0.000715661
*CONN
*I *6987:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5860:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6987:TE_B 0.000291515
2 *5860:Y 0.000291515
3 *6987:TE_B *6987:A 3.14978e-05
4 *5860:A *6987:TE_B 0.000101133
5 *6977:TE_B *6987:TE_B 0
*RES
1 *5860:Y *6987:TE_B 34.4905
*END
*D_NET *984 0.000599979
*CONN
*I *6988:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5859:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6988:TE_B 0.000274753
2 *5859:Y 0.000274753
3 io_out[29] *6988:TE_B 5.04734e-05
*RES
1 *5859:Y *6988:TE_B 32.1327
*END
*D_NET *985 0.00180631
*CONN
*I *6989:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5858:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6989:TE_B 0.000459364
2 *5858:Y 0.000459364
3 *6989:TE_B *6989:A 0.000483737
4 *6989:TE_B *7026:A 2.02766e-05
5 *6989:TE_B *7026:TE_B 0.000170404
6 io_out[32] *6989:TE_B 0.000129514
7 *5858:A *6989:TE_B 6.92705e-05
8 *5908:A *6989:TE_B 1.43848e-05
*RES
1 *5858:Y *6989:TE_B 42.4367
*END
*D_NET *986 0.000969128
*CONN
*I *6990:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5857:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6990:TE_B 0.00029403
2 *5857:Y 0.00029403
3 *6990:TE_B *6990:A 5.0459e-05
4 *591:96 *6990:TE_B 0.00033061
*RES
1 *5857:Y *6990:TE_B 33.6572
*END
*D_NET *987 0.00032925
*CONN
*I *6991:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5856:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6991:TE_B 0.000149504
2 *5856:Y 0.000149504
3 *6991:TE_B *6996:A 0
4 la1_data_out[29] *6991:TE_B 3.67528e-06
5 *587:32 *6991:TE_B 2.65667e-05
6 *599:8 *6991:TE_B 0
*RES
1 *5856:Y *6991:TE_B 30.4689
*END
*D_NET *988 0.000587975
*CONN
*I *6992:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5853:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6992:TE_B 0.000220272
2 *5853:Y 0.000220272
3 *596:59 *6992:TE_B 4.27003e-05
4 *600:32 *6992:TE_B 0.000104731
*RES
1 *5853:Y *6992:TE_B 32.8267
*END
*D_NET *989 0.000263133
*CONN
*I *6993:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5852:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6993:TE_B 5.15594e-05
2 *5852:Y 5.15594e-05
3 *6993:TE_B *6993:A 5.88662e-05
4 *5852:A *6993:TE_B 0.000101148
5 *584:60 *6993:TE_B 0
*RES
1 *5852:Y *6993:TE_B 29.7455
*END
*D_NET *990 0.00060229
*CONN
*I *6994:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5972:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6994:TE_B 0.000287853
2 *5972:Y 0.000287853
3 *6994:TE_B *6994:A 2.65831e-05
*RES
1 *5972:Y *6994:TE_B 33.9303
*END
*D_NET *991 0.000169815
*CONN
*I *6995:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5851:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6995:TE_B 8.49076e-05
2 *5851:Y 8.49076e-05
*RES
1 *5851:Y *6995:TE_B 29.3303
*END
*D_NET *992 0.000372693
*CONN
*I *6996:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5849:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6996:TE_B 0.000123168
2 *5849:Y 0.000123168
3 *6996:TE_B *6996:A 5.05735e-05
4 io_out[2] *6996:TE_B 1.07248e-05
5 la1_data_out[0] *6996:TE_B 0
6 *599:15 *6996:TE_B 6.50586e-05
*RES
1 *5849:Y *6996:TE_B 30.4689
*END
*D_NET *993 0.00074104
*CONN
*I *6997:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5846:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6997:TE_B 0.000314069
2 *5846:Y 0.000314069
3 io_out[3] *6997:TE_B 5.92192e-05
4 *587:10 *6997:TE_B 0
5 *601:10 *6997:TE_B 5.36834e-05
*RES
1 *5846:Y *6997:TE_B 35.1817
*END
*D_NET *994 0.000904344
*CONN
*I *6998:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5845:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6998:TE_B 0.000424482
2 *5845:Y 0.000424482
3 *6998:TE_B *6998:A 5.53789e-05
4 *596:51 *6998:TE_B 0
*RES
1 *5845:Y *6998:TE_B 36.0094
*END
*D_NET *995 0.000327129
*CONN
*I *6999:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5844:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6999:TE_B 0.000128929
2 *5844:Y 0.000128929
3 *62:5 *6999:TE_B 6.92705e-05
*RES
1 *5844:Y *6999:TE_B 30.0537
*END
*D_NET *996 0.00146679
*CONN
*I *7000:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5843:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7000:TE_B 0.000423494
2 *5843:Y 0.000423494
3 *112:11 *7000:TE_B 0.000315161
4 *584:60 *7000:TE_B 0.000125352
5 *585:45 *7000:TE_B 0
6 *595:56 *7000:TE_B 0.000179286
7 *600:45 *7000:TE_B 0
*RES
1 *5843:Y *7000:TE_B 40.4406
*END
*D_NET *997 0.000581981
*CONN
*I *7001:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5970:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7001:TE_B 0.000286677
2 *5970:Y 0.000286677
3 io_out[7] *7001:TE_B 8.62625e-06
4 *606:33 *7001:TE_B 0
*RES
1 *5970:Y *7001:TE_B 33.7966
*END
*D_NET *998 0.00020376
*CONN
*I *7002:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5969:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7002:TE_B 7.24466e-05
2 *5969:Y 7.24466e-05
3 *7002:TE_B *7002:A 5.88662e-05
4 io_out[8] *7002:TE_B 0
*RES
1 *5969:Y *7002:TE_B 29.7455
*END
*D_NET *999 0.000893435
*CONN
*I *7003:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5954:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7003:TE_B 0.000373072
2 *5954:Y 0.000373072
3 *7003:TE_B *7003:A 4.61732e-05
4 *587:10 *7003:TE_B 0.000101118
*RES
1 *5954:Y *7003:TE_B 33.9359
*END
*D_NET *1000 0.000653767
*CONN
*I *7004:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5952:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7004:TE_B 0.000233791
2 *5952:Y 0.000233791
3 *5952:A *7004:TE_B 0.000130777
4 *596:55 *7004:TE_B 5.54078e-05
*RES
1 *5952:Y *7004:TE_B 33.7966
*END
*D_NET *1001 0.000915888
*CONN
*I *7005:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5951:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7005:TE_B 0.000448729
2 *5951:Y 0.000448729
3 *5951:A *7005:TE_B 1.84293e-05
4 *81:6 *7005:TE_B 0
*RES
1 *5951:Y *7005:TE_B 34.9058
*END
*D_NET *1002 0.000347397
*CONN
*I *7006:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5950:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7006:TE_B 8.06759e-05
2 *5950:Y 8.06759e-05
3 *588:8 *7006:TE_B 5.88662e-05
4 *591:8 *7006:TE_B 0.000127179
*RES
1 *5950:Y *7006:TE_B 30.4689
*END
*D_NET *1003 0.000174657
*CONN
*I *7007:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5949:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7007:TE_B 8.73286e-05
2 *5949:Y 8.73286e-05
3 *7007:TE_B *7007:A 0
4 *6063:B *7007:TE_B 0
*RES
1 *5949:Y *7007:TE_B 29.3303
*END
*D_NET *1004 0.00176972
*CONN
*I *7008:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5948:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7008:TE_B 0.000459069
2 *5948:Y 0.000459069
3 *7008:TE_B *6146:A 0.000127179
4 *7008:TE_B *7008:A 0.000173598
5 *6147:A *7008:TE_B 2.44829e-05
6 *83:8 *7008:TE_B 0.000518462
7 *600:44 *7008:TE_B 7.85874e-06
*RES
1 *5948:Y *7008:TE_B 40.4406
*END
*D_NET *1005 0.000985598
*CONN
*I *7009:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5946:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7009:TE_B 0.000429209
2 *5946:Y 0.000429209
3 *7009:TE_B *1097:14 0.000127179
4 *593:8 *7009:TE_B 0
*RES
1 *5946:Y *7009:TE_B 36.7384
*END
*D_NET *1006 0.00138327
*CONN
*I *7010:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5945:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7010:TE_B 0.000395807
2 *5945:Y 0.000395807
3 *7010:TE_B *7010:A 6.14128e-05
4 *5944:A *7010:TE_B 0.000241102
5 *83:8 *7010:TE_B 0.000289145
*RES
1 *5945:Y *7010:TE_B 37.2579
*END
*D_NET *1007 0.00150441
*CONN
*I *7011:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5944:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7011:TE_B 0.000519937
2 *5944:Y 0.000519937
3 *7011:TE_B *7010:A 0
4 *7011:TE_B *7011:A 4.27003e-05
5 *6153:A *7011:TE_B 0.000421834
6 *83:8 *7011:TE_B 0
*RES
1 *5944:Y *7011:TE_B 41.8257
*END
*D_NET *1008 0.00115883
*CONN
*I *7012:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5943:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7012:TE_B 0.000551021
2 *5943:Y 0.000551021
3 *7012:TE_B *7017:A 0
4 *5943:A *7012:TE_B 5.67857e-05
5 *585:51 *7012:TE_B 0
6 *757:18 *7012:TE_B 0
*RES
1 *5943:Y *7012:TE_B 39.3314
*END
*D_NET *1009 0.000725198
*CONN
*I *7013:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5942:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7013:TE_B 0.000331339
2 *5942:Y 0.000331339
3 io_out[19] *7013:TE_B 1.66626e-05
4 *601:10 *7013:TE_B 4.58577e-05
5 *606:33 *7013:TE_B 0
*RES
1 *5942:Y *7013:TE_B 35.1817
*END
*D_NET *1010 0.00100369
*CONN
*I *7014:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5940:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7014:TE_B 0.000501845
2 *5940:Y 0.000501845
3 *7014:TE_B *6974:A 0
4 io_oeb[2] *7014:TE_B 0
*RES
1 *5940:Y *7014:TE_B 38.3671
*END
*D_NET *1011 0.000385732
*CONN
*I *7015:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5939:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7015:TE_B 0.000192866
2 *5939:Y 0.000192866
3 *587:10 *7015:TE_B 0
4 *601:10 *7015:TE_B 0
*RES
1 *5939:Y *7015:TE_B 31.7175
*END
*D_NET *1012 0.000447195
*CONN
*I *7016:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5938:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7016:TE_B 0.000179182
2 *5938:Y 0.000179182
3 *7016:TE_B *7016:A 4.61732e-05
4 *5938:A *7016:TE_B 4.26566e-05
*RES
1 *5938:Y *7016:TE_B 31.1629
*END
*D_NET *1013 0.000584323
*CONN
*I *7017:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5937:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7017:TE_B 0.000204496
2 *5937:Y 0.000204496
3 *7017:TE_B *7017:A 3.14978e-05
4 *585:51 *7017:TE_B 4.27003e-05
5 *588:46 *7017:TE_B 0.000101133
*RES
1 *5937:Y *7017:TE_B 32.8267
*END
*D_NET *1014 0.000324014
*CONN
*I *7018:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5936:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7018:TE_B 0.000106384
2 *5936:Y 0.000106384
3 *7018:TE_B *7018:A 0.000111246
*RES
1 *5936:Y *7018:TE_B 30.0537
*END
*D_NET *1015 0.000673089
*CONN
*I *7019:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5932:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7019:TE_B 0.000153007
2 *5932:Y 0.000153007
3 io_out[25] *7019:TE_B 8.03393e-06
4 *62:5 *7019:TE_B 0.000163912
5 *600:58 *7019:TE_B 0.000163912
6 *601:43 *7019:TE_B 3.1218e-05
*RES
1 *5932:Y *7019:TE_B 33.7966
*END
*D_NET *1016 0.000610089
*CONN
*I *7020:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5927:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7020:TE_B 0.000305044
2 *5927:Y 0.000305044
3 *7020:TE_B *6969:A 0
4 *587:10 *7020:TE_B 0
*RES
1 *5927:Y *7020:TE_B 33.9359
*END
*D_NET *1017 0.00115862
*CONN
*I *7021:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5922:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7021:TE_B 0.000337137
2 *5922:Y 0.000337137
3 *7021:TE_B *7021:A 5.39608e-05
4 io_out[27] *7021:TE_B 0
5 *584:43 *7021:TE_B 0.00043038
*RES
1 *5922:Y *7021:TE_B 34.3512
*END
*D_NET *1018 0.000301289
*CONN
*I *7022:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5919:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7022:TE_B 9.20399e-05
2 *5919:Y 9.20399e-05
3 *80:6 *7022:TE_B 3.77659e-05
4 *583:69 *7022:TE_B 6.50586e-05
5 *601:65 *7022:TE_B 1.43848e-05
*RES
1 *5919:Y *7022:TE_B 30.0537
*END
*D_NET *1019 0.00156363
*CONN
*I *7023:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5914:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7023:TE_B 0.000256674
2 *5914:Y 0.000256674
3 *7023:TE_B *7023:A 0.000276991
4 la1_data_out[26] *7023:TE_B 7.47905e-05
5 *5914:A *7023:TE_B 4.30017e-06
6 *597:65 *7023:TE_B 0.000694203
*RES
1 *5914:Y *7023:TE_B 40.0197
*END
*D_NET *1020 0.000390189
*CONN
*I *7024:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5911:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7024:TE_B 0.000170368
2 *5911:Y 0.000170368
3 *587:10 *7024:TE_B 4.94526e-05
*RES
1 *5911:Y *7024:TE_B 31.5781
*END
*D_NET *1021 0.000523
*CONN
*I *7025:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5909:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7025:TE_B 0.0002615
2 *5909:Y 0.0002615
3 *587:10 *7025:TE_B 0
*RES
1 *5909:Y *7025:TE_B 32.1327
*END
*D_NET *1022 0.000375369
*CONN
*I *7026:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5908:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7026:TE_B 7.93962e-05
2 *5908:Y 7.93962e-05
3 *7026:TE_B *7026:A 4.61732e-05
4 *6989:TE_B *7026:TE_B 0.000170404
*RES
1 *5908:Y *7026:TE_B 30.0537
*END
*D_NET *1023 0.000612368
*CONN
*I *7027:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5907:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7027:TE_B 0.000240452
2 *5907:Y 0.000240452
3 *5907:A *7027:TE_B 4.30017e-06
4 *597:65 *7027:TE_B 0.000127164
*RES
1 *5907:Y *7027:TE_B 32.1327
*END
*D_NET *1024 0.000454909
*CONN
*I *7028:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5906:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7028:TE_B 0.00017891
2 *5906:Y 0.00017891
3 *584:60 *7028:TE_B 9.70894e-05
*RES
1 *5906:Y *7028:TE_B 31.7175
*END
*D_NET *1025 0.000469866
*CONN
*I *7029:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5905:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7029:TE_B 0.00016128
2 *5905:Y 0.00016128
3 *584:62 *7029:TE_B 4.61732e-05
4 *596:49 *7029:TE_B 0.000101133
*RES
1 *5905:Y *7029:TE_B 31.7175
*END
*D_NET *1026 0.000535444
*CONN
*I *7030:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5903:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7030:TE_B 0.000167733
2 *5903:Y 0.000167733
3 *7030:TE_B *7030:A 6.92004e-05
4 *7030:TE_B *7034:TE_B 0.000130777
*RES
1 *5903:Y *7030:TE_B 32.6523
*END
*D_NET *1027 0.00167712
*CONN
*I *7031:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5902:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7031:TE_B 0.000187993
2 *5902:Y 0.000187993
3 *7031:TE_B *7031:A 0.000224684
4 *5902:A *7031:TE_B 6.50727e-05
5 *595:43 *7031:TE_B 0.00101138
*RES
1 *5902:Y *7031:TE_B 29.2046
*END
*D_NET *1028 0.00104974
*CONN
*I *7032:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5971:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7032:TE_B 0.000450313
2 *5971:Y 0.000450313
3 *7032:TE_B *7032:A 5.56461e-05
4 io_oeb[0] *7032:TE_B 5.04829e-06
5 io_oeb[3] *7032:TE_B 0
6 *607:29 *7032:TE_B 8.84157e-05
*RES
1 *5971:Y *7032:TE_B 39.0583
*END
*D_NET *1029 0.0157041
*CONN
*I *7033:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5899:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7033:TE_B 0.000900166
2 *5899:Y 0.000142775
3 *1029:8 0.00572108
4 *1029:7 0.00496369
5 *1029:7 *1235:10 6.50586e-05
6 *1029:8 *6124:A2 0
7 *1029:8 *6125:B 5.53789e-05
8 *1029:8 *6629:A 6.23202e-05
9 *1029:8 *6714:CLK 6.36773e-05
10 *1029:8 *6843:CLK 5.40444e-05
11 *1029:8 *6856:D 7.81209e-05
12 *1029:8 *1218:36 5.47381e-05
13 *1029:8 *1223:9 0
14 *1029:8 *1235:10 4.03102e-05
15 *1029:8 *1235:17 0.000149628
16 *1029:8 *1242:6 2.692e-05
17 *6051:B1 *1029:8 3.22726e-05
18 *6061:A_N *1029:8 0.000104731
19 *6061:B *1029:8 5.6676e-05
20 *6088:B1 *1029:8 0
21 *6093:B1_N *1029:8 0
22 *6096:A0 *1029:8 7.50722e-05
23 *6096:A1 *1029:8 6.31809e-05
24 *6112:B1_N *1029:8 8.79989e-05
25 *6119:S *1029:8 0.000106245
26 *6124:B1 *1029:8 5.33945e-05
27 *6124:B2 *1029:8 0.000191541
28 *6126:A *1029:8 0
29 *6126:B *1029:8 4.80148e-05
30 *6638:A *1029:8 0.000127164
31 *6700:A *1029:8 0.000148144
32 *6700:B *1029:8 7.50722e-05
33 *6702:B *1029:8 0
34 *6829:D *1029:8 0
35 *573:9 *1029:8 0
36 *573:18 *1029:8 0
37 *587:40 *7033:TE_B 0
38 *595:63 *1029:7 6.84987e-05
39 *601:73 *7033:TE_B 0.000171288
40 *613:18 *1029:8 0
41 *669:20 *1029:8 3.14055e-05
42 *670:8 *1029:8 8.89094e-05
43 *670:12 *1029:8 0.000169093
44 *673:13 *1029:8 9.47659e-05
45 *677:6 *1029:8 6.14128e-05
46 *677:8 *1029:8 0.000104412
47 *677:12 *1029:8 0.000238214
48 *678:8 *1029:8 0
49 *702:18 *1029:8 0.000309563
50 *714:8 *1029:8 0.000163982
51 *714:14 *1029:8 0.00027054
52 *739:8 *1029:8 0.00038465
*RES
1 *5899:Y *1029:7 17.8002
2 *1029:7 *1029:8 138.462
3 *1029:8 *7033:TE_B 36.8207
*END
*D_NET *1030 0.00102081
*CONN
*I *7034:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5963:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7034:TE_B 0.000257153
2 *5963:Y 0.000257153
3 *7034:TE_B *7030:A 0.00015324
4 *7034:TE_B *7051:A 0
5 *5903:A *7034:TE_B 4.70005e-05
6 *7030:TE_B *7034:TE_B 0.000130777
7 *583:33 *7034:TE_B 0.000175485
*RES
1 *5963:Y *7034:TE_B 34.9002
*END
*D_NET *1031 0.000764401
*CONN
*I *7035:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5966:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7035:TE_B 0.000382201
2 *5966:Y 0.000382201
3 io_oeb[3] *7035:TE_B 0
4 *607:22 *7035:TE_B 0
*RES
1 *5966:Y *7035:TE_B 33.7966
*END
*D_NET *1032 0.00336556
*CONN
*I *7036:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5968:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7036:TE_B 0.000248958
2 *5968:Y 0.000248958
3 *7036:TE_B *7036:A 0.00141813
4 io_out[18] *7036:TE_B 4.3116e-06
5 *607:29 *7036:TE_B 0.000952764
6 *607:32 *7036:TE_B 0.000492431
*RES
1 *5968:Y *7036:TE_B 47.0958
*END
*D_NET *1033 0.000438926
*CONN
*I *7037:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5964:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7037:TE_B 0.000159694
2 *5964:Y 0.000159694
3 *587:10 *7037:TE_B 0.000119538
*RES
1 *5964:Y *7037:TE_B 31.5781
*END
*D_NET *1034 0.00183402
*CONN
*I *7038:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5967:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7038:TE_B 0.000465901
2 *5967:Y 0.000465901
3 *7038:TE_B *7038:A 5.56461e-05
4 *596:59 *7038:TE_B 0.000242796
5 *597:64 *7038:TE_B 4.59271e-05
6 *600:32 *7038:TE_B 0.000557846
*RES
1 *5967:Y *7038:TE_B 42.9349
*END
*D_NET *1035 0.0010045
*CONN
*I *7039:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5960:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7039:TE_B 0.000416027
2 *5960:Y 0.000416027
3 *7039:TE_B *7047:A 0.000101786
4 *7039:TE_B *7047:TE_B 0
5 *5960:A *7039:TE_B 7.0656e-05
*RES
1 *5960:Y *7039:TE_B 35.0451
*END
*D_NET *1036 0.000562882
*CONN
*I *7040:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5962:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7040:TE_B 0.000253618
2 *5962:Y 0.000253618
3 *7040:TE_B *7040:A 5.56461e-05
4 io_out[12] *7040:TE_B 0
*RES
1 *5962:Y *7040:TE_B 33.5179
*END
*D_NET *1037 0.00511307
*CONN
*I *7041:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5958:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7041:TE_B 0
2 *5958:Y 4.85187e-05
3 *1037:8 0.00169994
4 *1037:7 0.00174846
5 *1037:8 *7041:A 4.35394e-05
6 *585:8 *1037:8 0.00143888
7 *601:64 *1037:8 0.00011935
8 *605:9 *1037:7 1.43848e-05
*RES
1 *5958:Y *1037:7 14.4725
2 *1037:7 *1037:8 55.3995
3 *1037:8 *7041:TE_B 13.7491
*END
*D_NET *1038 0.000483088
*CONN
*I *7042:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5961:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7042:TE_B 0.000181203
2 *5961:Y 0.000181203
3 *587:10 *7042:TE_B 0.000120681
*RES
1 *5961:Y *7042:TE_B 32.1327
*END
*D_NET *1039 0.00244819
*CONN
*I *7043:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5957:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7043:TE_B 0.00102994
2 *5957:Y 0.00102994
3 *7043:TE_B *7043:A 0.000259381
4 io_out[16] *7043:TE_B 0.000127164
5 *5957:A *7043:TE_B 1.77537e-06
6 *596:55 *7043:TE_B 0
*RES
1 *5957:Y *7043:TE_B 44.3581
*END
*D_NET *1040 0.00106148
*CONN
*I *7044:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5955:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7044:TE_B 0.000360802
2 *5955:Y 0.000360802
3 *7044:TE_B *7044:A 7.97944e-05
4 *596:59 *7044:TE_B 0.000179286
5 *600:32 *7044:TE_B 8.07939e-05
*RES
1 *5955:Y *7044:TE_B 36.8455
*END
*D_NET *1041 0.00118992
*CONN
*I *7045:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5956:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7045:TE_B 0.000470545
2 *5956:Y 0.000470545
3 *7045:TE_B *7045:A 0.000248832
*RES
1 *5956:Y *7045:TE_B 29.7592
*END
*D_NET *1042 0.00113732
*CONN
*I *7046:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5897:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7046:TE_B 0.000519338
2 *5897:Y 0.000519338
3 *7046:TE_B *6967:A 5.04829e-06
4 *7046:TE_B *6969:A 0
5 *5897:A *7046:TE_B 9.3598e-05
*RES
1 *5897:Y *7046:TE_B 36.4302
*END
*D_NET *1043 0.000794773
*CONN
*I *7047:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5896:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7047:TE_B 0.000199211
2 *5896:Y 0.000199211
3 *7047:TE_B *7047:A 0
4 io_oeb[7] *7047:TE_B 5.54078e-05
5 *5896:A *7047:TE_B 0.000193108
6 *5960:A *7047:TE_B 4.66876e-05
7 *7039:TE_B *7047:TE_B 0
8 *594:56 *7047:TE_B 0.000101148
*RES
1 *5896:Y *7047:TE_B 34.4849
*END
*D_NET *1044 0.000698441
*CONN
*I *7048:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5895:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7048:TE_B 0.000296855
2 *5895:Y 0.000296855
3 *7048:TE_B *7048:A 0
4 *5895:A *7048:TE_B 0.000104731
*RES
1 *5895:Y *7048:TE_B 34.5146
*END
*D_NET *1045 0.000861333
*CONN
*I *7049:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5894:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7049:TE_B 0.000339602
2 *5894:Y 0.000339602
3 *7049:TE_B *7049:A 0
4 io_oeb[17] *7049:TE_B 0
5 la1_data_out[23] *7049:TE_B 4.86511e-05
6 *607:10 *7049:TE_B 0.000133479
*RES
1 *5894:Y *7049:TE_B 36.3896
*END
*D_NET *1046 0.00123009
*CONN
*I *7050:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5893:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7050:TE_B 0.000332105
2 *5893:Y 0.000332105
3 *7050:TE_B *7019:A 0
4 *7050:TE_B *7050:A 0.000446855
5 io_oeb[18] *7050:TE_B 3.20069e-06
6 io_out[25] *7050:TE_B 0
7 *5893:A *7050:TE_B 7.50722e-05
8 *601:43 *7050:TE_B 4.0752e-05
*RES
1 *5893:Y *7050:TE_B 38.2278
*END
*D_NET *1047 0.000987948
*CONN
*I *7051:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5891:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7051:TE_B 0.000116913
2 *5891:Y 0.000116913
3 io_oeb[19] *7051:TE_B 0.000107114
4 *601:29 *7051:TE_B 0.000647008
*RES
1 *5891:Y *7051:TE_B 25.3223
*END
*D_NET *1048 0.00189353
*CONN
*I *7052:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5890:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7052:TE_B 0.000251307
2 *5890:Y 0.000251307
3 *7052:TE_B *1191:13 2.41483e-05
4 io_oeb[20] *7052:TE_B 0.000217923
5 *87:8 *7052:TE_B 0.000573699
6 *585:51 *7052:TE_B 0.000570102
7 *588:52 *7052:TE_B 5.04829e-06
*RES
1 *5890:Y *7052:TE_B 40.5771
*END
*D_NET *1049 0.000913545
*CONN
*I *7053:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5889:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7053:TE_B 0.000338383
2 *5889:Y 0.000338383
3 *7053:TE_B *7053:A 0.000232478
4 la1_data_out[13] *7053:TE_B 0
5 *5889:A *7053:TE_B 4.30017e-06
*RES
1 *5889:Y *7053:TE_B 37.113
*END
*D_NET *1050 0.000881673
*CONN
*I *7054:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5888:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7054:TE_B 0.000259447
2 *5888:Y 0.000259447
3 *5888:A *7054:TE_B 2.7837e-05
4 *5897:A *7054:TE_B 0.000216458
5 *76:11 *7054:TE_B 0.000118485
*RES
1 *5888:Y *7054:TE_B 33.9654
*END
*D_NET *1051 0.000318522
*CONN
*I *7055:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5887:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7055:TE_B 0.000136825
2 *5887:Y 0.000136825
3 *7055:TE_B *7056:TE_B 0
4 io_oeb[23] *7055:TE_B 0
5 *5884:A *7055:TE_B 2.41274e-06
6 *588:21 *7055:TE_B 7.97098e-06
7 *593:29 *7055:TE_B 3.44886e-05
*RES
1 *5887:Y *7055:TE_B 30.4689
*END
*D_NET *1052 0.00170136
*CONN
*I *7056:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5884:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7056:TE_B 0.000645058
2 *5884:Y 0.000645058
3 *7056:TE_B *7056:A 0.000243918
4 io_oeb[23] *7056:TE_B 0.000132093
5 *7055:TE_B *7056:TE_B 0
6 *588:21 *7056:TE_B 1.31657e-05
7 *601:33 *7056:TE_B 2.20702e-05
*RES
1 *5884:Y *7056:TE_B 44.7675
*END
*D_NET *1053 0.00308727
*CONN
*I *7057:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5883:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7057:TE_B 0.000344868
2 *5883:Y 0.000344868
3 *7057:TE_B *1197:13 0.000371389
4 *5883:A *7057:TE_B 7.34948e-06
5 *57:9 *7057:TE_B 0.0020188
*RES
1 *5883:Y *7057:TE_B 40.3207
*END
*D_NET *1054 0.000766841
*CONN
*I *7058:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5882:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7058:TE_B 0.000371276
2 *5882:Y 0.000371276
3 *596:49 *7058:TE_B 2.42889e-05
*RES
1 *5882:Y *7058:TE_B 33.3813
*END
*D_NET *1055 0.000408368
*CONN
*I *7059:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5926:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7059:TE_B 9.45659e-05
2 *5926:Y 9.45659e-05
3 *7059:TE_B *7059:A 0
4 *7059:TE_B *1116:8 9.60216e-05
5 io_oeb[27] *7059:TE_B 5.04829e-06
6 *594:59 *7059:TE_B 0.000118166
*RES
1 *5926:Y *7059:TE_B 30.6083
*END
*D_NET *1056 0.00195921
*CONN
*I *7060:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5930:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7060:TE_B 0.000389299
2 *5930:Y 0.000389299
3 *7060:TE_B *7060:A 7.41203e-05
4 *7060:TE_B *7063:TE_B 0.000280451
5 io_oeb[31] *7060:TE_B 0
6 io_out[13] *7060:TE_B 0.000336125
7 *5930:A *7060:TE_B 0
8 *594:37 *7060:TE_B 0.000489918
*RES
1 *5930:Y *7060:TE_B 41.965
*END
*D_NET *1057 0.000758089
*CONN
*I *7061:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5901:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7061:TE_B 0.000337167
2 *5901:Y 0.000337167
3 *7061:TE_B *7061:A 5.09175e-05
4 *7061:TE_B *1127:8 3.28383e-05
*RES
1 *5901:Y *7061:TE_B 33.242
*END
*D_NET *1058 0.00101131
*CONN
*I *7062:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5900:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7062:TE_B 9.40586e-05
2 *5900:Y 9.40586e-05
3 *7062:TE_B *7062:A 4.31539e-05
4 *5900:A *7062:TE_B 0.000127162
5 *6980:TE_B *7062:TE_B 1.00937e-05
6 *63:13 *7062:TE_B 0.000642782
*RES
1 *5900:Y *7062:TE_B 25.3223
*END
*D_NET *1059 0.0017495
*CONN
*I *7063:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5880:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7063:TE_B 0.000700477
2 *5880:Y 0.000700477
3 *7063:TE_B *7063:A 6.81008e-05
4 io_oeb[31] *7063:TE_B 0
5 *7060:TE_B *7063:TE_B 0.000280451
*RES
1 *5880:Y *7063:TE_B 41.6892
*END
*D_NET *1060 0.00111663
*CONN
*I *7064:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5878:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7064:TE_B 0.000328335
2 *5878:Y 0.000328335
3 *7064:TE_B *7064:A 3.58457e-05
4 *590:17 *7064:TE_B 0.000223881
5 *601:10 *7064:TE_B 0.000200236
6 *606:33 *7064:TE_B 0
*RES
1 *5878:Y *7064:TE_B 37.2607
*END
*D_NET *1061 0.000542999
*CONN
*I *7065:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5877:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7065:TE_B 0.000250171
2 *5877:Y 0.000250171
3 *588:54 *7065:TE_B 0
4 *590:39 *7065:TE_B 4.26566e-05
*RES
1 *5877:Y *7065:TE_B 32.1327
*END
*D_NET *1062 0.000750713
*CONN
*I *7066:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5876:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7066:TE_B 0.000375356
2 *5876:Y 0.000375356
3 *7066:TE_B *7066:A 0
*RES
1 *5876:Y *7066:TE_B 36.1487
*END
*D_NET *1063 0.000379648
*CONN
*I *7067:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5874:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7067:TE_B 0.000126234
2 *5874:Y 0.000126234
3 *590:22 *7067:TE_B 0.000127179
*RES
1 *5874:Y *7067:TE_B 31.0235
*END
*D_NET *1064 0.000478749
*CONN
*I *7068:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5875:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7068:TE_B 0.000239374
2 *5875:Y 0.000239374
*RES
1 *5875:Y *7068:TE_B 23.6585
*END
*D_NET *1065 0.000547074
*CONN
*I *7069:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *5931:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *7069:TE_B 0.000273537
2 *5931:Y 0.000273537
3 *587:10 *7069:TE_B 0
*RES
1 *5931:Y *7069:TE_B 32.1327
*END
*D_NET *1066 0.0139555
*CONN
*I *7072:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7071:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7070:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *7072:A 0
2 *7071:A 0.000130798
3 *7070:X 0
4 *1066:20 0.00215068
5 *1066:19 0.00257153
6 *1066:10 0.00177807
7 *1066:7 0.00171007
8 *1066:4 0.00048364
9 *7071:A *6072:A 0.000275256
10 *7071:A *1067:26 0.00119056
11 *7071:A *1354:19 0.000590983
12 *1066:10 *5983:A 0.0002212
13 *1066:10 *6020:A2 2.37478e-05
14 *1066:10 *6436:D 0.000210992
15 *1066:10 *1093:16 0
16 *1066:10 *1220:8 3.56997e-05
17 *1066:10 *1343:45 1.2819e-05
18 *1066:10 *1344:6 0.000140111
19 *1066:10 *1344:19 5.01813e-05
20 *1066:10 *1363:9 4.12533e-05
21 *1066:20 *1246:10 0
22 *1066:20 *1278:9 0
23 *6002:A2 *1066:19 5.24855e-05
24 *6009:B1 *1066:20 0
25 *6014:A1 *1066:20 0
26 *6014:C1 *1066:20 0
27 *6021:B2 *1066:10 2.56676e-05
28 *6027:A1 *1066:20 0
29 *6042:A *1066:10 0
30 *6043:A *1066:10 0
31 *6052:B *1066:10 0
32 *6237:A *1066:20 0
33 *6353:A *7071:A 0.000324166
34 *6436:A *1066:10 0
35 *6607:B1_N *1066:20 0
36 *6614:A1 *1066:20 0
37 *6614:A2 *1066:20 0.000276874
38 *6630:D *1066:20 0
39 *6645:C *1066:20 0.000435258
40 *6646:A *1066:20 0
41 *214:28 *1066:20 0
42 *362:8 *1066:10 0
43 *501:8 *1066:20 0
44 *521:6 *1066:20 0
45 *522:9 *1066:20 0
46 *532:19 *1066:10 0
47 *541:9 *1066:20 0
48 *608:10 *1066:10 6.31665e-05
49 *612:16 *1066:10 0.000405584
50 *614:9 *1066:10 2.12377e-05
51 *615:10 *1066:10 0
52 *616:6 *1066:10 0
53 *627:10 *1066:10 3.98321e-05
54 *628:10 *1066:10 0.000143032
55 *631:10 *1066:20 4.78118e-05
56 *637:8 *1066:19 0.000271044
57 *637:8 *1066:20 0
58 *649:13 *1066:20 0
59 *668:11 *1066:10 0.000148114
60 *813:48 *1066:20 8.3676e-05
*RES
1 *7070:X *1066:4 9.24915
2 *1066:4 *1066:7 5.778
3 *1066:7 *1066:10 49.9335
4 *1066:10 *7071:A 22.1738
5 *1066:4 *1066:19 14.6517
6 *1066:19 *1066:20 54.1538
7 *1066:20 *7072:A 13.7491
*END
*D_NET *1067 0.00996714
*CONN
*I *7074:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7073:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7071:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7074:A 0
2 *7073:A 0
3 *7071:X 0
4 *1067:26 0.00190531
5 *1067:13 0.00144715
6 *1067:4 0.00335246
7 *1067:13 *6771:CLK 0.000139764
8 *1067:13 *7078:A 0.000180259
9 *1067:13 *1069:5 2.65667e-05
10 *1067:26 *6072:A 0.00011581
11 *1067:26 *6848:D 6.67095e-06
12 *1067:26 *7080:A 0.000621147
13 *1067:26 *1220:33 0
14 *1067:26 *1354:19 0
15 *1067:26 *1365:16 0.000124942
16 *6353:A *1067:26 4.56667e-05
17 *6779:D *1067:13 3.42931e-05
18 *7071:A *1067:26 0.00119056
19 *275:11 *1067:13 0
20 *581:13 *1067:26 0.00015321
21 *593:40 *1067:13 0.000192829
22 *902:21 *1067:13 0.000387858
23 *910:48 *1067:13 4.26431e-05
*RES
1 *7071:X *1067:4 9.24915
2 *1067:4 *1067:13 48.1904
3 *1067:13 *7073:A 9.24915
4 *1067:4 *1067:26 47.1967
5 *1067:26 *7074:A 9.24915
*END
*D_NET *1068 0.00823538
*CONN
*I *7076:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7075:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7072:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7076:A 0.00137826
2 *7075:A 0.000656278
3 *7072:X 0
4 *1068:4 0.00203454
5 *7075:A *6585:A 0.000216336
6 *7075:A *6602:B1 2.65667e-05
7 *7075:A *1274:23 7.89747e-05
8 *7075:A *1278:9 8.65358e-05
9 *7076:A *6234:D_N 5.04829e-06
10 *7076:A *6747:CLK 7.92757e-06
11 *6272:B1 *7076:A 0.000188654
12 *6273:A *7076:A 1.80227e-05
13 *6273:B *7076:A 0.000274066
14 *6276:A *7076:A 0.000144531
15 *6279:A2 *7076:A 5.04829e-06
16 *6602:A3 *7075:A 6.50727e-05
17 *6603:B1 *7075:A 7.97098e-06
18 *6747:D *7076:A 4.40253e-05
19 *419:14 *7075:A 1.43848e-05
20 *589:15 *7075:A 0.00278724
21 *589:15 *7076:A 0.000195893
*RES
1 *7072:X *1068:4 9.24915
2 *1068:4 *7075:A 39.3665
3 *1068:4 *7076:A 49.4617
*END
*D_NET *1069 0.00312263
*CONN
*I *7078:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7077:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7073:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7078:A 0.000209416
2 *7077:A 0.000486155
3 *7073:X 8.15387e-05
4 *1069:5 0.000777109
5 *6354:A *7077:A 9.98274e-05
6 *6372:B1 *7077:A 0.00018643
7 *6374:B *7077:A 0
8 *801:56 *7077:A 0.000153883
9 *910:48 *7077:A 0.000123974
10 *910:48 *1069:5 3.62662e-06
11 *911:8 *7077:A 0.000191541
12 *911:10 *7077:A 0.000602305
13 *1067:13 *7078:A 0.000180259
14 *1067:13 *1069:5 2.65667e-05
*RES
1 *7073:X *1069:5 10.5271
2 *1069:5 *7077:A 37.5217
3 *1069:5 *7078:A 13.4931
*END
*D_NET *1070 0.00470669
*CONN
*I *7080:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7079:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7074:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7080:A 0.000380936
2 *7079:A 0.000674555
3 *7074:X 0
4 *1070:5 0.00105549
5 *7079:A *6082:B 0.000705311
6 *7079:A *6704:A 0
7 *7079:A *6704:C 0.000135825
8 *7079:A *6704:D 0.000101133
9 *7079:A *6852:CLK 0.000334808
10 *7079:A *1220:33 0
11 *7079:A *1220:41 7.02172e-06
12 *7079:A *1368:11 0.000106527
13 *7080:A *6704:C 9.69453e-05
14 *7080:A *1365:16 0.000436825
15 *577:11 *7080:A 0
16 *581:13 *7079:A 3.00073e-05
17 *581:13 *7080:A 2.01595e-05
18 *1067:26 *7080:A 0.000621147
*RES
1 *7074:X *1070:5 13.7491
2 *1070:5 *7079:A 34.5952
3 *1070:5 *7080:A 29.7376
*END
*D_NET *1071 0.00222305
*CONN
*I *7082:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7081:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7075:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7082:A 0.000499826
2 *7081:A 0.000259537
3 *7075:X 0
4 *1071:5 0.000759362
5 *7082:A *6820:CLK 5.9708e-05
6 *6542:C *7082:A 6.08467e-05
7 *6546:A2 *7081:A 6.92705e-05
8 *6590:A *7082:A 0
9 *419:14 *7081:A 9.47795e-05
10 *597:33 *7081:A 0
11 *597:33 *7082:A 0
12 *902:46 *7082:A 0.000419724
13 *947:76 *7081:A 0
14 *947:76 *7082:A 0
*RES
1 *7075:X *1071:5 13.7491
2 *1071:5 *7081:A 21.2876
3 *1071:5 *7082:A 27.246
*END
*D_NET *1072 0.00424537
*CONN
*I *7084:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7083:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7076:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7084:A 0.000473802
2 *7083:A 0.000429012
3 *7076:X 0.000157429
4 *1072:5 0.00106024
5 *7083:A *1085:24 0
6 *7083:A *1287:8 0
7 *7084:A *6234:B 0.000213725
8 *7084:A *6234:C_N 7.34948e-06
9 *7084:A *6234:D_N 0.000250402
10 *1072:5 *6234:D_N 0.000685055
11 *6282:B1 *7084:A 0
12 *6283:A2 *7083:A 6.66538e-05
13 *6283:B1 *7084:A 6.73704e-05
14 *6284:C *7084:A 9.82896e-06
15 *6286:B *7084:A 8.04463e-05
16 *6749:D *7083:A 0.000149628
17 *589:31 *7084:A 0.000101118
18 *823:11 *1072:5 0.000318265
19 *848:12 *7084:A 0.000145358
20 *857:23 *7084:A 0
21 *857:38 *7083:A 2.96862e-05
*RES
1 *7076:X *1072:5 16.6278
2 *1072:5 *7083:A 29.6642
3 *1072:5 *7084:A 33.9589
*END
*D_NET *1073 0.0050921
*CONN
*I *7092:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7085:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7077:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7092:A 0.000795197
2 *7085:A 0.000651448
3 *7077:X 0
4 *1073:4 0.00144664
5 *7092:A *1088:40 0.000336125
6 *7092:A *1088:54 0.000202283
7 *6139:B *7085:A 8.8567e-05
8 *6352:C *7092:A 0.000223897
9 *6353:A *7092:A 0.000156351
10 *6385:A1 *7085:A 0.000238566
11 *6387:A1 *7085:A 8.62625e-06
12 *6387:A2 *7085:A 3.31736e-05
13 *6782:D *7085:A 0.000217937
14 *597:39 *7092:A 0.000136538
15 *752:8 *7085:A 1.87469e-05
16 *753:8 *7085:A 0.000167195
17 *913:31 *7092:A 0.000370801
*RES
1 *7077:X *1073:4 9.24915
2 *1073:4 *7085:A 35.9555
3 *1073:4 *7092:A 43.114
*END
*D_NET *1074 0.00417936
*CONN
*I *7094:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7093:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7078:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7094:A 0.000250087
2 *7093:A 0.000535011
3 *7078:X 0.000207354
4 *1074:8 0.000992452
5 *7093:A *6169:A2 6.89789e-05
6 *7093:A *6367:A 2.65831e-05
7 *7093:A *6772:CLK 5.99691e-05
8 *7094:A *6772:CLK 1.15389e-05
9 *6365:B *7093:A 6.50727e-05
10 *6368:A *7093:A 0.000540793
11 *6409:A *7093:A 0.000113968
12 *6772:D *7094:A 6.50727e-05
13 *274:8 *1074:8 0.000522638
14 *593:31 *7094:A 0.000193374
15 *593:40 *7094:A 5.18594e-05
16 *593:40 *1074:8 0
17 *774:21 *7093:A 0.000114584
18 *905:8 *7094:A 0
19 *905:8 *1074:8 3.14544e-05
20 *905:13 *1074:8 0.000135519
21 *905:46 *7093:A 2.39581e-05
22 *907:24 *1074:8 0.000169093
*RES
1 *7078:X *1074:8 27.9709
2 *1074:8 *7093:A 23.8376
3 *1074:8 *7094:A 25.9269
*END
*D_NET *1075 0.00308025
*CONN
*I *7096:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7095:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7079:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7096:A 0.000949967
2 *7095:A 6.29451e-05
3 *7079:X 0.000121495
4 *1075:8 0.00113441
5 *7095:A *1091:7 0.000118166
6 *1075:8 *6851:CLK 0
7 *1075:8 *1091:15 2.95757e-05
8 *1075:8 *1091:105 0.000299323
9 *1075:8 *1220:41 8.91108e-05
10 *6181:A *7096:A 0.000275256
*RES
1 *7079:X *1075:8 23.8184
2 *1075:8 *7095:A 11.0817
3 *1075:8 *7096:A 30.4929
*END
*D_NET *1076 0.0027611
*CONN
*I *7097:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7098:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7080:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7097:A 0.000321649
2 *7098:A 0.000218227
3 *7080:X 5.86067e-05
4 *1076:6 0.000598483
5 *7097:A *6705:A1 0
6 *7097:A *6705:B1 0.000116986
7 *7097:A *6715:CLK 0.000277488
8 *7098:A *1094:10 0.000481901
9 *1076:6 *6705:A1 0
10 *218:9 *7097:A 8.47466e-05
11 *577:11 *7097:A 0.000160384
12 *577:11 *1076:6 0.000101133
13 *667:39 *7098:A 0.000341492
*RES
1 *7080:X *1076:6 15.5811
2 *1076:6 *7098:A 23.5391
3 *1076:6 *7097:A 23.506
*END
*D_NET *1077 0.00350709
*CONN
*I *7099:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7100:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7081:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7099:A 0.000499861
2 *7100:A 0.000167575
3 *7081:X 0.000103632
4 *1077:6 0.000771068
5 *7099:A *6545:A 0.000466359
6 *6544:A1 *7099:A 0.000110701
7 *6545:B *7099:A 0.000859863
8 *6546:A2 *1077:6 0
9 *6547:C *7099:A 8.83451e-06
10 *419:14 *7100:A 0
11 *419:14 *1077:6 0
12 *597:33 *7100:A 0.000160384
13 *597:33 *1077:6 0.000134421
14 *947:19 *7100:A 0.000224395
*RES
1 *7081:X *1077:6 16.4116
2 *1077:6 *7100:A 19.2141
3 *1077:6 *7099:A 31.8582
*END
*D_NET *1078 0.00273257
*CONN
*I *7087:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7086:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7082:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7087:A 0.000246588
2 *7086:A 0.00035545
3 *7082:X 6.09559e-05
4 *1078:6 0.000662994
5 *7086:A *6526:A1 0.000307037
6 *6530:C1 *7087:A 0.000132219
7 *6543:A *7087:A 5.99658e-05
8 *6543:A *1078:6 7.73065e-05
9 *414:16 *7087:A 0.000358589
10 *414:16 *1078:6 0.000179271
11 *414:17 *7087:A 6.08467e-05
12 *591:49 *7086:A 0.000164829
13 *591:49 *7087:A 6.6516e-05
*RES
1 *7082:X *1078:6 16.8269
2 *1078:6 *7086:A 22.7916
3 *1078:6 *7087:A 23.6453
*END
*D_NET *1079 0.00331553
*CONN
*I *7089:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7088:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7083:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7089:A 0.00037246
2 *7088:A 0.000565581
3 *7083:X 0.000302423
4 *1079:8 0.00124046
5 *7088:A *6606:B_N 0.000111722
6 *7088:A *6606:C 2.16355e-05
7 *7088:A *6606:D 4.56831e-05
8 *7088:A *1237:8 0
9 *7089:A *1085:8 2.85274e-05
10 *1079:8 *1237:8 0
11 *6606:A_N *7088:A 4.99109e-05
12 *501:8 *7088:A 0.000326398
13 *636:11 *7088:A 6.36773e-05
14 *636:11 *7089:A 6.3657e-05
15 *656:16 *7088:A 0.000123387
*RES
1 *7083:X *1079:8 20.5964
2 *1079:8 *7088:A 30.4427
3 *1079:8 *7089:A 22.237
*END
*D_NET *1080 0.00426305
*CONN
*I *7091:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7090:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7084:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *7091:A 0.000372384
2 *7090:A 0.00043689
3 *7084:X 0.000341089
4 *1080:8 0.00115036
5 *7090:A *6260:B1 0.000161234
6 *7090:A *1086:85 0.000947243
7 *7091:A *1086:85 4.0752e-05
8 *1080:8 *6260:B1 0
9 *1080:8 *1086:85 8.07794e-05
10 *6260:A1 *7090:A 0.00024981
11 *6260:A2 *7090:A 0.000114594
12 *6261:C *7090:A 0.000171273
13 *6743:D *1080:8 0.000196638
14 *6752:D *1080:8 0
15 *841:15 *1080:8 0
*RES
1 *7084:X *1080:8 27.9709
2 *1080:8 *7090:A 26.249
3 *1080:8 *7091:A 17.737
*END
*D_NET *1081 0.0157071
*CONN
*I *6737:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6733:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6732:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6791:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6792:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6793:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6736:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6731:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6729:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7085:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6737:CLK 9.15233e-05
2 *6733:CLK 0.000204615
3 *6732:CLK 0
4 *6791:CLK 0.000512181
5 *6792:CLK 0
6 *6793:CLK 0
7 *6736:CLK 0
8 *6731:CLK 0.000329761
9 *6729:CLK 0.000305081
10 *7085:X 0.000269492
11 *1081:61 0.000792727
12 *1081:51 0.000686329
13 *1081:42 0.00100917
14 *1081:37 0.0010285
15 *1081:20 0.00091219
16 *1081:17 0.000361928
17 *1081:8 0.00091459
18 *1081:6 0.000970525
19 *6729:CLK *6159:A1 0
20 *6729:CLK *6200:A1 0
21 *6729:CLK *1321:15 0
22 *6791:CLK *6137:B 0.000211478
23 *6791:CLK *6164:A 0.000102632
24 *6791:CLK *1335:5 7.97098e-06
25 *6791:CLK *1335:13 2.77625e-06
26 *1081:6 *6138:A 0.000179286
27 *6159:A2 *6731:CLK 9.22013e-06
28 *6159:A2 *1081:37 4.70005e-05
29 *6161:C *1081:8 0
30 *6161:D *1081:8 0
31 *6187:C *1081:20 7.92757e-06
32 *6188:B *1081:8 0
33 *6195:B1 *6729:CLK 0.000388232
34 *6195:B1 *6733:CLK 6.08467e-05
35 *6195:B1 *1081:8 2.95757e-05
36 *6195:B1 *1081:20 0.000611498
37 *6196:B1 *6729:CLK 0.000125695
38 *6201:A *6731:CLK 0.000153225
39 *6202:A2 *6731:CLK 5.2092e-05
40 *6203:B1 *6731:CLK 0.000101148
41 *6204:A *1081:8 0
42 *6206:C *1081:8 0
43 *6207:A *1081:8 2.51446e-05
44 *6221:A *1081:6 0.000195139
45 *6222:A2 *1081:6 0.000149628
46 *6222:A2 *1081:8 0.000156854
47 *6222:B1 *1081:6 0
48 *6421:B1 *6791:CLK 0.000107496
49 *6425:A *1081:61 5.14001e-05
50 *6426:A2 *1081:61 1.61631e-05
51 *6430:C1 *1081:61 0.000160384
52 *6731:D *6731:CLK 1.0173e-05
53 *6732:D *6731:CLK 7.12382e-05
54 *6732:D *1081:37 9.27451e-05
55 *6733:D *6733:CLK 0.000119972
56 *6736:D *1081:42 4.80148e-05
57 *6736:D *1081:51 3.67528e-06
58 *6793:D *1081:51 3.31882e-05
59 *80:6 *1081:42 0
60 *80:6 *1081:51 0
61 *352:5 *1081:61 0.000314433
62 *354:18 *6791:CLK 0.000245732
63 *354:18 *1081:61 2.4562e-05
64 *766:6 *6729:CLK 6.08697e-06
65 *766:6 *1081:8 4.73076e-05
66 *766:11 *6729:CLK 4.15143e-05
67 *766:28 *1081:20 0.000678803
68 *785:15 *6731:CLK 0.000114955
69 *786:6 *1081:8 0
70 *788:18 *1081:6 0
71 *789:8 *1081:6 0.000142485
72 *789:8 *1081:8 0.000242134
73 *789:12 *1081:8 0.000149628
74 *792:15 *1081:42 0
75 *792:23 *1081:20 0.000319954
76 *792:47 *6791:CLK 0.000388264
77 *792:47 *1081:42 0
78 *792:47 *1081:51 0
79 *792:47 *1081:61 0.000346882
80 *808:8 *1081:8 0.00033614
81 *811:19 *1081:6 0
82 *926:12 *6791:CLK 0.000373061
83 *926:25 *6791:CLK 0.000202245
84 *933:6 *6791:CLK 1.25165e-05
85 *936:8 *1081:51 0
*RES
1 *7085:X *1081:6 23.4709
2 *1081:6 *1081:8 19.6878
3 *1081:8 *6729:CLK 23.8862
4 *1081:8 *1081:17 4.5
5 *1081:17 *1081:20 14.6517
6 *1081:20 *6731:CLK 23.1095
7 *1081:20 *1081:37 12.3415
8 *1081:37 *1081:42 20.2069
9 *1081:42 *6736:CLK 13.7491
10 *1081:42 *1081:51 14.637
11 *1081:51 *6793:CLK 9.24915
12 *1081:51 *1081:61 15.5295
13 *1081:61 *6792:CLK 13.7491
14 *1081:61 *6791:CLK 32.819
15 *1081:37 *6732:CLK 9.24915
16 *1081:17 *6733:CLK 14.964
17 *1081:6 *6737:CLK 15.5817
*END
*D_NET *1082 0.0115717
*CONN
*I *6808:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6807:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6805:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6804:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6800:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6799:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6816:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6806:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6814:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7086:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6808:CLK 0
2 *6807:CLK 0
3 *6805:CLK 0
4 *6804:CLK 3.57807e-05
5 *6800:CLK 0.000135358
6 *6799:CLK 0.000255109
7 *6816:CLK 2.64294e-05
8 *6806:CLK 0
9 *6814:CLK 0.000197445
10 *7086:X 0.000492431
11 *1082:61 0.000795348
12 *1082:57 0.00111027
13 *1082:52 0.00169546
14 *1082:45 0.00152167
15 *1082:38 0.00091282
16 *1082:24 0.000578379
17 *1082:17 0.000256746
18 *1082:8 0.00081167
19 *1082:8 *6526:A1 6.92705e-05
20 *1082:8 *6529:A2 2.53624e-06
21 *1082:8 *6529:B1 0.000118485
22 *1082:17 *1263:13 0
23 *1082:24 *1263:13 0
24 *1082:38 *1263:8 0.000214838
25 *1082:45 *6521:A1 0.000267394
26 *6489:A *6800:CLK 0.000441022
27 *6505:B1 *1082:8 7.52542e-05
28 *6513:B *1082:52 0
29 *6521:A2 *1082:38 1.41976e-05
30 *6521:A2 *1082:45 0.000131878
31 *6530:A1 *1082:8 0.000143047
32 *6530:A2 *1082:8 0
33 *6568:A *1082:8 1.44611e-05
34 *6568:A *1082:17 8.26975e-05
35 *6804:D *1082:57 0
36 *6807:D *1082:45 0
37 *6808:D *1082:38 1.87611e-05
38 *6816:D *1082:24 0
39 *6816:D *1082:38 0
40 *371:24 *6816:CLK 7.48797e-05
41 *371:29 *1082:52 0
42 *371:29 *1082:57 0
43 *371:29 *1082:61 0
44 *593:31 *1082:8 0
45 *593:31 *1082:17 0
46 *593:31 *1082:24 0
47 *593:31 *1082:38 0
48 *596:22 *6814:CLK 0.000744813
49 *904:60 *6816:CLK 7.48797e-05
50 *938:45 *1082:8 0.000258359
*RES
1 *7086:X *1082:8 29.1802
2 *1082:8 *6814:CLK 21.6824
3 *1082:8 *1082:17 3.493
4 *1082:17 *6806:CLK 13.7491
5 *1082:17 *1082:24 3.07775
6 *1082:24 *6816:CLK 15.5817
7 *1082:24 *1082:38 15.9504
8 *1082:38 *1082:45 22.784
9 *1082:45 *1082:52 33.8943
10 *1082:52 *1082:57 20.9009
11 *1082:57 *1082:61 14.637
12 *1082:61 *6799:CLK 24.2687
13 *1082:61 *6800:CLK 14.4094
14 *1082:57 *6804:CLK 14.4725
15 *1082:52 *6805:CLK 9.24915
16 *1082:45 *6807:CLK 9.24915
17 *1082:38 *6808:CLK 9.24915
*END
*D_NET *1083 0.00828907
*CONN
*I *6817:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6739:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6818:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6815:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6811:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6820:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7087:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6817:CLK 0
2 *6739:CLK 0.000638877
3 *6818:CLK 0
4 *6815:CLK 0.000170331
5 *6811:CLK 4.01915e-05
6 *6820:CLK 0.000287307
7 *7087:X 0
8 *1083:47 0.000880312
9 *1083:41 0.00109502
10 *1083:26 0.00154894
11 *1083:6 0.000574679
12 *1083:5 0.000772198
13 *6739:CLK *1273:6 0
14 *1083:6 *6580:A 0
15 *1083:6 *6580:B 0
16 *1083:26 *6580:B 0
17 *1083:26 *1269:12 0.000414323
18 *1083:26 *1269:26 0
19 *1083:41 *1270:8 6.50727e-05
20 *1083:47 *6572:A1 0.000266846
21 *1083:47 *1116:8 2.97007e-05
22 *6564:B *1083:26 4.61732e-05
23 *6572:A2 *1083:47 1.07248e-05
24 *6573:B *1083:47 0
25 *6739:D *6739:CLK 3.67528e-06
26 *6815:D *6815:CLK 3.18826e-06
27 *6815:D *1083:26 1.79672e-05
28 *6815:D *1083:41 3.86121e-05
29 *6817:D *1083:47 0.000125695
30 *6818:D *6739:CLK 7.02539e-05
31 *7082:A *6820:CLK 5.9708e-05
32 *403:22 *6820:CLK 0.000217951
33 *591:53 *6811:CLK 0.000113968
34 *597:33 *6820:CLK 0.00016554
35 *597:33 *1083:6 0.00019266
36 *597:33 *1083:26 0.000439153
37 *830:67 *6739:CLK 0
38 *947:76 *6820:CLK 0
*RES
1 *7087:X *1083:5 13.7491
2 *1083:5 *1083:6 7.64553
3 *1083:6 *6820:CLK 22.9514
4 *1083:6 *6811:CLK 15.0271
5 *1083:5 *1083:26 22.5268
6 *1083:26 *6815:CLK 12.0704
7 *1083:26 *1083:41 17.5785
8 *1083:41 *1083:47 15.9894
9 *1083:47 *6818:CLK 9.24915
10 *1083:47 *6739:CLK 33.1424
11 *1083:41 *6817:CLK 9.24915
*END
*D_NET *1084 0.00969565
*CONN
*I *6749:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6748:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6823:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6825:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6824:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6827:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6830:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6842:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6826:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7088:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6749:CLK 0
2 *6748:CLK 0.000147872
3 *6823:CLK 0.000626701
4 *6825:CLK 2.04392e-05
5 *6824:CLK 0
6 *6827:CLK 0
7 *6830:CLK 3.57807e-05
8 *6842:CLK 0.000383143
9 *6826:CLK 0.00010183
10 *7088:X 4.18965e-05
11 *1084:73 0.000663169
12 *1084:55 0.000879576
13 *1084:54 0.00101954
14 *1084:45 0.000424661
15 *1084:38 0.000335028
16 *1084:22 0.00124319
17 *1084:10 0.00127571
18 *1084:7 0.000573681
19 *6842:CLK *1235:30 0
20 *1084:10 *6018:A 0
21 *1084:10 *6606:D 0
22 *1084:22 *6018:A 0
23 *1084:22 *1235:30 0
24 *1084:22 *1239:8 0.0002817
25 *1084:38 *1238:16 0
26 *1084:45 *1238:16 0
27 *6610:C_N *6826:CLK 0.000153494
28 *6610:C_N *1084:10 2.652e-05
29 *6615:A2 *1084:45 0
30 *6622:B *1084:10 1.87469e-05
31 *6625:A *1084:10 4.3116e-06
32 *6627:B *1084:10 0
33 *6627:B *1084:38 0
34 *6627:B *1084:45 0
35 *6632:A *1084:22 0
36 *6632:B *1084:22 0
37 *6634:B1 *1084:22 0
38 *6636:A2 *1084:22 0.000393877
39 *6639:B1_N *1084:22 0.000364234
40 *6693:B *6842:CLK 0
41 *6697:A *1084:22 0.000118245
42 *6697:B *1084:22 0
43 *6825:D *6825:CLK 6.50586e-05
44 *6825:D *1084:54 0
45 *6825:D *1084:55 0.000220183
46 *6826:D *6826:CLK 1.87611e-05
47 *6830:D *6842:CLK 3.20069e-06
48 *6830:D *1084:22 8.64658e-05
49 *6842:D *6842:CLK 1.07248e-05
50 *456:54 *6823:CLK 0
51 *501:8 *1084:7 6.50586e-05
52 *504:23 *1084:10 9.28527e-05
53 *656:8 *1084:38 0
*RES
1 *7088:X *1084:7 14.4725
2 *1084:7 *1084:10 12.976
3 *1084:10 *6826:CLK 12.2151
4 *1084:10 *1084:22 30.0448
5 *1084:22 *6842:CLK 23.4709
6 *1084:22 *6830:CLK 14.4725
7 *1084:7 *1084:38 4.32351
8 *1084:38 *6827:CLK 13.7491
9 *1084:38 *1084:45 3.493
10 *1084:45 *6824:CLK 13.7491
11 *1084:45 *1084:54 11.315
12 *1084:54 *1084:55 6.26943
13 *1084:55 *6825:CLK 9.97254
14 *1084:55 *6823:CLK 32.4484
15 *1084:54 *1084:73 12.3701
16 *1084:73 *6748:CLK 21.7421
17 *1084:73 *6749:CLK 9.24915
*END
*D_NET *1085 0.0130691
*CONN
*I *6859:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6860:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6828:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6829:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6855:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6724:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6713:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6712:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6856:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6753:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6750:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7089:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6859:CLK 6.3992e-05
2 *6860:CLK 0.000145603
3 *6828:CLK 0.000127449
4 *6829:CLK 0
5 *6855:CLK 1.26312e-05
6 *6724:CLK 5.12291e-05
7 *6713:CLK 0.000263524
8 *6712:CLK 2.06324e-05
9 *6856:CLK 0.000444433
10 *6753:CLK 0
11 *6750:CLK 0
12 *7089:X 0
13 *1085:69 0.000732777
14 *1085:55 0.000766756
15 *1085:43 0.000974331
16 *1085:41 0.00035848
17 *1085:39 0.00028537
18 *1085:37 0.000672073
19 *1085:35 0.000796734
20 *1085:33 0.000614648
21 *1085:24 0.0014339
22 *1085:8 0.00169639
23 *1085:4 0.000565318
24 *6855:CLK *1217:40 6.50727e-05
25 *6855:CLK *1281:11 2.65831e-05
26 *6856:CLK *6856:D 0.000155555
27 *6856:CLK *1217:43 0.0001154
28 *1085:24 *6234:A 0
29 *1085:24 *1287:8 0
30 *1085:24 *1291:8 6.92705e-05
31 *1085:37 *5981:A 3.90891e-05
32 *1085:41 *1217:43 1.09738e-05
33 *1085:43 *1217:43 0.000151427
34 *1085:69 *6148:A 0.000103943
35 *6177:B *6713:CLK 0
36 *6283:A1 *1085:24 6.50727e-05
37 *6287:A *1085:24 0
38 *6291:A *1085:24 0.000530137
39 *6701:A *6860:CLK 0.000107101
40 *6701:A *1085:33 4.11983e-05
41 *6701:A *1085:35 9.01519e-05
42 *6703:B1 *1085:37 0
43 *6712:D *1085:69 1.87611e-05
44 *6724:D *6713:CLK 0.000575651
45 *6724:D *6724:CLK 6.50727e-05
46 *6749:D *1085:24 0
47 *6750:D *1085:8 0
48 *6750:D *1085:24 0
49 *6753:D *1085:24 1.87611e-05
50 *6859:D *1085:33 0.000220183
51 *6860:D *6860:CLK 1.87611e-05
52 *6860:D *1085:37 0
53 *7083:A *1085:24 0
54 *7089:A *1085:8 2.85274e-05
55 *573:9 *6860:CLK 6.50586e-05
56 *573:9 *1085:35 0
57 *595:63 *6859:CLK 4.26431e-05
58 *602:61 *1085:35 0
59 *602:61 *1085:37 0
60 *602:61 *1085:39 0
61 *602:61 *1085:41 0
62 *859:14 *1085:24 0.000418415
*RES
1 *7089:X *1085:4 9.24915
2 *1085:4 *1085:8 10.7955
3 *1085:8 *6750:CLK 13.7491
4 *1085:8 *1085:24 42.076
5 *1085:24 *6753:CLK 9.24915
6 *1085:4 *1085:33 12.738
7 *1085:33 *1085:35 6.81502
8 *1085:35 *1085:37 10.137
9 *1085:37 *1085:39 3.493
10 *1085:39 *1085:41 3.493
11 *1085:41 *1085:43 5.98452
12 *1085:43 *6856:CLK 26.0059
13 *1085:43 *1085:55 11.324
14 *1085:55 *6712:CLK 9.82786
15 *1085:55 *1085:69 11.4779
16 *1085:69 *6713:CLK 27.9709
17 *1085:69 *6724:CLK 10.5271
18 *1085:41 *6855:CLK 14.4725
19 *1085:39 *6829:CLK 13.7491
20 *1085:37 *6828:CLK 16.691
21 *1085:35 *6860:CLK 18.3548
22 *1085:33 *6859:CLK 15.5817
*END
*D_NET *1086 0.0134229
*CONN
*I *6752:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6743:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6744:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6821:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6747:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6746:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6740:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6738:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6745:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7090:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6752:CLK 0.000124268
2 *6743:CLK 0
3 *6744:CLK 3.28063e-05
4 *6821:CLK 0
5 *6747:CLK 0.000494724
6 *6746:CLK 0.000193496
7 *6740:CLK 0.00110303
8 *6738:CLK 0
9 *6745:CLK 3.58004e-05
10 *7090:X 0
11 *1086:85 0.000633446
12 *1086:46 0.000938831
13 *1086:42 0.00067919
14 *1086:21 0.00165529
15 *1086:12 0.000771576
16 *1086:7 0.000718139
17 *1086:5 0.000945571
18 *1086:4 0.0013159
19 *6740:CLK *1303:7 7.48633e-05
20 *6747:CLK *6272:A1 6.23066e-05
21 *1086:5 *6232:B 5.05841e-05
22 *1086:5 *1307:19 6.11359e-06
23 *1086:5 *1307:31 2.42156e-05
24 *1086:12 *1307:5 6.78825e-06
25 *1086:21 *6231:A 9.60216e-05
26 *1086:42 *6263:A1 0
27 *1086:85 *6260:B1 0.000161234
28 *1086:85 *1307:31 0
29 *6231:B *6740:CLK 0
30 *6231:B *1086:21 0
31 *6237:A *1086:46 0
32 *6240:A *6740:CLK 0
33 *6244:C *1086:21 0
34 *6245:A *1086:21 0
35 *6247:A2 *6740:CLK 2.85274e-05
36 *6260:A2 *1086:85 0.000114594
37 *6261:A_N *1086:5 7.56341e-06
38 *6261:A_N *1086:85 0.000161282
39 *6261:B *1086:85 0.000623332
40 *6263:B1_N *1086:42 0.000127179
41 *6273:A *6747:CLK 0.000266846
42 *6273:B *6747:CLK 0.000217923
43 *6276:B *6747:CLK 0
44 *6738:D *6740:CLK 0.000157124
45 *6738:D *1086:21 1.2601e-05
46 *6740:D *6740:CLK 0.000176483
47 *6744:D *6744:CLK 1.09551e-05
48 *6744:D *1086:5 0.000135103
49 *6744:D *1086:7 5.98122e-05
50 *6744:D *1086:12 1.44237e-06
51 *6745:D *1086:21 0
52 *6746:D *6746:CLK 1.41531e-05
53 *6746:D *6747:CLK 0.000107056
54 *6821:D *1086:46 0
55 *7076:A *6747:CLK 7.92757e-06
56 *7090:A *1086:85 0.000947243
57 *7091:A *1086:85 4.0752e-05
58 *826:10 *1086:42 0
59 *826:10 *1086:46 0
60 *827:18 *1086:12 0
61 *827:18 *1086:21 0
62 *827:18 *1086:42 0
63 *830:67 *6740:CLK 0
64 *830:67 *1086:12 0
65 *830:67 *1086:21 0
66 *1080:8 *1086:85 8.07794e-05
*RES
1 *7090:X *1086:4 9.24915
2 *1086:4 *1086:5 12.3701
3 *1086:5 *1086:7 1.8326
4 *1086:7 *1086:12 8.02525
5 *1086:12 *6745:CLK 14.4725
6 *1086:12 *1086:21 13.8743
7 *1086:21 *6738:CLK 13.7491
8 *1086:21 *6740:CLK 41.1703
9 *1086:7 *1086:42 15.4675
10 *1086:42 *1086:46 10.4845
11 *1086:46 *6746:CLK 12.625
12 *1086:46 *6747:CLK 30.1201
13 *1086:42 *6821:CLK 13.7491
14 *1086:5 *6744:CLK 10.2378
15 *1086:4 *1086:85 31.3924
16 *1086:85 *6743:CLK 9.24915
17 *1086:85 *6752:CLK 12.191
*END
*D_NET *1087 0.0164729
*CONN
*I *6760:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6759:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6762:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6763:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6742:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6741:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6758:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6761:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6757:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6755:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6756:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6754:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6751:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7091:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6760:CLK 0.000214703
2 *6759:CLK 0.00014829
3 *6762:CLK 0
4 *6763:CLK 0
5 *6742:CLK 0.000186787
6 *6741:CLK 5.87126e-05
7 *6758:CLK 0.000153519
8 *6761:CLK 2.31818e-05
9 *6757:CLK 0.00043817
10 *6755:CLK 0
11 *6756:CLK 0.000108238
12 *6754:CLK 0.000148959
13 *6751:CLK 0.000369914
14 *7091:X 0.000323013
15 *1087:94 0.0005785
16 *1087:85 0.000758615
17 *1087:83 0.00116255
18 *1087:76 0.00100265
19 *1087:74 0.00060185
20 *1087:65 0.000673336
21 *1087:56 0.000336002
22 *1087:43 0.00108986
23 *1087:20 0.000379584
24 *1087:10 0.000783786
25 *1087:7 0.000312118
26 *1087:5 0.000815187
27 *6751:CLK *6228:D_N 1.75625e-05
28 *6751:CLK *1291:8 4.47578e-05
29 *6751:CLK *1291:19 3.3336e-05
30 *6751:CLK *1291:26 2.75292e-05
31 *6754:CLK *1292:17 0.000174175
32 *6754:CLK *1292:26 0.000208976
33 *6760:CLK *1299:8 0
34 *1087:10 *6228:D_N 5.43333e-05
35 *1087:10 *6292:A 0.00022117
36 *1087:20 *6302:B 9.82896e-06
37 *1087:65 *6227:D 5.68225e-06
38 *1087:74 *6227:D 1.75625e-05
39 *1087:74 *1299:16 3.19566e-05
40 *1087:83 *1301:7 8.03315e-05
41 *6228:C_N *1087:10 7.75932e-05
42 *6229:B *6757:CLK 0.000589703
43 *6229:B *1087:43 2.692e-05
44 *6255:A *1087:94 0
45 *6291:A *6751:CLK 5.29763e-05
46 *6297:A_N *6751:CLK 5.01813e-05
47 *6297:C *6751:CLK 0.000167594
48 *6299:B1_N *6751:CLK 0.000212491
49 *6302:C *6751:CLK 0.000144546
50 *6302:C *1087:20 2.65831e-05
51 *6302:D *6756:CLK 0.000134715
52 *6302:D *1087:20 0.000277109
53 *6303:A2 *6754:CLK 8.51085e-05
54 *6304:B *1087:43 0
55 *6304:B *1087:56 0
56 *6304:B *1087:65 0
57 *6318:A2 *1087:74 0
58 *6321:A_N *1087:83 0.00011818
59 *6321:B *1087:74 0
60 *6321:B *1087:83 2.16355e-05
61 *6321:C *6760:CLK 0
62 *6321:C *1087:74 0
63 *6322:A *6759:CLK 4.66492e-05
64 *6322:A *6760:CLK 0.000201734
65 *6322:A *1087:74 2.95757e-05
66 *6322:A *1087:83 0.000171273
67 *6325:A *1087:65 3.31733e-05
68 *6325:A *1087:74 0.000149643
69 *6325:B *1087:65 3.67708e-05
70 *6325:B *1087:74 0.000116439
71 *6330:A *6742:CLK 0.000209302
72 *6755:D *1087:43 1.87611e-05
73 *6757:D *6757:CLK 0.000113968
74 *6760:D *6760:CLK 0.000334808
75 *6761:D *6758:CLK 2.41274e-06
76 *6761:D *1087:65 0
77 *6762:D *1087:83 2.94869e-05
78 *6763:D *6742:CLK 1.2601e-05
79 *6763:D *1087:94 3.90891e-05
80 *596:22 *6742:CLK 0.00012316
81 *596:44 *6761:CLK 6.50586e-05
82 *602:61 *1087:10 0
83 *818:15 *1087:20 0.000124886
84 *841:43 *6757:CLK 0.000403938
85 *865:26 *6751:CLK 3.9739e-05
86 *867:20 *1087:10 7.58595e-05
87 *867:24 *6751:CLK 2.5386e-05
88 *867:24 *1087:10 1.2693e-05
89 *869:24 *6754:CLK 8.85525e-05
90 *874:11 *1087:20 0.000387915
91 *881:10 *1087:43 0
92 *881:10 *1087:56 0
93 *881:10 *1087:65 0
*RES
1 *7091:X *1087:5 16.0732
2 *1087:5 *1087:7 0.578717
3 *1087:7 *1087:10 14.637
4 *1087:10 *6751:CLK 27.2082
5 *1087:10 *1087:20 12.4332
6 *1087:20 *6754:CLK 25.0642
7 *1087:20 *6756:CLK 12.7456
8 *1087:7 *6755:CLK 9.24915
9 *1087:5 *1087:43 14.6805
10 *1087:43 *6757:CLK 29.6397
11 *1087:43 *1087:56 4.32351
12 *1087:56 *6761:CLK 14.4725
13 *1087:56 *1087:65 3.493
14 *1087:65 *6758:CLK 17.2456
15 *1087:65 *1087:74 9.72179
16 *1087:74 *1087:76 4.5
17 *1087:76 *1087:83 18.3984
18 *1087:83 *1087:85 7.37864
19 *1087:85 *6741:CLK 10.5271
20 *1087:85 *1087:94 14.2218
21 *1087:94 *6742:CLK 20.5992
22 *1087:94 *6763:CLK 13.7491
23 *1087:83 *6762:CLK 9.24915
24 *1087:76 *6759:CLK 12.7456
25 *1087:74 *6760:CLK 21.2932
*END
*D_NET *1088 0.0119334
*CONN
*I *6780:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6779:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6781:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6782:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6734:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6735:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6728:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7092:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6780:CLK 0
2 *6779:CLK 0.000317299
3 *6781:CLK 0.000634364
4 *6782:CLK 2.86212e-05
5 *6734:CLK 0.000173692
6 *6735:CLK 2.19663e-05
7 *6728:CLK 0.00121565
8 *7092:X 2.88339e-05
9 *1088:57 0.0010591
10 *1088:54 0.000505831
11 *1088:40 0.000596442
12 *1088:12 0.001659
13 *1088:10 0.000912358
14 *1088:5 0.000515551
15 *6728:CLK *6336:B 4.29824e-05
16 *6728:CLK *1318:10 2.65667e-05
17 *6728:CLK *1319:42 1.8906e-05
18 *6728:CLK *1320:8 8.62625e-06
19 *6728:CLK *1320:18 0.000374525
20 *6734:CLK *1324:7 0.000113968
21 *6735:CLK *6345:B 6.50727e-05
22 *6779:CLK *6439:D 5.42584e-05
23 *6779:CLK *1351:7 7.49459e-05
24 *6779:CLK *1354:19 3.31736e-05
25 *6781:CLK *1354:7 0.000159586
26 *1088:5 *1149:30 0.000159322
27 *1088:10 *6141:A 0
28 *1088:10 *6208:A 7.77309e-06
29 *1088:10 *1149:30 0.0005768
30 *1088:10 *1324:19 4.70005e-05
31 *1088:12 *6208:A 0.00011048
32 *1088:12 *1325:8 0
33 *1088:12 *1325:15 0
34 *1088:54 *1354:19 1.04928e-05
35 *1088:57 *1354:19 5.19205e-05
36 *6198:C *6728:CLK 6.87578e-05
37 *6333:A *1088:12 0
38 *6334:B *6728:CLK 0
39 *6337:A *6728:CLK 0
40 *6352:B *1088:10 3.40567e-05
41 *6358:B1 *1088:10 0.0002646
42 *6728:D *6728:CLK 1.87611e-05
43 *6734:D *6734:CLK 0.000106635
44 *6735:D *6728:CLK 3.20069e-06
45 *6735:D *1088:12 6.14128e-05
46 *6780:D *6779:CLK 2.23124e-05
47 *6780:D *1088:54 4.05943e-06
48 *6781:D *6781:CLK 0.00015759
49 *6782:D *6782:CLK 6.08467e-05
50 *7092:A *1088:40 0.000336125
51 *7092:A *1088:54 0.000202283
52 *597:39 *1088:40 0
53 *597:39 *1088:54 0
54 *600:23 *6779:CLK 0.000325405
55 *754:8 *1088:10 9.24241e-05
56 *768:20 *1088:10 0
57 *768:20 *1088:12 0
58 *784:17 *6728:CLK 0
59 *895:7 *1088:5 2.93863e-05
60 *895:7 *1088:10 1.53125e-05
61 *895:8 *1088:40 4.90673e-05
62 *895:30 *6779:CLK 0.000126913
63 *895:30 *1088:40 0.000137272
64 *895:30 *1088:54 0.000120139
65 *895:30 *1088:57 8.85525e-05
66 *913:31 *1088:57 3.20069e-06
*RES
1 *7092:X *1088:5 11.0817
2 *1088:5 *1088:10 16.3387
3 *1088:10 *1088:12 10.9675
4 *1088:12 *6728:CLK 45.6677
5 *1088:12 *6735:CLK 14.4725
6 *1088:10 *6734:CLK 18.3789
7 *1088:5 *1088:40 11.7303
8 *1088:40 *6782:CLK 14.4725
9 *1088:40 *1088:54 13.8604
10 *1088:54 *1088:57 7.993
11 *1088:57 *6781:CLK 30.0014
12 *1088:57 *6779:CLK 26.4944
13 *1088:54 *6780:CLK 9.24915
*END
*D_NET *1089 0.0166026
*CONN
*I *6841:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6794:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6795:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6785:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6784:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6788:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6789:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6790:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6786:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6787:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7093:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6841:CLK 0.000291227
2 *6794:CLK 1.81917e-05
3 *6795:CLK 0
4 *6785:CLK 0
5 *6784:CLK 3.58004e-05
6 *6788:CLK 0.000342061
7 *6789:CLK 0.000334534
8 *6790:CLK 0.000291504
9 *6786:CLK 1.22643e-05
10 *6787:CLK 0.000162361
11 *7093:X 0.000140642
12 *1089:98 0.000788925
13 *1089:88 0.000741226
14 *1089:81 0.000539443
15 *1089:68 0.00039839
16 *1089:41 0.000782742
17 *1089:39 0.000851883
18 *1089:32 0.00165877
19 *1089:20 0.00158421
20 *1089:7 0.000654167
21 *6786:CLK *6388:A 3.75603e-05
22 *6787:CLK *6169:A2 0.000169093
23 *6841:CLK *1281:19 0.00104255
24 *1089:20 *6388:A 4.06263e-05
25 *1089:32 *6388:A 0.000853571
26 *1089:32 *6388:C 8.99844e-05
27 *1089:98 *1281:19 0.000736108
28 *6365:A *1089:20 0.000158357
29 *6396:A1 *1089:68 5.66868e-06
30 *6396:A1 *1089:81 0.000100744
31 *6396:A2 *1089:32 5.85117e-05
32 *6401:B *1089:20 0
33 *6402:A3 *1089:20 0.00022117
34 *6409:A *6787:CLK 0
35 *6409:B *6787:CLK 0.000237023
36 *6409:B *1089:20 8.37979e-05
37 *6412:B1 *1089:39 9.98129e-05
38 *6412:B1 *1089:41 2.86439e-05
39 *6413:C *6790:CLK 9.22013e-06
40 *6413:C *1089:39 6.62271e-05
41 *6413:C *1089:41 0.00012284
42 *6415:A1 *6790:CLK 0.000174206
43 *6415:A1 *1089:41 0.000127194
44 *6419:A1 *6790:CLK 0.000242149
45 *6419:A2 *6789:CLK 6.08467e-05
46 *6419:A2 *6790:CLK 0.000351993
47 *6419:A3 *6790:CLK 6.31665e-05
48 *6448:A2 *6794:CLK 1.09551e-05
49 *6448:A2 *6841:CLK 1.65872e-05
50 *6448:A2 *1089:98 0.000243901
51 *6451:A *1089:98 0
52 *6451:B *1089:98 4.81714e-05
53 *6451:C *1089:98 0.000326398
54 *6784:D *1089:32 2.44829e-05
55 *6784:D *1089:39 3.63743e-05
56 *6784:D *1089:68 4.27003e-05
57 *6786:D *1089:20 0.000170025
58 *6788:D *6788:CLK 6.60955e-05
59 *6789:D *6789:CLK 0.000541776
60 *6790:D *6790:CLK 1.30951e-05
61 *6794:D *6841:CLK 1.87611e-05
62 *6795:D *1089:88 1.2601e-05
63 *6795:D *1089:98 3.90891e-05
64 *602:48 *6789:CLK 2.1027e-05
65 *602:56 *6789:CLK 7.92757e-06
66 *606:46 *6789:CLK 4.24529e-05
67 *770:16 *1089:41 0
68 *774:21 *1089:7 9.46346e-05
69 *774:24 *6787:CLK 0
70 *931:8 *1089:32 3.28898e-06
71 *936:33 *6790:CLK 0
72 *936:33 *1089:39 0
73 *938:22 *1089:81 0
74 *938:22 *1089:88 0
75 *938:22 *1089:98 0
76 *947:42 *1089:20 1.28326e-05
77 *951:8 *6790:CLK 0
*RES
1 *7093:X *1089:7 16.1364
2 *1089:7 *6787:CLK 19.3507
3 *1089:7 *1089:20 15.5054
4 *1089:20 *6786:CLK 9.82786
5 *1089:20 *1089:32 24.6586
6 *1089:32 *1089:39 10.5279
7 *1089:39 *1089:41 5.15401
8 *1089:41 *6790:CLK 24.3014
9 *1089:41 *6789:CLK 23.3703
10 *1089:39 *6788:CLK 19.3434
11 *1089:32 *1089:68 2.24725
12 *1089:68 *6784:CLK 14.4725
13 *1089:68 *1089:81 8.13406
14 *1089:81 *6785:CLK 13.7491
15 *1089:81 *1089:88 6.81502
16 *1089:88 *6795:CLK 13.7491
17 *1089:88 *1089:98 22.4421
18 *1089:98 *6794:CLK 9.82786
19 *1089:98 *6841:CLK 21.0887
*END
*D_NET *1090 0.0171446
*CONN
*I *6838:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6840:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6839:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6775:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6772:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6776:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6774:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6770:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6773:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6771:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6777:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6778:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7094:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6838:CLK 0.000132173
2 *6840:CLK 0.000277275
3 *6839:CLK 0
4 *6775:CLK 1.59645e-05
5 *6772:CLK 0.000117702
6 *6776:CLK 0.000113689
7 *6774:CLK 0.000238035
8 *6770:CLK 0
9 *6773:CLK 5.87704e-05
10 *6771:CLK 0.000303001
11 *6777:CLK 0
12 *6778:CLK 0.000225154
13 *7094:X 0.000219624
14 *1090:118 0.00077034
15 *1090:109 0.000508495
16 *1090:84 0.000386751
17 *1090:82 0.000432799
18 *1090:71 0.00106705
19 *1090:64 0.000792334
20 *1090:42 0.000449983
21 *1090:24 0.000476316
22 *1090:20 0.00050044
23 *1090:15 0.000510376
24 *1090:7 0.000646119
25 *6778:CLK *6439:D 0.000152833
26 *6838:CLK *1281:19 0.000321972
27 *6838:CLK *1317:30 0.000150632
28 *6838:CLK *1317:50 0.000623449
29 *6840:CLK *1281:19 0.000469811
30 *6840:CLK *1317:30 0.000444775
31 *1090:15 *6439:B 4.88112e-06
32 *1090:15 *1345:7 0.000110684
33 *1090:24 *6439:D 0.000131713
34 *1090:64 *6439:B 2.18741e-05
35 *1090:71 *6439:B 0.000536581
36 *1090:84 *1330:43 0
37 *1090:118 *6000:A1 0
38 *1090:118 *1316:8 0
39 *6350:A *6771:CLK 0
40 *6350:A *1090:20 0.000190042
41 *6350:A *1090:24 0.000197119
42 *6350:A *1090:42 1.92172e-05
43 *6359:A *1090:7 6.92705e-05
44 *6365:B *1090:82 0
45 *6365:B *1090:84 0
46 *6366:A *1090:82 0
47 *6366:A *1090:84 0
48 *6368:A *6772:CLK 0.00033061
49 *6679:A1 *1090:109 0
50 *6679:A2 *1090:109 6.28598e-05
51 *6679:A2 *1090:118 0.000172706
52 *6679:B1 *1090:71 2.65667e-05
53 *6680:B1 *1090:109 0.000153225
54 *6680:B1 *1090:118 3.28525e-05
55 *6770:D *1090:20 3.1218e-05
56 *6772:D *6772:CLK 6.50727e-05
57 *6774:D *6774:CLK 9.71182e-06
58 *6775:D *6775:CLK 6.50727e-05
59 *6776:D *6776:CLK 3.90891e-05
60 *6778:D *6778:CLK 0.000103827
61 *6780:D *6778:CLK 2.50591e-05
62 *6839:D *1090:118 7.50722e-05
63 *6840:D *6840:CLK 1.87611e-05
64 *7093:A *6772:CLK 5.99691e-05
65 *7094:A *6772:CLK 1.15389e-05
66 *275:11 *6771:CLK 7.2401e-05
67 *275:11 *6773:CLK 6.50727e-05
68 *354:10 *6776:CLK 0
69 *354:10 *1090:82 0
70 *354:15 *6776:CLK 0
71 *547:10 *1090:118 0.000123582
72 *548:8 *1090:118 1.86035e-05
73 *558:8 *1090:118 0.000576231
74 *593:40 *6771:CLK 0.000317877
75 *597:39 *6771:CLK 0
76 *597:39 *1090:20 0.000374457
77 *600:23 *6778:CLK 0.0002646
78 *603:30 *6775:CLK 1.43983e-05
79 *895:30 *6778:CLK 0.000337325
80 *895:30 *1090:15 0.000402008
81 *895:30 *1090:24 0.000351993
82 *895:46 *1090:7 1.61631e-05
83 *905:8 *1090:82 0
84 *905:8 *1090:84 0
85 *905:13 *6776:CLK 0
86 *905:27 *1090:24 3.31882e-05
87 *905:46 *6772:CLK 0.000379505
88 *907:24 *6773:CLK 4.0752e-05
89 *907:24 *1090:24 0.000192172
90 *907:24 *1090:42 0.000110684
91 *910:38 *1090:15 0.000196576
92 *910:38 *1090:64 3.25887e-05
93 *910:38 *1090:109 1.50262e-05
94 *913:7 *1090:7 9.91596e-05
95 *1067:13 *6771:CLK 0.000139764
*RES
1 *7094:X *1090:7 19.464
2 *1090:7 *1090:15 17.1003
3 *1090:15 *1090:20 15.815
4 *1090:20 *1090:24 16.06
5 *1090:24 *6778:CLK 23.6694
6 *1090:24 *6777:CLK 13.7491
7 *1090:20 *1090:42 2.94181
8 *1090:42 *6771:CLK 29.2601
9 *1090:42 *6773:CLK 11.0817
10 *1090:15 *6770:CLK 9.24915
11 *1090:7 *1090:64 2.24725
12 *1090:64 *1090:71 18.1722
13 *1090:71 *6774:CLK 13.1796
14 *1090:71 *1090:82 10.4845
15 *1090:82 *1090:84 3.493
16 *1090:84 *6776:CLK 16.8269
17 *1090:84 *6772:CLK 20.0186
18 *1090:82 *6775:CLK 14.4725
19 *1090:64 *1090:109 5.05631
20 *1090:109 *6839:CLK 13.7491
21 *1090:109 *1090:118 17.9591
22 *1090:118 *6840:CLK 21.4504
23 *1090:118 *6838:CLK 17.737
*END
*D_NET *1091 0.0126736
*CONN
*I *6861:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6783:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6852:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6854:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6769:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6765:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6764:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6767:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6766:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6853:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6768:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6730:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7095:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6861:CLK 9.86706e-05
2 *6783:CLK 0.000812657
3 *6852:CLK 0.000114255
4 *6854:CLK 0
5 *6769:CLK 0
6 *6765:CLK 0
7 *6764:CLK 0.000120114
8 *6767:CLK 0.000132703
9 *6766:CLK 5.41896e-05
10 *6853:CLK 0.000462421
11 *6768:CLK 0
12 *6730:CLK 0.000526494
13 *7095:X 0.00010946
14 *1091:119 0.0012767
15 *1091:105 0.000675476
16 *1091:81 0.000441341
17 *1091:46 0.000603132
18 *1091:30 0.0007767
19 *1091:28 0.000323094
20 *1091:26 0.000507796
21 *1091:25 0.000686378
22 *1091:17 0.000544887
23 *1091:15 0.000629276
24 *1091:7 0.000539166
25 *6783:CLK *1356:8 4.58003e-05
26 *6852:CLK *1220:41 7.09148e-05
27 *6852:CLK *1368:11 0
28 *6853:CLK *1229:7 0.00049413
29 *1091:15 *6182:B 0
30 *1091:15 *1220:41 0
31 *1091:15 *1230:9 2.41483e-05
32 *1091:17 *1230:9 0.000123176
33 *1091:25 *6345:B 1.41976e-05
34 *1091:119 *6851:CLK 0
35 *1091:119 *1368:11 0
36 *6075:B_N *1091:119 0
37 *6076:A *1091:119 0.000153225
38 *6335:A *1091:26 0
39 *6338:A *1091:28 0
40 *6338:A *1091:30 0
41 *6339:A *6730:CLK 0.000118485
42 *6341:A *6767:CLK 4.26566e-05
43 *6341:A *1091:26 4.47578e-05
44 *6343:A *6730:CLK 0
45 *6343:A *6766:CLK 4.81015e-05
46 *6345:A *6764:CLK 3.49272e-05
47 *6346:A *1091:17 0.000101595
48 *6346:A *1091:25 0.0002817
49 *6730:D *6730:CLK 0
50 *6764:D *1091:26 7.50872e-05
51 *6764:D *1091:81 0.000189496
52 *6765:D *6764:CLK 0
53 *6765:D *1091:81 3.47181e-05
54 *6766:D *6730:CLK 4.23733e-05
55 *6766:D *6766:CLK 8.52229e-05
56 *6766:D *1091:28 1.2693e-05
57 *6766:D *1091:30 7.52258e-05
58 *6766:D *1091:46 2.01503e-05
59 *6767:D *1091:26 0
60 *6768:D *6853:CLK 7.02539e-05
61 *6769:D *1091:17 1.87611e-05
62 *6769:D *1091:26 0
63 *6769:D *1091:81 0
64 *6783:D *6783:CLK 0.000153393
65 *6861:D *6861:CLK 1.87611e-05
66 *6861:D *1091:119 1.07248e-05
67 *7079:A *6852:CLK 0.000334808
68 *7095:A *1091:7 0.000118166
69 *362:8 *1091:15 0
70 *362:8 *1091:105 0
71 *362:8 *1091:119 0
72 *694:8 *1091:119 0
73 *895:8 *6764:CLK 0
74 *896:8 *1091:26 0
75 *896:10 *1091:28 0
76 *902:20 *6764:CLK 5.21927e-05
77 *1075:8 *1091:15 2.95757e-05
78 *1075:8 *1091:105 0.000299323
*RES
1 *7095:X *1091:7 15.5817
2 *1091:7 *1091:15 10.8659
3 *1091:15 *1091:17 11.2609
4 *1091:17 *1091:25 9.70845
5 *1091:25 *1091:26 5.98452
6 *1091:26 *1091:28 3.70063
7 *1091:28 *1091:30 3.493
8 *1091:30 *6730:CLK 27.3143
9 *1091:30 *1091:46 7.99862
10 *1091:46 *6768:CLK 9.24915
11 *1091:46 *6853:CLK 22.7284
12 *1091:28 *6766:CLK 16.1386
13 *1091:26 *6767:CLK 17.2456
14 *1091:25 *1091:81 11.3689
15 *1091:81 *6764:CLK 21.7421
16 *1091:81 *6765:CLK 9.24915
17 *1091:17 *6769:CLK 9.24915
18 *1091:15 *6854:CLK 9.24915
19 *1091:7 *1091:105 6.92494
20 *1091:105 *6852:CLK 18.7334
21 *1091:105 *1091:119 14.1776
22 *1091:119 *6783:CLK 28.2745
23 *1091:119 *6861:CLK 11.6364
*END
*D_NET *1092 0.00968913
*CONN
*I *6721:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6722:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6720:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6858:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6846:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6851:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6723:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6726:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6845:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7096:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6721:CLK 0
2 *6722:CLK 0
3 *6720:CLK 0.00028913
4 *6858:CLK 0.000240365
5 *6846:CLK 0
6 *6851:CLK 0.00068102
7 *6723:CLK 0
8 *6726:CLK 5.90346e-05
9 *6845:CLK 0.000607725
10 *7096:X 0
11 *1092:69 0.000536523
12 *1092:66 0.000780155
13 *1092:54 0.00085406
14 *1092:18 0.000948726
15 *1092:16 0.000410087
16 *1092:15 0.00112892
17 *1092:11 0.000844199
18 *1092:4 0.000605355
19 *6845:CLK *1229:12 0
20 *6851:CLK *6180:A 0
21 *6851:CLK *1220:33 0
22 *6851:CLK *1220:41 0
23 *6851:CLK *1367:9 0.000171288
24 *6851:CLK *1368:11 0.000316675
25 *1092:11 *6846:D 0.000335791
26 *1092:16 *6437:C 0
27 *1092:18 *6437:C 0
28 *1092:18 *1229:12 0
29 *6120:A *1092:69 5.53934e-05
30 *6183:A *6845:CLK 3.67528e-06
31 *6183:A *1092:18 3.21432e-05
32 *6709:C *6858:CLK 0
33 *6709:C *1092:11 5.23708e-05
34 *6720:D *6720:CLK 0.000141816
35 *6720:D *1092:69 3.4475e-05
36 *6721:D *1092:66 0.000335805
37 *6722:D *1092:69 0
38 *6723:D *6851:CLK 0
39 *6723:D *1092:16 0
40 *6726:D *6726:CLK 0.000224395
41 *702:15 *1092:69 0
42 *1075:8 *6851:CLK 0
43 *1091:119 *6851:CLK 0
*RES
1 *7096:X *1092:4 9.24915
2 *1092:4 *1092:11 23.0894
3 *1092:11 *1092:15 11.8786
4 *1092:15 *1092:16 3.07775
5 *1092:16 *1092:18 6.81502
6 *1092:18 *6845:CLK 28.503
7 *1092:18 *6726:CLK 16.1364
8 *1092:16 *6723:CLK 13.7491
9 *1092:15 *6851:CLK 32.3628
10 *1092:11 *6846:CLK 9.24915
11 *1092:4 *1092:54 1.8326
12 *1092:54 *6858:CLK 24.2337
13 *1092:54 *1092:66 12.9488
14 *1092:66 *1092:69 11.315
15 *1092:69 *6720:CLK 21.7084
16 *1092:69 *6722:CLK 13.7491
17 *1092:66 *6721:CLK 9.24915
*END
*D_NET *1093 0.00573303
*CONN
*I *6715:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6857:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *6727:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6849:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6847:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6848:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6850:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7097:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6715:CLK 0.000228626
2 *6857:CLK 0.00027011
3 *6727:CLK 0.000132343
4 *6849:CLK 0
5 *6847:CLK 0.000180211
6 *6848:CLK 0
7 *6850:CLK 0.000268449
8 *7097:X 0
9 *1093:45 0.000535783
10 *1093:16 0.000464699
11 *1093:15 0.000585082
12 *1093:10 0.000397005
13 *1093:7 0.000415826
14 *1093:4 0.000322737
15 *6847:CLK *1363:9 1.92793e-05
16 *6850:CLK *1220:33 0
17 *6850:CLK *1366:11 0
18 *6857:CLK *1220:33 0.000124093
19 *1093:10 *6705:A1 0
20 *1093:10 *1365:8 0.000332558
21 *1093:16 *6072:A 0
22 *1093:16 *6848:D 3.12044e-05
23 *1093:16 *1220:33 0
24 *1093:45 *1220:33 6.51589e-05
25 *6038:B *6857:CLK 0
26 *7097:A *6715:CLK 0.000277488
27 *602:58 *6857:CLK 2.65831e-05
28 *604:43 *6715:CLK 0.000191876
29 *604:43 *1093:7 3.6408e-05
30 *605:41 *6727:CLK 9.91731e-05
31 *667:23 *1093:15 1.67988e-05
32 *667:39 *1093:15 0.000481241
33 *668:11 *6847:CLK 0
34 *668:11 *1093:15 1.41976e-05
35 *668:11 *1093:16 0
36 *668:31 *6847:CLK 0.000101118
37 *668:31 *1093:15 6.08467e-05
38 *668:31 *1093:16 5.41377e-05
39 *1066:10 *1093:16 0
*RES
1 *7097:X *1093:4 9.24915
2 *1093:4 *1093:7 6.88721
3 *1093:7 *1093:10 10.4845
4 *1093:10 *1093:15 11.3481
5 *1093:15 *1093:16 4.32351
6 *1093:16 *6850:CLK 20.1489
7 *1093:16 *6848:CLK 13.7491
8 *1093:15 *6847:CLK 17.8478
9 *1093:10 *6849:CLK 9.24915
10 *1093:7 *1093:45 3.90826
11 *1093:45 *6727:CLK 17.8002
12 *1093:45 *6857:CLK 21.7028
13 *1093:4 *6715:CLK 17.7611
*END
*D_NET *1094 0.0139752
*CONN
*I *6711:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6725:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6719:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6843:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6714:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6844:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6716:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6717:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6718:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7098:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6711:CLK 0.0004918
2 *6725:CLK 2.06324e-05
3 *6719:CLK 2.06324e-05
4 *6843:CLK 0.000248386
5 *6714:CLK 0.000134959
6 *6844:CLK 0
7 *6716:CLK 0
8 *6717:CLK 0
9 *6718:CLK 0.000269167
10 *7098:X 0
11 *1094:76 0.000748967
12 *1094:68 0.000585429
13 *1094:49 0.000383344
14 *1094:47 0.000526957
15 *1094:39 0.000540063
16 *1094:29 0.000851742
17 *1094:10 0.000898532
18 *1094:4 0.00113974
19 *6711:CLK *6154:A 0
20 *6714:CLK *6714:D 6.92705e-05
21 *6714:CLK *1216:8 7.87187e-05
22 *6843:CLK *6125:B 0.00019884
23 *6843:CLK *1216:8 7.80439e-05
24 *1094:29 *6049:B1_N 0.00011818
25 *1094:29 *1222:6 0
26 *1094:39 *1227:10 0
27 *1094:76 *6144:A 9.18559e-06
28 *5937:A *6711:CLK 0.000423922
29 *5937:A *1094:76 0.0010026
30 *6005:A0 *6843:CLK 4.15559e-05
31 *6046:B *1094:29 1.12605e-05
32 *6049:A2 *1094:29 0.000149643
33 *6154:B *6711:CLK 2.7961e-05
34 *6711:D *6711:CLK 2.69064e-05
35 *6716:D *1094:39 0
36 *6719:D *1094:76 1.87611e-05
37 *6725:D *6711:CLK 0.000106635
38 *6844:D *1094:39 0.000310676
39 *6844:D *1094:47 0.000144937
40 *7098:A *1094:10 0.000481901
41 *218:9 *6718:CLK 9.35753e-06
42 *218:9 *1094:10 9.30041e-05
43 *219:11 *6718:CLK 0.000183153
44 *219:11 *1094:10 0.000142192
45 *577:11 *6718:CLK 0
46 *593:46 *6714:CLK 2.65831e-05
47 *602:58 *1094:39 0.000132414
48 *602:58 *1094:47 0.000844363
49 *602:58 *1094:68 0.00143942
50 *602:58 *1094:76 6.92705e-05
51 *667:39 *1094:10 6.14949e-06
52 *667:39 *1094:29 0.000536581
53 *671:5 *1094:29 0.00021569
54 *1029:8 *6714:CLK 6.36773e-05
55 *1029:8 *6843:CLK 5.40444e-05
*RES
1 *7098:X *1094:4 9.24915
2 *1094:4 *1094:10 19.2564
3 *1094:10 *6718:CLK 21.7028
4 *1094:10 *6717:CLK 13.7491
5 *1094:4 *1094:29 21.0458
6 *1094:29 *6716:CLK 13.7491
7 *1094:29 *1094:39 14.8114
8 *1094:39 *6844:CLK 9.24915
9 *1094:39 *1094:47 9.62117
10 *1094:47 *1094:49 4.5
11 *1094:49 *6714:CLK 18.3808
12 *1094:49 *6843:CLK 21.5663
13 *1094:47 *1094:68 15.1431
14 *1094:68 *6719:CLK 9.82786
15 *1094:68 *1094:76 11.2609
16 *1094:76 *6725:CLK 9.82786
17 *1094:76 *6711:CLK 32.1613
*END
*D_NET *1095 0.0169728
*CONN
*I *6813:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6812:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6836:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6837:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6801:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6810:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6802:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6803:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6797:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6796:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6798:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6809:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7099:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6813:CLK 0.000483197
2 *6812:CLK 4.19168e-05
3 *6836:CLK 0
4 *6837:CLK 0.000432227
5 *6801:CLK 0
6 *6810:CLK 8.90261e-05
7 *6802:CLK 6.94827e-05
8 *6803:CLK 0
9 *6797:CLK 0
10 *6796:CLK 0.000398189
11 *6798:CLK 9.78773e-05
12 *6809:CLK 0.000217397
13 *7099:X 0
14 *1095:93 0.000964459
15 *1095:90 0.000838265
16 *1095:86 0.000747313
17 *1095:47 0.000584585
18 *1095:34 0.000684991
19 *1095:29 0.000502219
20 *1095:27 0.00164767
21 *1095:21 0.0018807
22 *1095:9 0.000898165
23 *1095:5 0.000526152
24 *1095:4 0.00016037
25 *6796:CLK *6462:A 0
26 *6809:CLK *6468:A 0.000103371
27 *6813:CLK *1264:17 0.00026821
28 *6813:CLK *1264:30 0.000116454
29 *1095:9 *1256:5 5.04829e-06
30 *1095:90 *1264:17 0.000196638
31 *1095:93 *1313:27 0
32 *6463:A *6796:CLK 5.88662e-05
33 *6463:A *1095:47 0.000118858
34 *6482:A2 *1095:27 0.000111722
35 *6482:C1 *1095:21 3.42931e-05
36 *6482:C1 *1095:27 0.000104965
37 *6486:A *1095:27 0.000185642
38 *6487:B1 *6810:CLK 0.00012568
39 *6493:A2 *1095:21 0.000181333
40 *6533:B1 *6813:CLK 0
41 *6533:B1 *1095:90 0
42 *6604:B *6837:CLK 0.000252944
43 *6670:B *6837:CLK 0
44 *6671:A *6837:CLK 2.16355e-05
45 *6798:D *6798:CLK 2.13584e-05
46 *6798:D *1095:47 0.000178228
47 *6801:D *1095:9 1.87611e-05
48 *6836:D *6837:CLK 0.000200251
49 *6836:D *1095:93 2.24484e-05
50 *6837:D *6837:CLK 0.000322889
51 *354:45 *1095:93 0
52 *354:52 *6813:CLK 0
53 *359:19 *6837:CLK 0.000122447
54 *384:31 *1095:27 6.50727e-05
55 *384:42 *1095:21 0
56 *384:42 *1095:27 0.000277488
57 *388:25 *6809:CLK 4.3116e-06
58 *388:36 *6809:CLK 9.35753e-06
59 *388:36 *1095:21 4.10689e-05
60 *399:5 *1095:27 0.000436811
61 *400:15 *6813:CLK 0.000264617
62 *400:31 *6810:CLK 0
63 *456:11 *1095:93 9.77476e-05
64 *591:8 *1095:27 0
65 *591:8 *1095:29 0
66 *591:8 *1095:34 0
67 *593:31 *6837:CLK 0
68 *593:31 *1095:93 0
69 *606:47 *6796:CLK 0.00026769
70 *606:47 *1095:29 8.23857e-05
71 *606:47 *1095:34 0.000329117
72 *606:47 *1095:47 9.77382e-05
73 *606:51 *1095:27 0.000452016
74 *606:51 *1095:29 2.62787e-05
75 *938:45 *1095:93 0
76 *947:19 *6809:CLK 0.000373619
77 *947:19 *6812:CLK 2.85274e-05
78 *947:19 *1095:90 8.2739e-05
*RES
1 *7099:X *1095:4 9.24915
2 *1095:4 *1095:5 3.49641
3 *1095:5 *1095:9 12.9878
4 *1095:9 *6809:CLK 21.0414
5 *1095:9 *1095:21 7.64553
6 *1095:21 *1095:27 48.9813
7 *1095:27 *1095:29 3.493
8 *1095:29 *1095:34 17.292
9 *1095:34 *6798:CLK 11.6605
10 *1095:34 *1095:47 11.2107
11 *1095:47 *6796:CLK 26.4127
12 *1095:47 *6797:CLK 13.7491
13 *1095:29 *6803:CLK 13.7491
14 *1095:27 *6802:CLK 15.0271
15 *1095:21 *6810:CLK 16.4116
16 *1095:5 *6801:CLK 9.24915
17 *1095:4 *1095:86 4.5
18 *1095:86 *1095:90 12.044
19 *1095:90 *1095:93 17.9591
20 *1095:93 *6837:CLK 29.0576
21 *1095:93 *6836:CLK 13.7491
22 *1095:90 *6812:CLK 10.5271
23 *1095:86 *6813:CLK 28.4974
*END
*D_NET *1096 0.0120269
*CONN
*I *6819:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6822:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6833:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6834:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6835:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6831:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *6832:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *7100:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6819:CLK 0.000508573
2 *6822:CLK 0.000222402
3 *6833:CLK 0.000222248
4 *6834:CLK 0
5 *6835:CLK 0.000666562
6 *6831:CLK 0
7 *6832:CLK 0.000463943
8 *7100:X 0.000272697
9 *1096:50 0.00086538
10 *1096:16 0.000552466
11 *1096:12 0.000982366
12 *1096:10 0.000307423
13 *1096:8 0.000432775
14 *1096:7 0.000537488
15 *6832:CLK *1245:11 0.000144695
16 *6835:CLK *6669:B1 6.50586e-05
17 *6835:CLK *1311:8 0.000175485
18 *6347:A *1096:7 2.65667e-05
19 *6547:A *6822:CLK 2.39581e-05
20 *6599:B1 *6822:CLK 0.000220331
21 *6601:A *1096:50 0
22 *6646:A *6833:CLK 0.000381471
23 *6647:B1 *6833:CLK 0.000782786
24 *6647:B1 *1096:8 0.000163465
25 *6647:B1 *1096:10 3.31882e-05
26 *6649:A *6835:CLK 0.000317707
27 *6659:A *1096:8 0.000156823
28 *6659:C *6833:CLK 0.000137345
29 *6819:D *6819:CLK 1.87611e-05
30 *6822:D *6822:CLK 0.000459915
31 *6831:D *6832:CLK 0.000151146
32 *6835:D *6835:CLK 1.87611e-05
33 *367:41 *6833:CLK 0.000464113
34 *402:15 *6822:CLK 6.99486e-05
35 *402:18 *6819:CLK 8.49464e-05
36 *402:18 *1096:50 1.50262e-05
37 *409:11 *6822:CLK 5.07314e-05
38 *813:21 *1096:12 0.000195169
39 *813:21 *1096:16 0.000221185
40 *813:34 *1096:8 1.70077e-05
41 *813:34 *1096:10 0.000183424
42 *813:34 *1096:12 0.000329043
43 *830:9 *6822:CLK 5.99856e-05
44 *895:57 *6835:CLK 3.1759e-05
45 *902:28 *6819:CLK 0.000142686
46 *902:28 *1096:8 7.69735e-05
47 *902:28 *1096:10 6.39594e-05
48 *902:28 *1096:12 0.000223781
49 *902:28 *1096:16 9.634e-05
50 *902:28 *1096:50 0.000105847
51 *946:14 *1096:8 0.000163465
52 *946:14 *1096:50 8.01987e-05
53 *947:19 *1096:7 3.9504e-05
*RES
1 *7100:X *1096:7 19.464
2 *1096:7 *1096:8 6.39977
3 *1096:8 *1096:10 3.493
4 *1096:10 *1096:12 9.30653
5 *1096:12 *1096:16 8.40826
6 *1096:16 *6832:CLK 21.6433
7 *1096:16 *6831:CLK 9.24915
8 *1096:12 *6835:CLK 30.0256
9 *1096:10 *6834:CLK 13.7491
10 *1096:8 *6833:CLK 26.6738
11 *1096:7 *1096:50 4.32351
12 *1096:50 *6822:CLK 23.3462
13 *1096:50 *6819:CLK 26.4183
*END
*D_NET *1097 0.0259617
*CONN
*I *5854:A I *D sky130_fd_sc_hd__buf_2
*I *5885:A I *D sky130_fd_sc_hd__buf_2
*I *5916:A I *D sky130_fd_sc_hd__buf_2
*I *5840:A I *D sky130_fd_sc_hd__buf_2
*I *7103:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *5854:A 0
2 *5885:A 0
3 *5916:A 0
4 *5840:A 0.00156466
5 *7103:X 0.000684644
6 *1097:44 0.000623679
7 *1097:17 0.00198608
8 *1097:14 0.00480917
9 *1097:13 0.00438775
10 *1097:11 0.00130832
11 *1097:11 *7053:A 0.000437238
12 *1097:14 *7053:A 0.000325369
13 io_oeb[21] *1097:11 0.000835879
14 *5889:A *1097:14 0
15 *5898:A *1097:44 0.000742581
16 *5923:A *5840:A 0.000324151
17 *5934:A *1097:11 0.000266832
18 *5946:A *1097:14 0.0011861
19 *7009:TE_B *1097:14 0.000127179
20 *84:6 *1097:14 0
21 *583:17 *1097:44 0.00027329
22 *587:7 *1097:11 5.73392e-05
23 *587:7 *1097:44 0.00386441
24 *590:14 *1097:44 4.41664e-05
25 *592:7 *1097:44 0.000323137
26 *592:13 *1097:44 0.000572574
27 *593:8 *1097:14 0
28 *593:17 *1097:14 0
29 *595:27 *1097:44 0.000913343
30 *601:10 *1097:11 0
31 *601:10 *1097:14 0
32 *601:16 *1097:11 0.000238879
33 *601:27 *1097:11 6.49003e-05
*RES
1 *7103:X *1097:11 45.9053
2 *1097:11 *1097:13 4.5
3 *1097:13 *1097:14 119.764
4 *1097:14 *1097:17 13.5424
5 *1097:17 *5840:A 46.7934
6 *1097:17 *5916:A 9.24915
7 *1097:11 *5885:A 9.24915
8 *1097:11 *1097:44 49.7812
9 *1097:44 *5854:A 13.7491
*END
*D_NET *1098 0.000898726
*CONN
*I *6966:A I *D sky130_fd_sc_hd__ebufn_8
*I *6866:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6966:A 0.000164367
2 *6866:LO 0.000164367
3 io_out[29] *6966:A 2.22923e-05
4 *5859:A *6966:A 0.000430366
5 *591:96 *6966:A 0.000117333
*RES
1 *6866:LO *6966:A 34.5146
*END
*D_NET *1099 0.000470798
*CONN
*I *7064:A I *D sky130_fd_sc_hd__ebufn_8
*I *6956:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7064:A 0.000217476
2 *6956:LO 0.000217476
3 *7064:TE_B *7064:A 3.58457e-05
4 *606:33 *7064:A 0
*RES
1 *6956:LO *7064:A 32.6874
*END
*D_NET *1100 0.000981536
*CONN
*I *7065:A I *D sky130_fd_sc_hd__ebufn_8
*I *6957:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7065:A 0.000490768
2 *6957:LO 0.000490768
3 *588:54 *7065:A 0
*RES
1 *6957:LO *7065:A 38.5093
*END
*D_NET *1101 0.000547747
*CONN
*I *7066:A I *D sky130_fd_sc_hd__ebufn_8
*I *6958:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7066:A 0.000273874
2 *6958:LO 0.000273874
3 *7066:TE_B *7066:A 0
*RES
1 *6958:LO *7066:A 34.0725
*END
*D_NET *1102 0.000340365
*CONN
*I *7067:A I *D sky130_fd_sc_hd__ebufn_8
*I *6959:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7067:A 0.000170183
2 *6959:LO 0.000170183
3 *590:22 *7067:A 0
*RES
1 *6959:LO *7067:A 31.2994
*END
*D_NET *1103 0.00121799
*CONN
*I *7068:A I *D sky130_fd_sc_hd__ebufn_8
*I *6960:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7068:A 0.000525197
2 *6960:LO 0.000525197
3 *5872:A *7068:A 0
4 *587:10 *7068:A 0
5 *606:16 *7068:A 0.000167593
*RES
1 *6960:LO *7068:A 41.2767
*END
*D_NET *1104 0.000324989
*CONN
*I *7069:A I *D sky130_fd_sc_hd__ebufn_8
*I *6961:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7069:A 0.000162495
2 *6961:LO 0.000162495
3 *587:10 *7069:A 0
*RES
1 *6961:LO *7069:A 31.2994
*END
*D_NET *1105 0.000816393
*CONN
*I *6846:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7101:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1
*CAP
1 *6846:D 0.000223884
2 *7101:X 0.000223884
3 *6846:D *7101:A 0
4 *6709:C *6846:D 3.28331e-05
5 *1092:11 *6846:D 0.000335791
*RES
1 *7101:X *6846:D 34.1267
*END
*D_NET *1106 0.000647391
*CONN
*I *6845:D I *D sky130_fd_sc_hd__dfxtp_1
*I *7102:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1
*CAP
1 *6845:D 0.000323696
2 *7102:X 0.000323696
3 *6845:D *7102:A 0
*RES
1 *7102:X *6845:D 35.3697
*END
*D_NET *1107 0.00183682
*CONN
*I *6967:A I *D sky130_fd_sc_hd__ebufn_8
*I *6867:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6967:A 0.000495134
2 *6867:LO 0.000495134
3 *6967:A *6969:A 0
4 *6967:A *6987:A 0.000388515
5 *5860:A *6967:A 0
6 *5881:A *6967:A 0.000228593
7 *6967:TE_B *6967:A 0.000224395
8 *7046:TE_B *6967:A 5.04829e-06
*RES
1 *6867:LO *6967:A 43.1037
*END
*D_NET *1108 0.00054454
*CONN
*I *6968:A I *D sky130_fd_sc_hd__ebufn_8
*I *6868:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6968:A 0.00027227
2 *6868:LO 0.00027227
3 *605:48 *6968:A 0
*RES
1 *6868:LO *6968:A 32.1327
*END
*D_NET *1109 0.00204056
*CONN
*I *6969:A I *D sky130_fd_sc_hd__ebufn_8
*I *6869:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6969:A 0.000657647
2 *6869:LO 0.000657647
3 *6969:A *7054:A 4.78771e-05
4 io_oeb[8] *6969:A 0.000506785
5 io_out[11] *6969:A 0
6 la1_data_out[5] *6969:A 0
7 *5881:A *6969:A 0.000170607
8 *6967:A *6969:A 0
9 *7020:TE_B *6969:A 0
10 *7046:TE_B *6969:A 0
11 *76:11 *6969:A 0
*RES
1 *6869:LO *6969:A 47.1861
*END
*D_NET *1110 0.000709634
*CONN
*I *6970:A I *D sky130_fd_sc_hd__ebufn_8
*I *6870:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6970:A 0.000336908
2 *6870:LO 0.000336908
3 *83:8 *6970:A 3.58185e-05
4 *86:8 *6970:A 0
*RES
1 *6870:LO *6970:A 33.242
*END
*D_NET *1111 0.000530615
*CONN
*I *6971:A I *D sky130_fd_sc_hd__ebufn_8
*I *6871:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6971:A 0.000242407
2 *6871:LO 0.000242407
3 la1_data_out[31] *6971:A 0
4 la1_data_out[9] *6971:A 0
5 *6971:TE_B *6971:A 4.58003e-05
*RES
1 *6871:LO *6971:A 33.242
*END
*D_NET *1112 0.0002856
*CONN
*I *6972:A I *D sky130_fd_sc_hd__ebufn_8
*I *6872:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6972:A 0.000112085
2 *6872:LO 0.000112085
3 *6972:TE_B *6972:A 3.601e-05
4 *597:65 *6972:A 2.54199e-05
*RES
1 *6872:LO *6972:A 30.576
*END
*D_NET *1113 0.000453841
*CONN
*I *6973:A I *D sky130_fd_sc_hd__ebufn_8
*I *6873:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6973:A 2.20251e-05
2 *6873:LO 2.20251e-05
3 *583:73 *6973:A 0.0002817
4 *601:73 *6973:A 0.000128091
*RES
1 *6873:LO *6973:A 21.4401
*END
*D_NET *1114 0.000499661
*CONN
*I *6974:A I *D sky130_fd_sc_hd__ebufn_8
*I *6874:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6974:A 0.000131328
2 *6874:LO 0.000131328
3 io_oeb[2] *6974:A 1.00846e-05
4 *6974:TE_B *6974:A 5.56461e-05
5 *7014:TE_B *6974:A 0
6 *600:50 *6974:A 0.000171273
*RES
1 *6874:LO *6974:A 31.5781
*END
*D_NET *1115 0.00129725
*CONN
*I *6975:A I *D sky130_fd_sc_hd__ebufn_8
*I *6875:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6975:A 0.000589534
2 *6875:LO 0.000589534
3 la1_data_out[13] *6975:A 0.00011818
*RES
1 *6875:LO *6975:A 32.5322
*END
*D_NET *1116 0.00751501
*CONN
*I *6570:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6555:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6583:B1 I *D sky130_fd_sc_hd__o211a_1
*I *6558:A I *D sky130_fd_sc_hd__inv_2
*I *6563:A1 I *D sky130_fd_sc_hd__a21o_1
*I *7104:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6570:B1 0
2 *6555:B1 1.71889e-05
3 *6583:B1 0.00033065
4 *6558:A 0.000130953
5 *6563:A1 0.000135727
6 *7104:X 0.00102392
7 *1116:32 0.000577737
8 *1116:28 0.000424389
9 *1116:17 0.000809364
10 *1116:8 0.00140649
11 *1116:8 *6984:A 0
12 *1116:8 *7059:A 0
13 *1116:8 *1272:8 7.40982e-05
14 *1116:8 *1272:10 5.7995e-05
15 *1116:17 *6575:A 5.33123e-05
16 *1116:17 *1272:10 8.92568e-06
17 *1116:17 *1272:12 6.69479e-05
18 io_oeb[27] *1116:8 0.000127194
19 *6530:C1 *6555:B1 6.50727e-05
20 *6556:B *1116:32 0.000156823
21 *6563:B1 *6563:A1 0.000217937
22 *6563:B1 *1116:17 6.08467e-05
23 *6563:B1 *1116:28 0.000109859
24 *6566:D *1116:28 8.82034e-05
25 *6572:A2 *1116:8 3.21568e-05
26 *6573:B *1116:8 0
27 *6574:A *1116:8 0
28 *6581:C1 *6555:B1 2.16355e-05
29 *6583:A1 *6583:B1 1.52042e-05
30 *6583:A2 *6583:B1 5.32132e-06
31 *6583:C1 *6583:B1 0.000132185
32 *6817:D *1116:8 0.000153225
33 *6984:TE_B *1116:8 0
34 *7059:TE_B *1116:8 9.60216e-05
35 *367:41 *1116:32 5.68225e-06
36 *461:6 *1116:28 0.000410711
37 *461:6 *1116:32 1.37925e-05
38 *463:13 *1116:28 0
39 *463:13 *1116:32 0
40 *475:5 *1116:17 0.000334808
41 *584:30 *1116:8 0.000149643
42 *601:33 *1116:8 0.000171288
43 *1083:47 *1116:8 2.97007e-05
*RES
1 *7104:X *1116:8 41.0831
2 *1116:8 *1116:17 15.8404
3 *1116:17 *6563:A1 11.6364
4 *1116:17 *1116:28 14.5393
5 *1116:28 *1116:32 8.40826
6 *1116:32 *6558:A 12.2151
7 *1116:32 *6583:B1 17.8033
8 *1116:28 *6555:B1 14.4725
9 *1116:8 *6570:B1 13.7491
*END
*D_NET *1117 0.000321977
*CONN
*I *6976:A I *D sky130_fd_sc_hd__ebufn_8
*I *6876:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6976:A 8.48689e-05
2 *6876:LO 8.48689e-05
3 *594:59 *6976:A 0.000152239
*RES
1 *6876:LO *6976:A 21.9947
*END
*D_NET *1118 0.000342224
*CONN
*I *6977:A I *D sky130_fd_sc_hd__ebufn_8
*I *6877:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6977:A 0.000110886
2 *6877:LO 0.000110886
3 la1_data_out[7] *6977:A 0
4 *6977:TE_B *6977:A 5.53789e-05
5 *177:11 *6977:A 6.50727e-05
*RES
1 *6877:LO *6977:A 30.4689
*END
*D_NET *1119 0.000269206
*CONN
*I *6978:A I *D sky130_fd_sc_hd__ebufn_8
*I *6878:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6978:A 7.30351e-05
2 *6878:LO 7.30351e-05
3 io_oeb[6] *6978:A 0.000123135
*RES
1 *6878:LO *6978:A 29.7455
*END
*D_NET *1120 0.00105672
*CONN
*I *6979:A I *D sky130_fd_sc_hd__ebufn_8
*I *6879:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6979:A 0.000501512
2 *6879:LO 0.000501512
3 *83:8 *6979:A 5.3697e-05
4 *86:8 *6979:A 0
*RES
1 *6879:LO *6979:A 38.5093
*END
*D_NET *1121 0.0009743
*CONN
*I *6980:A I *D sky130_fd_sc_hd__ebufn_8
*I *6880:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6980:A 0.000322377
2 *6880:LO 0.000322377
3 *6980:A *7062:A 0
4 *5900:A *6980:A 0
5 *6975:TE_B *6980:A 0.000329545
*RES
1 *6880:LO *6980:A 36.3896
*END
*D_NET *1122 0.000465141
*CONN
*I *6981:A I *D sky130_fd_sc_hd__ebufn_8
*I *6881:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6981:A 0.000232571
2 *6881:LO 0.000232571
3 *5957:A *6981:A 0
*RES
1 *6881:LO *6981:A 31.5781
*END
*D_NET *1123 0.00129816
*CONN
*I *6982:A I *D sky130_fd_sc_hd__ebufn_8
*I *6882:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6982:A 0.000503908
2 *6882:LO 0.000503908
3 *6982:A *6965:A 0.000130777
4 io_oeb[36] *6982:A 0
5 *6982:TE_B *6982:A 0.000159566
6 *587:10 *6982:A 0
*RES
1 *6882:LO *6982:A 41.1584
*END
*D_NET *1124 0.000541068
*CONN
*I *6983:A I *D sky130_fd_sc_hd__ebufn_8
*I *6883:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6983:A 0.000270534
2 *6883:LO 0.000270534
3 *584:60 *6983:A 0
*RES
1 *6883:LO *6983:A 32.1327
*END
*D_NET *1125 0.000863569
*CONN
*I *6984:A I *D sky130_fd_sc_hd__ebufn_8
*I *6884:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6984:A 0.000346141
2 *6884:LO 0.000346141
3 la1_data_out[22] *6984:A 0
4 *601:33 *6984:A 0.000171288
5 *1116:8 *6984:A 0
*RES
1 *6884:LO *6984:A 34.9002
*END
*D_NET *1126 0.000784707
*CONN
*I *6985:A I *D sky130_fd_sc_hd__ebufn_8
*I *6885:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6985:A 0.00019483
2 *6885:LO 0.00019483
3 *6985:A *7049:A 0
4 *5864:A *6985:A 6.92705e-05
5 *6985:TE_B *6985:A 5.37824e-05
6 *583:69 *6985:A 7.72722e-05
7 *591:15 *6985:A 0.000118166
8 *601:65 *6985:A 7.65564e-05
*RES
1 *6885:LO *6985:A 33.5179
*END
*D_NET *1127 0.0266443
*CONN
*I *6604:A I *D sky130_fd_sc_hd__and3_1
*I *6432:A I *D sky130_fd_sc_hd__inv_2
*I *6450:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6459:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6477:A I *D sky130_fd_sc_hd__nand2_1
*I *7105:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *6604:A 1.72638e-05
2 *6432:A 2.7088e-05
3 *6450:A1 0
4 *6459:B1 0.00028562
5 *6477:A 0.000257116
6 *7105:X 0.00023475
7 *1127:41 0.00071461
8 *1127:38 0.000735001
9 *1127:19 0.00266853
10 *1127:17 0.00227773
11 *1127:13 0.00230745
12 *1127:11 0.00544779
13 *1127:8 0.00350306
14 *6459:B1 *6459:A1 1.78514e-05
15 *6459:B1 *6459:A2 4.55535e-05
16 *6459:B1 *1251:29 7.58595e-05
17 *6459:B1 *1253:24 0
18 *1127:11 *6699:A 1.67988e-05
19 *1127:13 *6023:A 6.50586e-05
20 *1127:13 *6608:D_N 0.00011818
21 *1127:13 *6626:A1 0.000160617
22 *1127:13 *6699:A 6.50727e-05
23 *1127:13 *1235:17 0.000160617
24 *1127:13 *1240:15 5.97264e-05
25 *1127:13 *1240:19 0.000233213
26 *1127:19 *1313:27 0.00189384
27 *1127:38 *1249:16 0.000162673
28 *6427:A *1127:19 5.0715e-05
29 *6443:B1 *6477:A 5.22654e-06
30 *6443:B1 *1127:38 0
31 *6450:A2 *1127:41 6.50586e-05
32 *6450:B1 *6459:B1 4.81015e-05
33 *6450:B1 *1127:41 0.000103234
34 *6460:A2 *6459:B1 0
35 *6470:B2 *6477:A 0.000213725
36 *6470:B2 *1127:38 2.95757e-05
37 *6604:C *1127:17 7.43087e-05
38 *6609:C *1127:13 9.29715e-05
39 *6612:B *1127:13 0.000450996
40 *6622:A *1127:13 0.000377259
41 *6622:B *1127:13 6.50586e-05
42 *6626:B1 *1127:13 0.00036437
43 *6627:B *1127:13 0.000434578
44 *6699:B *1127:11 0.000383717
45 *7061:TE_B *1127:8 3.28383e-05
46 *7105:A *1127:8 0
47 *354:5 *1127:19 2.41783e-05
48 *354:45 *1127:19 3.54999e-05
49 *357:7 *6432:A 4.30017e-06
50 *357:7 *1127:41 0.000103764
51 *367:18 *6477:A 4.22197e-05
52 *367:18 *1127:38 0
53 *369:10 *1127:41 3.83429e-05
54 *374:10 *6459:B1 1.31657e-05
55 *375:18 *6459:B1 0
56 *377:7 *6459:B1 0.000271058
57 *377:7 *1127:41 0.000224381
58 *499:7 *1127:13 0.000924776
59 *499:15 *1127:13 4.33819e-05
60 *508:7 *1127:13 6.08467e-05
61 *529:7 *1127:19 9.18559e-06
62 *596:51 *1127:8 0.000485013
63 *656:20 *1127:13 1.41689e-05
64 *938:10 *1127:38 0
65 *947:26 *6477:A 7.18816e-06
*RES
1 *7105:X *1127:8 26.7252
2 *1127:8 *1127:11 47.8888
3 *1127:11 *1127:13 62.839
4 *1127:13 *1127:17 2.41132
5 *1127:17 *1127:19 35.1088
6 *1127:19 *6477:A 23.0962
7 *1127:19 *1127:38 16.6455
8 *1127:38 *1127:41 12.3942
9 *1127:41 *6459:B1 27.5907
10 *1127:41 *6450:A1 9.24915
11 *1127:38 *6432:A 9.97254
12 *1127:17 *6604:A 9.82786
*END
*D_NET *1128 0.000207022
*CONN
*I *6986:A I *D sky130_fd_sc_hd__ebufn_8
*I *6886:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6986:A 7.58218e-05
2 *6886:LO 7.58218e-05
3 *6986:TE_B *6986:A 5.53789e-05
*RES
1 *6886:LO *6986:A 29.7455
*END
*D_NET *1129 0.00154818
*CONN
*I *6987:A I *D sky130_fd_sc_hd__ebufn_8
*I *6887:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6987:A 0.000554399
2 *6887:LO 0.000554399
3 io_oeb[14] *6987:A 1.93662e-05
4 *5860:A *6987:A 0
5 *6967:A *6987:A 0.000388515
6 *6987:TE_B *6987:A 3.14978e-05
7 *587:10 *6987:A 0
*RES
1 *6887:LO *6987:A 42.4153
*END
*D_NET *1130 0.00102302
*CONN
*I *6988:A I *D sky130_fd_sc_hd__ebufn_8
*I *6888:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6988:A 0.000484589
2 *6888:LO 0.000484589
3 *597:65 *6988:A 2.692e-05
4 *607:41 *6988:A 2.692e-05
*RES
1 *6888:LO *6988:A 37.8422
*END
*D_NET *1131 0.00156893
*CONN
*I *6989:A I *D sky130_fd_sc_hd__ebufn_8
*I *6889:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6989:A 0.000211028
2 *6889:LO 0.000211028
3 *6989:A *7026:A 0
4 io_out[32] *6989:A 1.78514e-05
5 *5908:A *6989:A 7.97944e-05
6 *6989:TE_B *6989:A 0.000483737
7 *601:16 *6989:A 0.000565488
*RES
1 *6889:LO *6989:A 39.0527
*END
*D_NET *1132 0.000347106
*CONN
*I *6990:A I *D sky130_fd_sc_hd__ebufn_8
*I *6890:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6990:A 0.000131729
2 *6890:LO 0.000131729
3 *6990:TE_B *6990:A 5.0459e-05
4 *82:6 *6990:A 3.31882e-05
*RES
1 *6890:LO *6990:A 30.576
*END
*D_NET *1133 0.000898765
*CONN
*I *6991:A I *D sky130_fd_sc_hd__ebufn_8
*I *6891:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6991:A 0.000325136
2 *6891:LO 0.000325136
3 *6991:A *6962:A 0
4 *6991:A *6996:A 0.000101148
5 *591:15 *6991:A 0.000147345
*RES
1 *6891:LO *6991:A 36.1784
*END
*D_NET *1134 0.000258868
*CONN
*I *6992:A I *D sky130_fd_sc_hd__ebufn_8
*I *6892:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6992:A 0.000103264
2 *6892:LO 0.000103264
3 *597:65 *6992:A 5.23399e-05
*RES
1 *6892:LO *6992:A 30.576
*END
*D_NET *1135 0.00112597
*CONN
*I *6993:A I *D sky130_fd_sc_hd__ebufn_8
*I *6893:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6993:A 0.000445074
2 *6893:LO 0.000445074
3 io_out[6] *6993:A 0.000152795
4 la1_data_out[9] *6993:A 0
5 *5852:A *6993:A 2.41595e-05
6 *6993:TE_B *6993:A 5.88662e-05
*RES
1 *6893:LO *6993:A 38.7768
*END
*D_NET *1136 0.000280703
*CONN
*I *6994:A I *D sky130_fd_sc_hd__ebufn_8
*I *6894:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6994:A 0.00012706
2 *6894:LO 0.00012706
3 *6994:TE_B *6994:A 2.65831e-05
*RES
1 *6894:LO *6994:A 30.6324
*END
*D_NET *1137 0.000185364
*CONN
*I *6995:A I *D sky130_fd_sc_hd__ebufn_8
*I *6895:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6995:A 9.26818e-05
2 *6895:LO 9.26818e-05
3 io_out[1] *6995:A 0
*RES
1 *6895:LO *6995:A 29.7455
*END
*D_NET *1138 0.018079
*CONN
*I *6642:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6499:A I *D sky130_fd_sc_hd__clkbuf_2
*I *7106:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6642:A1 0.000244147
2 *6499:A 0
3 *7106:X 0
4 *1138:9 0.00418355
5 *1138:8 0.0039394
6 *1138:6 0.00256953
7 *1138:5 0.00256953
8 *1138:6 *7062:A 0
9 *1138:9 *6465:A 0.000169041
10 *1138:9 *6533:A1 1.19721e-05
11 *5900:A *1138:6 0
12 *6469:A *1138:9 0.000428134
13 *6478:A3 *1138:9 0.000319954
14 *6498:A1 *1138:9 1.71154e-05
15 *6498:A2 *1138:9 7.97944e-05
16 *6498:C1 *1138:9 0.000113197
17 *6533:A2 *1138:9 0.000469391
18 *6548:A *1138:9 0.000324166
19 *6642:A3 *6642:A1 0
20 *6647:A1 *6642:A1 0.000139764
21 *6809:D *1138:9 1.31657e-05
22 *583:14 *1138:6 0
23 *597:33 *6642:A1 6.31809e-05
24 *602:8 *1138:6 0.00223931
25 *947:52 *1138:9 0.000184618
*RES
1 *7106:X *1138:5 13.7491
2 *1138:5 *1138:6 76.1621
3 *1138:6 *1138:8 4.5
4 *1138:8 *1138:9 94.4514
5 *1138:9 *6499:A 9.24915
6 *1138:9 *6642:A1 24.9627
*END
*D_NET *1139 0.00152088
*CONN
*I *6996:A I *D sky130_fd_sc_hd__ebufn_8
*I *6896:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6996:A 0.000558146
2 *6896:LO 0.000558146
3 la1_data_out[0] *6996:A 0
4 la1_data_out[29] *6996:A 0
5 *6991:A *6996:A 0.000101148
6 *6991:TE_B *6996:A 0
7 *6996:TE_B *6996:A 5.05735e-05
8 *594:25 *6996:A 2.65831e-05
9 *601:56 *6996:A 0.000226281
*RES
1 *6896:LO *6996:A 42.1693
*END
*D_NET *1140 0.000345482
*CONN
*I *6997:A I *D sky130_fd_sc_hd__ebufn_8
*I *6897:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6997:A 0.000172741
2 *6897:LO 0.000172741
3 *587:10 *6997:A 0
*RES
1 *6897:LO *6997:A 31.2994
*END
*D_NET *1141 0.000560619
*CONN
*I *6998:A I *D sky130_fd_sc_hd__ebufn_8
*I *6898:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6998:A 0.00025262
2 *6898:LO 0.00025262
3 *6998:TE_B *6998:A 5.53789e-05
*RES
1 *6898:LO *6998:A 32.1327
*END
*D_NET *1142 0.000199026
*CONN
*I *6999:A I *D sky130_fd_sc_hd__ebufn_8
*I *6899:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6999:A 9.95128e-05
2 *6899:LO 9.95128e-05
3 io_out[5] *6999:A 0
*RES
1 *6899:LO *6999:A 29.7455
*END
*D_NET *1143 0.000190165
*CONN
*I *7000:A I *D sky130_fd_sc_hd__ebufn_8
*I *6900:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7000:A 9.50827e-05
2 *6900:LO 9.50827e-05
3 *584:60 *7000:A 0
*RES
1 *6900:LO *7000:A 29.7455
*END
*D_NET *1144 0.00027265
*CONN
*I *7001:A I *D sky130_fd_sc_hd__ebufn_8
*I *6901:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7001:A 0.000110585
2 *6901:LO 0.000110585
3 *587:10 *7001:A 5.14807e-05
*RES
1 *6901:LO *7001:A 30.576
*END
*D_NET *1145 0.00149551
*CONN
*I *7002:A I *D sky130_fd_sc_hd__ebufn_8
*I *6902:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7002:A 0.000324841
2 *6902:LO 0.000324841
3 io_out[28] *7002:A 0
4 *7002:TE_B *7002:A 5.88662e-05
5 *583:73 *7002:A 0.000543039
6 *601:65 *7002:A 0.000243918
*RES
1 *6902:LO *7002:A 39.6129
*END
*D_NET *1146 0.000359371
*CONN
*I *7003:A I *D sky130_fd_sc_hd__ebufn_8
*I *6903:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7003:A 0.00014732
2 *6903:LO 0.00014732
3 *7003:TE_B *7003:A 4.61732e-05
4 *587:10 *7003:A 1.85578e-05
*RES
1 *6903:LO *7003:A 31.2994
*END
*D_NET *1147 0.00126507
*CONN
*I *7004:A I *D sky130_fd_sc_hd__ebufn_8
*I *6904:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7004:A 0.000473849
2 *6904:LO 0.000473849
3 *7004:A *7043:A 3.07997e-05
4 io_out[16] *7004:A 0.000286572
5 *5957:A *7004:A 0
*RES
1 *6904:LO *7004:A 38.7768
*END
*D_NET *1148 0.000325006
*CONN
*I *7013:A I *D sky130_fd_sc_hd__ebufn_8
*I *6905:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7013:A 0.000138272
2 *6905:LO 0.000138272
3 *590:22 *7013:A 4.84618e-05
*RES
1 *6905:LO *7013:A 30.4689
*END
*D_NET *1149 0.0262256
*CONN
*I *6190:A I *D sky130_fd_sc_hd__and2_1
*I *6224:A I *D sky130_fd_sc_hd__buf_2
*I *7107:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *6190:A 0.000576155
2 *6224:A 3.58004e-05
3 *7107:X 0
4 *1149:30 0.00228443
5 *1149:12 0.00332078
6 *1149:5 0.00893044
7 *1149:4 0.00735373
8 *1149:12 *6438:C 0
9 *1149:30 *6081:A 5.2068e-05
10 *1149:30 *6438:A 0
11 *1149:30 *6438:B 3.92275e-05
12 *1149:30 *6438:C 0
13 *6074:B *1149:30 5.04829e-06
14 *6075:B_N *1149:30 6.50586e-05
15 *6214:B *6190:A 0.000111708
16 *6222:A2 *6190:A 6.08467e-05
17 *6343:A *1149:12 0
18 *6346:A *1149:12 0
19 *6358:B1 *1149:30 0.000168101
20 *6393:A *6190:A 1.19721e-05
21 *6767:D *1149:12 0
22 *6768:D *1149:12 0
23 *362:8 *1149:12 0
24 *362:8 *1149:30 0
25 *363:11 *1149:30 7.56859e-06
26 *597:50 *1149:30 0.00030158
27 *765:5 *6190:A 0.00156583
28 *765:5 *1149:30 1.20742e-05
29 *765:10 *6190:A 0.000266846
30 *789:7 *6190:A 0.000317707
31 *813:12 *1149:30 0
32 *895:7 *1149:30 2.52287e-06
33 *1088:5 *1149:30 0.000159322
34 *1088:10 *1149:30 0.0005768
*RES
1 *7107:X *1149:4 9.24915
2 *1149:4 *1149:5 106.653
3 *1149:5 *1149:12 43.3627
4 *1149:12 *6224:A 14.4725
5 *1149:12 *1149:30 38.3232
6 *1149:30 *6190:A 30.2397
*END
*D_NET *1150 0.000243068
*CONN
*I *7014:A I *D sky130_fd_sc_hd__ebufn_8
*I *6906:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7014:A 0.000121534
2 *6906:LO 0.000121534
*RES
1 *6906:LO *7014:A 21.4401
*END
*D_NET *1151 0.00135746
*CONN
*I *7015:A I *D sky130_fd_sc_hd__ebufn_8
*I *6907:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7015:A 0.000525518
2 *6907:LO 0.000525518
3 *84:6 *7015:A 0.00015321
4 *601:10 *7015:A 0.00015321
*RES
1 *6907:LO *7015:A 36.9848
*END
*D_NET *1152 0.000459337
*CONN
*I *7016:A I *D sky130_fd_sc_hd__ebufn_8
*I *6908:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7016:A 0.000147499
2 *6908:LO 0.000147499
3 *5938:A *7016:A 0.000118166
4 *7016:TE_B *7016:A 4.61732e-05
*RES
1 *6908:LO *7016:A 31.854
*END
*D_NET *1153 0.00130602
*CONN
*I *7017:A I *D sky130_fd_sc_hd__ebufn_8
*I *6909:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7017:A 0.000620974
2 *6909:LO 0.000620974
3 *7012:TE_B *7017:A 0
4 *7017:TE_B *7017:A 3.14978e-05
5 *585:51 *7017:A 3.25751e-05
*RES
1 *6909:LO *7017:A 37.8476
*END
*D_NET *1154 0.000871565
*CONN
*I *7018:A I *D sky130_fd_sc_hd__ebufn_8
*I *6910:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7018:A 0.000254091
2 *6910:LO 0.000254091
3 *7018:A *7032:A 7.15593e-05
4 *5938:A *7018:A 0.000128091
5 *7018:TE_B *7018:A 0.000111246
6 *601:73 *7018:A 5.24855e-05
*RES
1 *6910:LO *7018:A 35.8997
*END
*D_NET *1155 0.000282633
*CONN
*I *7019:A I *D sky130_fd_sc_hd__ebufn_8
*I *6911:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7019:A 0.000111883
2 *6911:LO 0.000111883
3 io_out[25] *7019:A 5.88662e-05
4 *5893:A *7019:A 0
5 *7050:TE_B *7019:A 0
6 *601:43 *7019:A 0
*RES
1 *6911:LO *7019:A 30.576
*END
*D_NET *1156 0.000456099
*CONN
*I *7020:A I *D sky130_fd_sc_hd__ebufn_8
*I *6912:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7020:A 0.000228049
2 *6912:LO 0.000228049
3 *5913:A *7020:A 0
*RES
1 *6912:LO *7020:A 32.9632
*END
*D_NET *1157 0.000656352
*CONN
*I *7021:A I *D sky130_fd_sc_hd__ebufn_8
*I *6913:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7021:A 0.000227124
2 *6913:LO 0.000227124
3 io_out[27] *7021:A 0
4 *7021:TE_B *7021:A 5.39608e-05
5 *584:43 *7021:A 0.000148144
6 *601:43 *7021:A 0
*RES
1 *6913:LO *7021:A 33.8981
*END
*D_NET *1158 0.00037539
*CONN
*I *7022:A I *D sky130_fd_sc_hd__ebufn_8
*I *6914:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7022:A 0.000155159
2 *6914:LO 0.000155159
3 *80:6 *7022:A 0
4 *587:34 *7022:A 6.50727e-05
*RES
1 *6914:LO *7022:A 30.4689
*END
*D_NET *1159 0.00166362
*CONN
*I *7023:A I *D sky130_fd_sc_hd__ebufn_8
*I *6915:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7023:A 0.00064084
2 *6915:LO 0.00064084
3 *7023:A *7036:A 0
4 io_oeb[33] *7023:A 0
5 la1_data_out[26] *7023:A 7.00732e-05
6 *7023:TE_B *7023:A 0.000276991
7 *57:9 *7023:A 2.41274e-06
8 *597:65 *7023:A 3.24593e-05
*RES
1 *6915:LO *7023:A 46.2513
*END
*D_NET *1160 0.000644049
*CONN
*I *6962:A I *D sky130_fd_sc_hd__ebufn_8
*I *6862:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6962:A 0.000268861
2 *6862:LO 0.000268861
3 *6962:TE_B *6962:A 4.12533e-05
4 *6991:A *6962:A 0
5 *601:64 *6962:A 6.50727e-05
6 *602:22 *6962:A 0
*RES
1 *6862:LO *6962:A 33.791
*END
*D_NET *1161 0.000324989
*CONN
*I *7024:A I *D sky130_fd_sc_hd__ebufn_8
*I *6916:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7024:A 0.000162495
2 *6916:LO 0.000162495
3 *587:10 *7024:A 0
*RES
1 *6916:LO *7024:A 31.2994
*END
*D_NET *1162 0.000345482
*CONN
*I *7025:A I *D sky130_fd_sc_hd__ebufn_8
*I *6917:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7025:A 0.000172741
2 *6917:LO 0.000172741
3 *587:10 *7025:A 0
*RES
1 *6917:LO *7025:A 31.2994
*END
*D_NET *1163 0.000825364
*CONN
*I *7026:A I *D sky130_fd_sc_hd__ebufn_8
*I *6918:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7026:A 0.000329608
2 *6918:LO 0.000329608
3 io_out[32] *7026:A 6.50727e-05
4 *5858:A *7026:A 0
5 *6989:A *7026:A 0
6 *6989:TE_B *7026:A 2.02766e-05
7 *7026:TE_B *7026:A 4.61732e-05
8 *601:10 *7026:A 3.46262e-05
9 *601:16 *7026:A 0
*RES
1 *6918:LO *7026:A 35.87
*END
*D_NET *1164 0.00048275
*CONN
*I *7027:A I *D sky130_fd_sc_hd__ebufn_8
*I *6919:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7027:A 0.000214526
2 *6919:LO 0.000214526
3 io_oeb[33] *7027:A 0
4 *597:65 *7027:A 5.3697e-05
*RES
1 *6919:LO *7027:A 32.9632
*END
*D_NET *1165 0.000185364
*CONN
*I *7028:A I *D sky130_fd_sc_hd__ebufn_8
*I *6920:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7028:A 9.26818e-05
2 *6920:LO 9.26818e-05
3 *584:60 *7028:A 0
*RES
1 *6920:LO *7028:A 29.7455
*END
*D_NET *1166 0.000316761
*CONN
*I *7029:A I *D sky130_fd_sc_hd__ebufn_8
*I *6921:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7029:A 0.00015838
2 *6921:LO 0.00015838
3 *596:49 *7029:A 0
*RES
1 *6921:LO *7029:A 30.4689
*END
*D_NET *1167 0.00110173
*CONN
*I *7030:A I *D sky130_fd_sc_hd__ebufn_8
*I *6922:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7030:A 0.000323712
2 *6922:LO 0.000323712
3 *7030:A *7051:A 0.000105592
4 *5903:A *7030:A 4.58003e-05
5 *7030:TE_B *7030:A 6.92004e-05
6 *7034:TE_B *7030:A 0.00015324
7 *588:21 *7030:A 8.04742e-05
*RES
1 *6922:LO *7030:A 38.0884
*END
*D_NET *1168 0.000498387
*CONN
*I *7031:A I *D sky130_fd_sc_hd__ebufn_8
*I *6923:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7031:A 0.000136852
2 *6923:LO 0.000136852
3 *7031:TE_B *7031:A 0.000224684
*RES
1 *6923:LO *7031:A 23.6585
*END
*D_NET *1169 0.000980851
*CONN
*I *7032:A I *D sky130_fd_sc_hd__ebufn_8
*I *6924:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7032:A 0.000367733
2 *6924:LO 0.000367733
3 io_out[24] *7032:A 0
4 *7018:A *7032:A 7.15593e-05
5 *7032:TE_B *7032:A 5.56461e-05
6 *602:30 *7032:A 0
7 *607:29 *7032:A 0.00011818
*RES
1 *6924:LO *7032:A 37.6676
*END
*D_NET *1170 0.000318626
*CONN
*I *7033:A I *D sky130_fd_sc_hd__ebufn_8
*I *6925:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7033:A 0.000159313
2 *6925:LO 0.000159313
3 io_oeb[1] *7033:A 0
*RES
1 *6925:LO *7033:A 31.2994
*END
*D_NET *1171 0.000579611
*CONN
*I *6963:A I *D sky130_fd_sc_hd__ebufn_8
*I *6863:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6963:A 0.000289805
2 *6863:LO 0.000289805
*RES
1 *6863:LO *6963:A 34.6215
*END
*D_NET *1172 0.00170876
*CONN
*I *7034:A I *D sky130_fd_sc_hd__ebufn_8
*I *6926:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7034:A 0.000661639
2 *6926:LO 0.000661639
3 *593:26 *7034:A 0.000141561
4 *594:59 *7034:A 0.000243918
*RES
1 *6926:LO *7034:A 45.4968
*END
*D_NET *1173 0.00106365
*CONN
*I *7035:A I *D sky130_fd_sc_hd__ebufn_8
*I *6927:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7035:A 0.000176974
2 *6927:LO 0.000176974
3 la1_data_out[11] *7035:A 0
4 *583:73 *7035:A 0.000489932
5 *601:73 *7035:A 0.000219769
*RES
1 *6927:LO *7035:A 35.7363
*END
*D_NET *1174 0.00296318
*CONN
*I *7036:A I *D sky130_fd_sc_hd__ebufn_8
*I *6928:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7036:A 0.000751774
2 *6928:LO 0.000751774
3 io_oeb[25] *7036:A 4.15008e-05
4 *7023:A *7036:A 0
5 *7036:TE_B *7036:A 0.00141813
6 *597:65 *7036:A 0
*RES
1 *6928:LO *7036:A 49.8983
*END
*D_NET *1175 0.000243784
*CONN
*I *7037:A I *D sky130_fd_sc_hd__ebufn_8
*I *6929:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7037:A 0.000121892
2 *6929:LO 0.000121892
3 *587:10 *7037:A 0
*RES
1 *6929:LO *7037:A 30.576
*END
*D_NET *1176 0.00110147
*CONN
*I *7038:A I *D sky130_fd_sc_hd__ebufn_8
*I *6930:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7038:A 0.000324752
2 *6930:LO 0.000324752
3 la1_data_out[16] *7038:A 7.00732e-05
4 *7038:TE_B *7038:A 5.56461e-05
5 *585:51 *7038:A 0.000227235
6 *597:64 *7038:A 9.90116e-05
*RES
1 *6930:LO *7038:A 38.7768
*END
*D_NET *1177 0.00037017
*CONN
*I *7039:A I *D sky130_fd_sc_hd__ebufn_8
*I *6931:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7039:A 0.000119859
2 *6931:LO 0.000119859
3 *7039:A *7047:A 0.000127164
4 io_oeb[7] *7039:A 0
5 *601:29 *7039:A 3.28898e-06
*RES
1 *6931:LO *7039:A 30.4689
*END
*D_NET *1178 0.000402741
*CONN
*I *7040:A I *D sky130_fd_sc_hd__ebufn_8
*I *6932:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7040:A 0.000162685
2 *6932:LO 0.000162685
3 io_out[12] *7040:A 2.17254e-05
4 *7040:TE_B *7040:A 5.56461e-05
*RES
1 *6932:LO *7040:A 31.5781
*END
*D_NET *1179 0.000385083
*CONN
*I *7041:A I *D sky130_fd_sc_hd__ebufn_8
*I *6933:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7041:A 0.000138235
2 *6933:LO 0.000138235
3 *601:64 *7041:A 6.50727e-05
4 *1037:8 *7041:A 4.35394e-05
*RES
1 *6933:LO *7041:A 30.4689
*END
*D_NET *1180 0.000324989
*CONN
*I *7042:A I *D sky130_fd_sc_hd__ebufn_8
*I *6934:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7042:A 0.000162495
2 *6934:LO 0.000162495
3 *587:10 *7042:A 0
*RES
1 *6934:LO *7042:A 31.2994
*END
*D_NET *1181 0.00175736
*CONN
*I *7043:A I *D sky130_fd_sc_hd__ebufn_8
*I *6935:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7043:A 0.000721619
2 *6935:LO 0.000721619
3 io_out[16] *7043:A 3.20069e-06
4 *7004:A *7043:A 3.07997e-05
5 *7043:TE_B *7043:A 0.000259381
6 *596:51 *7043:A 2.07391e-05
7 *596:55 *7043:A 0
*RES
1 *6935:LO *7043:A 46.2681
*END
*D_NET *1182 0.000482563
*CONN
*I *6964:A I *D sky130_fd_sc_hd__ebufn_8
*I *6864:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6964:A 0.000104681
2 *6864:LO 0.000104681
3 *6964:TE_B *6964:A 4.61732e-05
4 *583:73 *6964:A 6.08467e-05
5 *599:39 *6964:A 0.000144546
6 *601:65 *6964:A 2.16355e-05
*RES
1 *6864:LO *6964:A 31.2994
*END
*D_NET *1183 0.000536327
*CONN
*I *7044:A I *D sky130_fd_sc_hd__ebufn_8
*I *6936:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7044:A 0.000164669
2 *6936:LO 0.000164669
3 *7044:TE_B *7044:A 7.97944e-05
4 *585:51 *7044:A 0.000127194
*RES
1 *6936:LO *7044:A 32.6874
*END
*D_NET *1184 0.000551952
*CONN
*I *7045:A I *D sky130_fd_sc_hd__ebufn_8
*I *6937:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7045:A 0.00015156
2 *6937:LO 0.00015156
3 *7045:TE_B *7045:A 0.000248832
*RES
1 *6937:LO *7045:A 24.2131
*END
*D_NET *1185 0.00103119
*CONN
*I *7046:A I *D sky130_fd_sc_hd__ebufn_8
*I *6938:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7046:A 0.000252269
2 *6938:LO 0.000252269
3 io_oeb[22] *7046:A 0.000205332
4 *76:11 *7046:A 1.5254e-05
5 *587:22 *7046:A 0.000133946
6 *594:10 *7046:A 7.86847e-05
7 *599:8 *7046:A 9.3436e-05
*RES
1 *6938:LO *7046:A 36.564
*END
*D_NET *1186 0.00134582
*CONN
*I *7047:A I *D sky130_fd_sc_hd__ebufn_8
*I *6939:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7047:A 0.000483682
2 *6939:LO 0.000483682
3 *5960:A *7047:A 0.000128091
4 *7039:A *7047:A 0.000127164
5 *7039:TE_B *7047:A 0.000101786
6 *7047:TE_B *7047:A 0
7 *601:29 *7047:A 2.14173e-05
*RES
1 *6939:LO *7047:A 39.5058
*END
*D_NET *1187 0.000216509
*CONN
*I *7048:A I *D sky130_fd_sc_hd__ebufn_8
*I *6940:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7048:A 0.000108255
2 *6940:LO 0.000108255
3 *5895:A *7048:A 0
4 *7048:TE_B *7048:A 0
*RES
1 *6940:LO *7048:A 29.7455
*END
*D_NET *1188 0.0015646
*CONN
*I *7049:A I *D sky130_fd_sc_hd__ebufn_8
*I *6941:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7049:A 0.000567116
2 *6941:LO 0.000567116
3 *6985:A *7049:A 0
4 *7049:TE_B *7049:A 0
5 *80:9 *7049:A 0.000430366
6 *583:50 *7049:A 0
7 *588:8 *7049:A 0
8 *591:14 *7049:A 0
*RES
1 *6941:LO *7049:A 41.1587
*END
*D_NET *1189 0.00127336
*CONN
*I *7050:A I *D sky130_fd_sc_hd__ebufn_8
*I *6942:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7050:A 0.000168295
2 *6942:LO 0.000168295
3 *7050:TE_B *7050:A 0.000446855
4 *601:43 *7050:A 0.000489918
*RES
1 *6942:LO *7050:A 35.8997
*END
*D_NET *1190 0.00221664
*CONN
*I *7051:A I *D sky130_fd_sc_hd__ebufn_8
*I *6943:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7051:A 0.000785716
2 *6943:LO 0.000785716
3 io_oeb[19] *7051:A 0
4 io_out[36] *7051:A 0
5 *5903:A *7051:A 0
6 *5960:A *7051:A 0.000337654
7 *7030:A *7051:A 0.000105592
8 *7034:TE_B *7051:A 0
9 *595:27 *7051:A 6.76384e-05
10 *606:51 *7051:A 0.000134323
*RES
1 *6943:LO *7051:A 47.0846
*END
*D_NET *1191 0.00352913
*CONN
*I *7052:A I *D sky130_fd_sc_hd__ebufn_8
*I *6944:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7052:A 0
2 *6944:LO 0.000778028
3 *1191:13 0.000778028
4 io_oeb[20] *1191:13 0.000260374
5 *5957:A *1191:13 0.000282948
6 *7052:TE_B *1191:13 2.41483e-05
7 *588:52 *1191:13 3.99086e-06
8 *596:55 *1191:13 0.000842279
9 *605:48 *1191:13 0.00055933
*RES
1 *6944:LO *1191:13 42.0446
2 *1191:13 *7052:A 9.24915
*END
*D_NET *1192 0.00221418
*CONN
*I *7053:A I *D sky130_fd_sc_hd__ebufn_8
*I *6945:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7053:A 0.000609549
2 *6945:LO 0.000609549
3 *5889:A *7053:A 0
4 *7053:TE_B *7053:A 0.000232478
5 *601:10 *7053:A 0
6 *1097:11 *7053:A 0.000437238
7 *1097:14 *7053:A 0.000325369
*RES
1 *6945:LO *7053:A 49.6776
*END
*D_NET *1193 0.000274148
*CONN
*I *6965:A I *D sky130_fd_sc_hd__ebufn_8
*I *6865:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *6965:A 4.22524e-05
2 *6865:LO 4.22524e-05
3 *6982:A *6965:A 0.000130777
4 *6982:TE_B *6965:A 5.88662e-05
*RES
1 *6865:LO *6965:A 29.7455
*END
*D_NET *1194 0.000872849
*CONN
*I *7054:A I *D sky130_fd_sc_hd__ebufn_8
*I *6946:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7054:A 0.000329721
2 *6946:LO 0.000329721
3 *5927:A *7054:A 0.00016553
4 *6969:A *7054:A 4.78771e-05
*RES
1 *6946:LO *7054:A 36.6344
*END
*D_NET *1195 0.000610001
*CONN
*I *7055:A I *D sky130_fd_sc_hd__ebufn_8
*I *6947:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7055:A 0.000181385
2 *6947:LO 0.000181385
3 io_oeb[23] *7055:A 0
4 *593:29 *7055:A 0.000247231
*RES
1 *6947:LO *7055:A 33.0676
*END
*D_NET *1196 0.000886961
*CONN
*I *7056:A I *D sky130_fd_sc_hd__ebufn_8
*I *6948:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7056:A 5.00017e-05
2 *6948:LO 5.00017e-05
3 *7056:TE_B *7056:A 0.000243918
4 *601:33 *7056:A 0.000543039
*RES
1 *6948:LO *7056:A 24.2131
*END
*D_NET *1197 0.00337237
*CONN
*I *7057:A I *D sky130_fd_sc_hd__ebufn_8
*I *6949:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7057:A 0
2 *6949:LO 0.00140247
3 *1197:13 0.00140247
4 io_out[18] *1197:13 8.3647e-05
5 io_out[29] *1197:13 3.51113e-05
6 *5883:A *1197:13 7.72722e-05
7 *7057:TE_B *1197:13 0.000371389
*RES
1 *6949:LO *1197:13 43.5887
2 *1197:13 *7057:A 9.24915
*END
*D_NET *1198 0.000185364
*CONN
*I *7058:A I *D sky130_fd_sc_hd__ebufn_8
*I *6950:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7058:A 9.26818e-05
2 *6950:LO 9.26818e-05
3 *596:49 *7058:A 0
*RES
1 *6950:LO *7058:A 29.7455
*END
*D_NET *1199 0.000459936
*CONN
*I *7059:A I *D sky130_fd_sc_hd__ebufn_8
*I *6951:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7059:A 0.000192528
2 *6951:LO 0.000192528
3 *7059:TE_B *7059:A 0
4 *594:59 *7059:A 7.48797e-05
5 *1116:8 *7059:A 0
*RES
1 *6951:LO *7059:A 32.4086
*END
*D_NET *1200 0.000964671
*CONN
*I *7060:A I *D sky130_fd_sc_hd__ebufn_8
*I *6952:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7060:A 0.000275406
2 *6952:LO 0.000275406
3 io_out[13] *7060:A 0.000339738
4 *5880:A *7060:A 0
5 *5930:A *7060:A 0
6 *7060:TE_B *7060:A 7.41203e-05
*RES
1 *6952:LO *7060:A 36.3896
*END
*D_NET *1201 0.000224445
*CONN
*I *7061:A I *D sky130_fd_sc_hd__ebufn_8
*I *6953:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7061:A 8.67636e-05
2 *6953:LO 8.67636e-05
3 *7061:TE_B *7061:A 5.09175e-05
*RES
1 *6953:LO *7061:A 29.7455
*END
*D_NET *1202 0.00103213
*CONN
*I *7062:A I *D sky130_fd_sc_hd__ebufn_8
*I *6954:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7062:A 0.000227527
2 *6954:LO 0.000227527
3 *5900:A *7062:A 0.00042812
4 *6980:A *7062:A 0
5 *7062:TE_B *7062:A 4.31539e-05
6 *63:13 *7062:A 0.000105797
7 *1138:6 *7062:A 0
*RES
1 *6954:LO *7062:A 35.5969
*END
*D_NET *1203 0.000793611
*CONN
*I *7063:A I *D sky130_fd_sc_hd__ebufn_8
*I *6955:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *7063:A 0.000198573
2 *6955:LO 0.000198573
3 io_oeb[31] *7063:A 0
4 *5930:A *7063:A 0
5 *7063:TE_B *7063:A 6.81008e-05
6 *594:37 *7063:A 0.000328363
*RES
1 *6955:LO *7063:A 34.0725
*END
*D_NET *1204 0.000185364
*CONN
*I *7102:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1
*I *6726:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7102:A 9.26818e-05
2 *6726:Q 9.26818e-05
3 *6845:D *7102:A 0
*RES
1 *6726:Q *7102:A 29.7455
*END
*D_NET *1205 0.000489163
*CONN
*I *7101:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1
*I *6723:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *7101:A 0.000244581
2 *6723:Q 0.000244581
3 *6709:C *7101:A 0
4 *6846:D *7101:A 0
*RES
1 *6723:Q *7101:A 33.4828
*END
*D_NET *1206 0.00160213
*CONN
*I *6706:S I *D sky130_fd_sc_hd__mux2_1
*I *6707:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6845:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6706:S 0.000291455
2 *6707:A_N 0
3 *6845:Q 0.00049127
4 *1206:8 0.000782725
5 *6706:S *6706:A0 3.34802e-05
6 *6706:S *6706:A1 3.20069e-06
7 *6722:D *6706:S 0
*RES
1 *6845:Q *1206:8 22.9542
2 *1206:8 *6707:A_N 13.7491
3 *1206:8 *6706:S 21.3947
*END
*D_NET *1207 0.000466063
*CONN
*I *6708:S I *D sky130_fd_sc_hd__mux2_1
*I *6846:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6708:S 0.000207301
2 *6846:Q 0.000207301
3 *6708:A0 *6708:S 3.34802e-05
4 *6709:C *6708:S 1.79807e-05
*RES
1 *6846:Q *6708:S 32.7115
*END
*D_NET *1208 0.00031774
*CONN
*I *6144:A I *D sky130_fd_sc_hd__and2_1
*I *6719:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6144:A 0.000154277
2 *6719:Q 0.000154277
3 *6154:B *6144:A 0
4 *1094:76 *6144:A 9.18559e-06
*RES
1 *6719:Q *6144:A 30.4689
*END
*D_NET *1209 0.000887025
*CONN
*I *6146:A I *D sky130_fd_sc_hd__and2_1
*I *6711:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6146:A 0.000316333
2 *6711:Q 0.000316333
3 *6146:A *7008:A 0.000127179
4 *7008:TE_B *6146:A 0.000127179
*RES
1 *6711:Q *6146:A 33.7966
*END
*D_NET *1210 0.000578088
*CONN
*I *6148:A I *D sky130_fd_sc_hd__and2_1
*I *6712:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6148:A 0.000237073
2 *6712:Q 0.000237073
3 *1085:69 *6148:A 0.000103943
*RES
1 *6712:Q *6148:A 32.1327
*END
*D_NET *1211 0.000738239
*CONN
*I *6150:A I *D sky130_fd_sc_hd__and2_1
*I *6713:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6150:A 0.000294306
2 *6713:Q 0.000294306
3 *6150:A *7008:A 0
4 *83:8 *6150:A 0
5 *603:52 *6150:A 0.000149628
*RES
1 *6713:Q *6150:A 33.1026
*END
*D_NET *1212 0.000930018
*CONN
*I *6152:A I *D sky130_fd_sc_hd__and2_1
*I *6724:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6152:A 0.000196567
2 *6724:Q 0.000196567
3 *6152:A *7010:A 0
4 *6148:B *6152:A 0
5 *6153:A *6152:A 0.000153167
6 *757:39 *6152:A 0.000383717
*RES
1 *6724:Q *6152:A 34.9058
*END
*D_NET *1213 0.000626686
*CONN
*I *6154:A I *D sky130_fd_sc_hd__and2_1
*I *6725:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6154:A 0.000257672
2 *6725:Q 0.000257672
3 *6154:B *6154:A 5.07314e-05
4 *6155:A *6154:A 6.06102e-05
5 *6711:CLK *6154:A 0
6 *757:18 *6154:A 0
*RES
1 *6725:Q *6154:A 34.2118
*END
*D_NET *1214 0.00168311
*CONN
*I *6128:A I *D sky130_fd_sc_hd__and2_1
*I *6130:A I *D sky130_fd_sc_hd__nor2_1
*I *6123:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6842:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6128:A 0.000237213
2 *6130:A 0.0001266
3 *6123:A 0
4 *6842:Q 0.00013486
5 *1214:13 0.000472773
6 *1214:7 0.00024382
7 *613:18 *6130:A 0.000101246
8 *739:8 *1214:7 0.000213725
9 *739:8 *1214:13 0.000152878
*RES
1 *6842:Q *1214:7 13.1796
2 *1214:7 *6123:A 9.24915
3 *1214:7 *1214:13 2.94181
4 *1214:13 *6130:A 22.1574
5 *1214:13 *6128:A 14.964
*END
*D_NET *1215 0.00435746
*CONN
*I *6121:A I *D sky130_fd_sc_hd__xnor2_1
*I *6692:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6124:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6691:A I *D sky130_fd_sc_hd__and3_1
*I *6843:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6121:A 0.00016965
2 *6692:B1 0
3 *6124:A1 0.000297869
4 *6691:A 1.68076e-05
5 *6843:Q 0.000306882
6 *1215:25 0.000327676
7 *1215:10 0.000314676
8 *1215:8 0.000464908
9 *6121:A *6121:B 2.26277e-05
10 *6005:A0 *1215:8 0.000387915
11 *6122:A *6121:A 0.000776135
12 *6124:B2 *6124:A1 6.50586e-05
13 *6691:B *1215:25 0
14 *6691:C *6124:A1 4.66492e-05
15 *6691:C *6691:A 0.000118166
16 *6691:C *1215:8 2.95757e-05
17 *6691:C *1215:25 0.000231956
18 *6692:A1 *6121:A 4.80635e-06
19 *6843:D *6124:A1 6.3657e-05
20 *214:17 *6124:A1 0.000364068
21 *214:17 *6691:A 5.0715e-05
22 *567:9 *1215:25 0
23 *739:20 *6121:A 0.000254493
24 *739:24 *6121:A 4.31703e-05
25 *739:32 *1215:25 0
*RES
1 *6843:Q *1215:8 19.6322
2 *1215:8 *1215:10 4.5
3 *1215:10 *6691:A 10.5271
4 *1215:10 *6124:A1 18.8462
5 *1215:8 *1215:25 8.82351
6 *1215:25 *6692:B1 9.24915
7 *1215:25 *6121:A 18.8944
*END
*D_NET *1216 0.00265668
*CONN
*I *6125:A I *D sky130_fd_sc_hd__xnor2_1
*I *6695:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6696:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6844:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6125:A 0.000120597
2 *6695:A1 0
3 *6696:A1 0.000229573
4 *6844:Q 0.00011526
5 *1216:9 0.000765179
6 *1216:8 0.000771463
7 *6695:A2 *6696:A1 3.62662e-06
8 *6695:A2 *1216:9 4.73037e-06
9 *6695:B1_N *6696:A1 7.97944e-05
10 *6696:B1 *6696:A1 0.000238422
11 *6714:CLK *1216:8 7.87187e-05
12 *6843:CLK *1216:8 7.80439e-05
13 *6844:D *6696:A1 0.000171273
*RES
1 *6844:Q *1216:8 21.3269
2 *1216:8 *1216:9 7.93324
3 *1216:9 *6696:A1 15.5668
4 *1216:9 *6695:A1 9.24915
5 *1216:8 *6125:A 12.0704
*END
*D_NET *1217 0.0112885
*CONN
*I *6855:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6128:B I *D sky130_fd_sc_hd__and2_1
*I *6130:B I *D sky130_fd_sc_hd__nor2_1
*I *6018:B I *D sky130_fd_sc_hd__and2_1
*I *6029:B I *D sky130_fd_sc_hd__and3_1
*I *5975:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6855:D 3.9407e-05
2 *6128:B 3.57807e-05
3 *6130:B 0.000394186
4 *6018:B 0
5 *6029:B 5.38744e-05
6 *5975:X 0.000617671
7 *1217:43 0.000899798
8 *1217:40 0.00119534
9 *1217:26 0.000985043
10 *1217:12 0.000970486
11 *6855:D *1281:11 2.30431e-05
12 *1217:12 *1218:11 1.55462e-05
13 *1217:12 *1219:68 7.14746e-05
14 *1217:26 *1244:19 3.58208e-05
15 *1217:26 *1281:11 0.000129031
16 *1217:26 *1281:17 0.000128059
17 *1217:40 *6018:A 0.000330596
18 *1217:40 *6640:A1 4.0752e-05
19 *1217:40 *1244:19 0.000560131
20 *1217:40 *1281:11 0.000917098
21 *5980:A *1217:12 6.44576e-05
22 *6002:B1 *6029:B 1.03403e-05
23 *6009:B1 *1217:12 4.20666e-05
24 *6009:B1 *1217:26 4.72727e-05
25 *6015:A1_N *1217:26 0.000340969
26 *6015:A2_N *1217:12 0.000116454
27 *6015:A2_N *1217:26 0.000118485
28 *6015:B1 *1217:26 0.000222149
29 *6015:B2 *1217:26 6.23875e-05
30 *6022:A2 *1217:26 0.000149018
31 *6031:A2 *1217:12 0.000191541
32 *6129:A *6130:B 0.000124253
33 *6129:B *6130:B 2.41483e-05
34 *6129:B *1217:43 0
35 *6131:A *1217:43 0
36 *6131:B *6130:B 6.05623e-05
37 *6636:A1 *1217:40 7.97944e-05
38 *6636:A2 *1217:40 6.92705e-05
39 *6638:A *1217:40 6.92705e-05
40 *6639:B1_N *1217:40 0.000115934
41 *6640:B1 *1217:40 2.39581e-05
42 *6855:CLK *1217:40 6.50727e-05
43 *6856:CLK *1217:43 0.0001154
44 *520:7 *1217:40 0.000132952
45 *532:19 *1217:12 0.000884524
46 *602:61 *1217:43 0
47 *615:20 *1217:12 1.61631e-05
48 *615:41 *1217:12 0.000155272
49 *619:8 *1217:12 9.32927e-05
50 *619:23 *1217:12 0.000151835
51 *623:9 *1217:12 2.41274e-06
52 *644:8 *1217:12 0
53 *644:8 *1217:26 0.000118245
54 *649:13 *1217:12 1.5471e-05
55 *1085:41 *1217:43 1.09738e-05
56 *1085:43 *1217:43 0.000151427
*RES
1 *5975:X *1217:12 36.1598
2 *1217:12 *6029:B 14.4725
3 *1217:12 *1217:26 18.5868
4 *1217:26 *6018:B 9.24915
5 *1217:26 *1217:40 32.167
6 *1217:40 *1217:43 17.9591
7 *1217:43 *6130:B 23.8116
8 *1217:43 *6128:B 14.4725
9 *1217:40 *6855:D 10.4066
*END
*D_NET *1218 0.00995898
*CONN
*I *6856:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6124:A2 I *D sky130_fd_sc_hd__a22o_1
*I *6121:B I *D sky130_fd_sc_hd__xnor2_1
*I *5988:C I *D sky130_fd_sc_hd__nor3_1
*I *5997:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *5987:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *6856:D 0.000233629
2 *6124:A2 0.000136538
3 *6121:B 4.97299e-05
4 *5988:C 0
5 *5997:A2 0.000575491
6 *5987:Y 0
7 *1218:36 0.000288463
8 *1218:35 0.00159626
9 *1218:11 0.000843991
10 *1218:4 0.00152894
11 *5997:A2 *5997:A1 7.86403e-05
12 *5997:A2 *1219:68 0.000235242
13 *1218:11 *1219:58 0.000172691
14 *1218:11 *1219:68 0.000127164
15 *5988:A *5997:A2 0.000171273
16 *5988:A *1218:11 0.00035688
17 *5994:A3 *1218:11 0.000158357
18 *6001:A *5997:A2 6.08467e-05
19 *6121:A *6121:B 2.26277e-05
20 *6122:A *6121:B 3.14978e-05
21 *6124:B1 *6121:B 1.41976e-05
22 *6124:B1 *6124:A2 0.000195139
23 *6690:A1 *1218:35 1.00937e-05
24 *6690:B1 *1218:35 4.31703e-05
25 *6842:D *1218:35 0.000321905
26 *6856:CLK *6856:D 0.000155555
27 *532:40 *1218:35 0.000134832
28 *613:18 *6124:A2 0.00012904
29 *613:18 *6856:D 7.98962e-05
30 *613:18 *1218:36 5.43198e-05
31 *614:40 *1218:11 0.000101148
32 *615:32 *5997:A2 0.000761013
33 *616:46 *1218:11 2.20702e-05
34 *616:46 *1218:35 3.21413e-05
35 *627:10 *5997:A2 0.000275256
36 *628:23 *5997:A2 0.000525979
37 *644:8 *1218:11 7.77309e-06
38 *649:13 *5997:A2 0.000118166
39 *649:13 *1218:11 0.000160617
40 *1029:8 *6124:A2 0
41 *1029:8 *6856:D 7.81209e-05
42 *1029:8 *1218:36 5.47381e-05
43 *1217:12 *1218:11 1.55462e-05
*RES
1 *5987:Y *1218:4 9.24915
2 *1218:4 *1218:11 19.7589
3 *1218:11 *5997:A2 34.0857
4 *1218:11 *5988:C 9.24915
5 *1218:4 *1218:35 33.5082
6 *1218:35 *1218:36 3.493
7 *1218:36 *6121:B 15.63
8 *1218:36 *6124:A2 18.8055
9 *1218:35 *6856:D 21.1276
*END
*D_NET *1219 0.0137819
*CONN
*I *6001:B I *D sky130_fd_sc_hd__or2_1
*I *5997:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *5993:B I *D sky130_fd_sc_hd__nor2_1
*I *6125:B I *D sky130_fd_sc_hd__xnor2_1
*I *6857:D I *D sky130_fd_sc_hd__dfxtp_2
*I *5992:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6001:B 2.06324e-05
2 *5997:A1 0.000614512
3 *5993:B 0
4 *6125:B 0.000691402
5 *6857:D 0.000164542
6 *5992:X 7.14857e-05
7 *1219:68 0.00146133
8 *1219:58 0.00126012
9 *1219:42 0.0020523
10 *1219:26 0.00195555
11 *1219:7 0.000396747
12 *5997:A1 *5997:B1 0.000228593
13 *5997:A1 *1317:50 1.92172e-05
14 *6857:D *1220:33 0.000292742
15 *1219:26 *1220:33 7.30564e-05
16 *1219:42 *1227:10 0
17 *5988:A *1219:68 0.000264572
18 *5995:A *1219:26 0.00021243
19 *5995:A *1219:58 0
20 *5997:A2 *5997:A1 7.86403e-05
21 *5997:A2 *1219:68 0.000235242
22 *6001:A *1219:68 2.16355e-05
23 *6004:B *1219:58 0.000446029
24 *6005:A0 *6125:B 9.43604e-05
25 *6005:A0 *1219:42 0.000107101
26 *6017:A2 *1219:26 0
27 *6034:A *1219:42 9.39797e-05
28 *6036:A2 *6857:D 0
29 *6036:A2 *1219:26 0.000202298
30 *6037:A2_N *1219:42 2.23259e-05
31 *6695:B1_N *1219:42 8.04912e-05
32 *6727:D *1219:42 5.25577e-05
33 *6843:CLK *6125:B 0.00019884
34 *6843:D *6125:B 3.92179e-06
35 *6844:D *1219:42 0.000220183
36 *218:9 *1219:42 1.07248e-05
37 *229:6 *1219:42 4.98193e-05
38 *567:14 *1219:42 9.75356e-05
39 *602:58 *6857:D 1.87611e-05
40 *609:44 *1219:58 3.25539e-05
41 *614:35 *1219:26 0.000355477
42 *614:40 *1219:58 8.47466e-05
43 *615:41 *1219:68 1.87125e-05
44 *616:38 *1219:58 0
45 *621:24 *1219:7 0.00011818
46 *621:24 *1219:26 0.00020979
47 *622:7 *1219:26 6.50727e-05
48 *628:23 *5997:A1 0.000109097
49 *635:8 *1219:42 1.44467e-05
50 *635:8 *1219:58 3.10924e-05
51 *635:10 *1219:42 9.2557e-05
52 *635:12 *1219:42 5.66868e-06
53 *644:8 *1219:58 0.000198968
54 *644:13 *1219:42 9.75356e-05
55 *644:13 *1219:58 8.62625e-06
56 *650:24 *1219:42 9.90116e-05
57 *1029:8 *6125:B 5.53789e-05
58 *1217:12 *1219:68 7.14746e-05
59 *1218:11 *1219:58 0.000172691
60 *1218:11 *1219:68 0.000127164
*RES
1 *5992:X *1219:7 15.0271
2 *1219:7 *6857:D 19.1262
3 *1219:7 *1219:26 19.6521
4 *1219:26 *1219:42 42.1297
5 *1219:42 *6125:B 30.6481
6 *1219:26 *1219:58 14.5094
7 *1219:58 *5993:B 13.7491
8 *1219:58 *1219:68 19.6719
9 *1219:68 *5997:A1 19.4008
10 *1219:68 *6001:B 9.82786
*END
*D_NET *1220 0.0131111
*CONN
*I *6858:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6180:B I *D sky130_fd_sc_hd__or2_1
*I *6182:B I *D sky130_fd_sc_hd__or2_1
*I *6020:A2 I *D sky130_fd_sc_hd__o21a_1
*I *5997:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *5980:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *6858:D 0.000423977
2 *6180:B 0
3 *6182:B 0.000412836
4 *6020:A2 4.6816e-05
5 *5997:B1 7.50787e-05
6 *5980:Y 0
7 *1220:41 0.00119112
8 *1220:35 0.00120226
9 *1220:33 0.00221192
10 *1220:32 0.00224527
11 *1220:8 0.000916025
12 *1220:4 0.000827482
13 *5997:B1 *1317:50 0.000171273
14 *6182:B *6180:A 0.000102979
15 *6182:B *6182:A 0.000171288
16 *6182:B *6437:B 0
17 *6182:B *6437:C 0.00016904
18 *6182:B *1229:12 5.22654e-06
19 *6858:D *6082:B 0.000543039
20 *1220:33 *6704:D 0
21 *1220:33 *6848:D 0
22 *1220:33 *1365:8 0.00015046
23 *1220:33 *1365:16 5.39463e-05
24 *1220:41 *6082:B 0.000110115
25 *1220:41 *6180:A 5.22654e-06
26 *1220:41 *1368:11 2.49882e-05
27 *5975:A *1220:8 1.40978e-05
28 *5980:A *1220:8 2.7318e-06
29 *5995:A *1220:33 0
30 *5997:A1 *5997:B1 0.000228593
31 *5999:A *1220:8 1.83795e-06
32 *6043:A *1220:33 0
33 *6850:CLK *1220:33 0
34 *6851:CLK *1220:33 0
35 *6851:CLK *1220:41 0
36 *6852:CLK *1220:41 7.09148e-05
37 *6857:CLK *1220:33 0.000124093
38 *6857:D *1220:33 0.000292742
39 *7079:A *1220:33 0
40 *7079:A *1220:41 7.02172e-06
41 *214:28 *1220:33 0.000253772
42 *532:19 *1220:8 0.000375027
43 *532:19 *1220:32 6.50586e-05
44 *581:13 *1220:33 8.0158e-05
45 *612:16 *1220:8 0.000133775
46 *615:20 *1220:8 8.07438e-05
47 *617:8 *1220:33 0
48 *621:8 *1220:33 0
49 *628:10 *1220:8 9.19886e-06
50 *628:23 *5997:B1 2.41483e-05
51 *668:31 *1220:33 0
52 *907:20 *5997:B1 0
53 *907:20 *6020:A2 0
54 *907:20 *1220:8 0
55 *1066:10 *6020:A2 2.37478e-05
56 *1066:10 *1220:8 3.56997e-05
57 *1067:26 *1220:33 0
58 *1075:8 *1220:41 8.91108e-05
59 *1091:15 *6182:B 0
60 *1091:15 *1220:41 0
61 *1093:16 *1220:33 0
62 *1093:45 *1220:33 6.51589e-05
63 *1219:26 *1220:33 7.30564e-05
*RES
1 *5980:Y *1220:4 9.24915
2 *1220:4 *1220:8 19.3221
3 *1220:8 *5997:B1 17.2478
4 *1220:8 *6020:A2 14.8606
5 *1220:4 *1220:32 5.2234
6 *1220:32 *1220:33 59.1368
7 *1220:33 *1220:35 4.5
8 *1220:35 *1220:41 20.1004
9 *1220:41 *6182:B 26.5493
10 *1220:41 *6180:B 13.7491
11 *1220:35 *6858:D 21.8664
*END
*D_NET *1221 0.00130076
*CONN
*I *6048:A I *D sky130_fd_sc_hd__and2_1
*I *6062:A I *D sky130_fd_sc_hd__nor2_1
*I *6714:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6048:A 0
2 *6062:A 0.000166304
3 *6714:Q 0.000331548
4 *1221:10 0.000497851
5 *6062:A *6062:B 0.000168001
6 *6062:A *1363:23 3.75603e-05
7 *6063:B *6062:A 6.50727e-05
8 *593:46 *1221:10 3.28416e-06
9 *604:43 *6062:A 2.01874e-05
10 *604:47 *6062:A 1.09551e-05
*RES
1 *6714:Q *1221:10 24.9571
2 *1221:10 *6062:A 15.0122
3 *1221:10 *6048:A 9.24915
*END
*D_NET *1222 0.00122187
*CONN
*I *6046:A_N I *D sky130_fd_sc_hd__nand3b_1
*I *6049:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *6715:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6046:A_N 1.84885e-05
2 *6049:B1_N 0.000197795
3 *6715:Q 0.000111166
4 *1222:6 0.000327449
5 *6046:A_N *1363:20 0.000224395
6 *6046:B *6049:B1_N 0
7 *668:31 *6046:A_N 0.000224395
8 *1094:29 *6049:B1_N 0.00011818
9 *1094:29 *1222:6 0
*RES
1 *6715:Q *1222:6 15.9964
2 *1222:6 *6049:B1_N 18.5201
3 *1222:6 *6046:A_N 16.1364
*END
*D_NET *1223 0.00139214
*CONN
*I *6059:A I *D sky130_fd_sc_hd__xor2_1
*I *6050:A1 I *D sky130_fd_sc_hd__a221o_1
*I *6051:A1 I *D sky130_fd_sc_hd__o21ai_2
*I *6716:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6059:A 0
2 *6050:A1 0
3 *6051:A1 0.00017428
4 *6716:Q 0.000128344
5 *1223:12 0.000251193
6 *1223:9 0.000205257
7 *6051:A1 *6050:A2 2.79064e-05
8 *6051:A1 *1365:25 3.12899e-05
9 *1223:9 *6050:A2 5.24568e-05
10 *1223:9 *1363:23 0.000116
11 *1223:9 *1365:25 9.68302e-05
12 *1223:12 *6050:A2 0.000132607
13 *1223:12 *1365:25 3.14496e-05
14 *6050:B2 *6051:A1 0.000144531
15 *1029:8 *1223:9 0
*RES
1 *6716:Q *1223:9 22.1896
2 *1223:9 *1223:12 7.1625
3 *1223:12 *6051:A1 18.5612
4 *1223:12 *6050:A1 13.7491
5 *1223:9 *6059:A 9.24915
*END
*D_NET *1224 0.00106834
*CONN
*I *6053:A I *D sky130_fd_sc_hd__nand2_1
*I *6054:A I *D sky130_fd_sc_hd__or2_1
*I *6717:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6053:A 9.91254e-05
2 *6054:A 0.000107675
3 *6717:Q 0.000196705
4 *1224:7 0.000403506
5 *6053:A *6053:B 7.75932e-05
6 *6054:A *6053:B 4.23793e-05
7 *6055:A *6054:A 0
8 *6078:B1_N *6054:A 3.34802e-05
9 *674:8 *6053:A 0
10 *674:8 *6054:A 0
11 *756:17 *6054:A 9.75356e-05
12 *756:17 *1224:7 1.03403e-05
*RES
1 *6717:Q *1224:7 17.8002
2 *1224:7 *6054:A 17.2421
3 *1224:7 *6053:A 16.8269
*END
*D_NET *1225 0.00146582
*CONN
*I *6079:A I *D sky130_fd_sc_hd__and2_1
*I *6077:A I *D sky130_fd_sc_hd__nor2_1
*I *6718:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6079:A 0.000159515
2 *6077:A 0.000311069
3 *6718:Q 0
4 *1225:4 0.000470584
5 *6077:A *6077:B 0.000346333
6 *6077:A *6079:B 0
7 *6077:A *1226:9 0
8 *6083:A *6077:A 0.000151741
9 *697:8 *6077:A 2.65831e-05
*RES
1 *6718:Q *1225:4 9.24915
2 *1225:4 *6077:A 27.8638
3 *1225:4 *6079:A 13.1796
*END
*D_NET *1226 0.00276416
*CONN
*I *6082:A I *D sky130_fd_sc_hd__xor2_1
*I *6727:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6082:A 0
2 *6727:Q 0.001362
3 *1226:9 0.001362
4 *1226:9 *6077:B 0
5 *1226:9 *6715:D 4.01573e-05
6 *6077:A *1226:9 0
7 *6709:C *1226:9 0
8 *219:11 *1226:9 0
9 *577:11 *1226:9 0
*RES
1 *6727:Q *1226:9 49.3204
2 *1226:9 *6082:A 9.24915
*END
*D_NET *1227 0.00295476
*CONN
*I *6714:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6033:A I *D sky130_fd_sc_hd__xor2_1
*I *6017:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *6714:D 0.000600455
2 *6033:A 2.70614e-05
3 *6017:Y 0.000524219
4 *1227:10 0.00115174
5 *6017:A2 *1227:10 3.00073e-05
6 *6032:B *1227:10 0
7 *6033:B *1227:10 0.000101246
8 *6714:CLK *6714:D 6.92705e-05
9 *6716:D *1227:10 9.35753e-06
10 *6844:D *1227:10 0
11 *214:17 *1227:10 3.01592e-06
12 *567:14 *1227:10 0
13 *593:46 *6033:A 1.43848e-05
14 *593:46 *6714:D 0.000424005
15 *659:8 *1227:10 0
16 *1094:39 *1227:10 0
17 *1219:42 *1227:10 0
*RES
1 *6017:Y *1227:10 31.0887
2 *1227:10 *6033:A 9.97254
3 *1227:10 *6714:D 27.5993
*END
*D_NET *1228 0.00194953
*CONN
*I *6039:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6715:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6006:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *6039:A1 0
2 *6715:D 0.00034933
3 *6006:Y 0.000199254
4 *1228:7 0.000548584
5 *6006:A *1228:7 6.50586e-05
6 *6039:A2 *6715:D 1.07248e-05
7 *6039:B2 *6715:D 4.01573e-05
8 *6727:D *6715:D 0
9 *219:11 *6715:D 0.000525621
10 *219:11 *1228:7 5.0715e-05
11 *602:58 *1228:7 9.44688e-05
12 *604:43 *6715:D 2.54559e-05
13 *1226:9 *6715:D 4.01573e-05
*RES
1 *6006:Y *1228:7 14.9881
2 *1228:7 *6715:D 29.9589
3 *1228:7 *6039:A1 9.24915
*END
*D_NET *1229 0.00220595
*CONN
*I *6853:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6182:A I *D sky130_fd_sc_hd__or2_1
*I *6437:D I *D sky130_fd_sc_hd__or4_1
*I *6768:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6853:D 2.30984e-05
2 *6182:A 0.000116249
3 *6437:D 0
4 *6768:Q 0.000224796
5 *1229:12 0.00038194
6 *1229:7 0.000513585
7 *6182:A *6437:C 0.000222149
8 *1229:12 *6437:B 2.69064e-05
9 *6182:B *6182:A 0.000171288
10 *6182:B *1229:12 5.22654e-06
11 *6183:A *6182:A 2.65831e-05
12 *6183:A *1229:12 0
13 *6845:CLK *1229:12 0
14 *6853:CLK *1229:7 0.00049413
15 *1092:18 *1229:12 0
*RES
1 *6768:Q *1229:7 16.0973
2 *1229:7 *1229:12 15.815
3 *1229:12 *6437:D 9.24915
4 *1229:12 *6182:A 13.8789
5 *1229:7 *6853:D 9.78566
*END
*D_NET *1230 0.00233602
*CONN
*I *6180:A I *D sky130_fd_sc_hd__or2_1
*I *6437:C I *D sky130_fd_sc_hd__or4_1
*I *6854:D I *D sky130_fd_sc_hd__dfxtp_2
*I *6769:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6180:A 0.000117711
2 *6437:C 0.000298209
3 *6854:D 0
4 *6769:Q 0.000293667
5 *1230:17 0.000550985
6 *1230:9 0.000428731
7 *6182:A *6437:C 0.000222149
8 *6182:B *6180:A 0.000102979
9 *6182:B *6437:C 0.00016904
10 *6851:CLK *6180:A 0
11 *1091:15 *1230:9 2.41483e-05
12 *1091:17 *1230:9 0.000123176
13 *1092:16 *6437:C 0
14 *1092:18 *6437:C 0
15 *1220:41 *6180:A 5.22654e-06
*RES
1 *6769:Q *1230:9 14.9579
2 *1230:9 *6854:D 9.24915
3 *1230:9 *1230:17 7.49607
4 *1230:17 *6437:C 23.0907
5 *1230:17 *6180:A 17.6574
*END
*D_NET *1231 0.00342933
*CONN
*I *6142:C I *D sky130_fd_sc_hd__and3b_1
*I *6861:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6142:C 0
2 *6861:Q 0.000487966
3 *1231:9 0.000487966
4 *1231:9 *6073:A 3.86121e-05
5 *1231:9 *1355:5 0.000122969
6 *1231:9 *1355:8 2.69064e-05
7 *6782:D *1231:9 0.000507093
8 *362:8 *1231:9 4.90829e-05
9 *363:11 *1231:9 0
10 *756:5 *1231:9 0.00170873
*RES
1 *6861:Q *1231:9 40.9068
2 *1231:9 *6142:C 9.24915
*END
*D_NET *1232 0.000429161
*CONN
*I *6707:B I *D sky130_fd_sc_hd__and2b_1
*I *6720:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6707:B 0.000214581
2 *6720:Q 0.000214581
*RES
1 *6720:Q *6707:B 23.1039
*END
*D_NET *1233 0.000267349
*CONN
*I *6706:A1 I *D sky130_fd_sc_hd__mux2_1
*I *6721:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6706:A1 0.000132074
2 *6721:Q 0.000132074
3 *6706:S *6706:A1 3.20069e-06
*RES
1 *6721:Q *6706:A1 30.4689
*END
*D_NET *1234 0.000141738
*CONN
*I *6706:A0 I *D sky130_fd_sc_hd__mux2_1
*I *6722:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6706:A0 5.41289e-05
2 *6722:Q 5.41289e-05
3 *6706:S *6706:A0 3.34802e-05
4 *6708:A0 *6706:A0 0
*RES
1 *6722:Q *6706:A0 28.915
*END
*D_NET *1235 0.00828284
*CONN
*I *6698:A I *D sky130_fd_sc_hd__or2_1
*I *6699:A I *D sky130_fd_sc_hd__nand2_1
*I *6609:B I *D sky130_fd_sc_hd__or3_1
*I *6016:A I *D sky130_fd_sc_hd__nor2_1
*I *6859:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6698:A 0
2 *6699:A 9.04135e-05
3 *6609:B 0
4 *6016:A 0.000250139
5 *6859:Q 0.000226728
6 *1235:30 0.00193294
7 *1235:17 0.00205323
8 *1235:10 0.000687566
9 *1235:30 *6636:B1 7.56859e-06
10 *6017:B1 *6016:A 5.0715e-05
11 *6122:A *6016:A 0.000232356
12 *6609:A *1235:17 1.62659e-05
13 *6609:C *1235:17 2.29454e-05
14 *6609:C *1235:30 5.64746e-05
15 *6632:A *1235:30 1.1246e-05
16 *6632:B *1235:30 0.000143047
17 *6637:B *1235:30 0.000184931
18 *6689:A1 *1235:30 0
19 *6691:C *1235:30 0
20 *6692:A1 *6016:A 0
21 *6693:C *6016:A 0.0001067
22 *6694:A *6016:A 0.000484757
23 *6697:B *1235:30 0
24 *6699:B *6699:A 0.0002817
25 *6700:B *1235:17 1.03403e-05
26 *6842:CLK *1235:30 0
27 *6842:D *1235:30 0
28 *501:33 *1235:10 1.51658e-05
29 *520:16 *1235:30 9.2346e-06
30 *520:25 *1235:30 5.99802e-05
31 *532:40 *1235:30 0
32 *567:9 *6016:A 0.000373061
33 *595:63 *1235:10 5.04054e-06
34 *636:11 *1235:30 0
35 *739:20 *6016:A 0.00015511
36 *739:24 *6016:A 0.000317707
37 *1029:7 *1235:10 6.50586e-05
38 *1029:8 *1235:10 4.03102e-05
39 *1029:8 *1235:17 0.000149628
40 *1084:22 *1235:30 0
41 *1127:11 *6699:A 1.67988e-05
42 *1127:13 *6699:A 6.50727e-05
43 *1127:13 *1235:17 0.000160617
*RES
1 *6859:Q *1235:10 22.329
2 *1235:10 *1235:17 16.9915
3 *1235:17 *1235:30 49.1648
4 *1235:30 *6016:A 24.0547
5 *1235:17 *6609:B 9.24915
6 *1235:10 *6699:A 13.3002
7 *1235:10 *6698:A 9.24915
*END
*D_NET *1236 0.00053186
*CONN
*I *5981:A I *D sky130_fd_sc_hd__inv_2
*I *6860:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5981:A 0.000246171
2 *6860:Q 0.000246171
3 *6701:A *5981:A 4.28856e-07
4 *602:61 *5981:A 0
5 *1085:37 *5981:A 3.90891e-05
*RES
1 *6860:Q *5981:A 32.1327
*END
*D_NET *1237 0.00198801
*CONN
*I *6007:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6606:B_N I *D sky130_fd_sc_hd__and4bb_1
*I *6608:C I *D sky130_fd_sc_hd__or4b_1
*I *6824:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6007:A 0.000176821
2 *6606:B_N 4.9469e-05
3 *6608:C 7.87505e-05
4 *6824:Q 0.000219516
5 *1237:10 0.00022127
6 *1237:8 0.000489388
7 *6007:A *1240:8 5.07314e-05
8 *6608:C *1238:16 1.44611e-05
9 *6608:C *1238:21 4.37831e-05
10 *6608:C *1240:8 0.000129777
11 *6608:C *1240:15 1.07248e-05
12 *1237:8 *1238:16 6.28454e-05
13 *1237:10 *1238:16 9.45864e-05
14 *1237:10 *1240:8 0.000169093
15 *7088:A *6606:B_N 0.000111722
16 *7088:A *1237:8 0
17 *636:11 *6007:A 6.50727e-05
18 *636:11 *1237:8 0
19 *636:11 *1237:10 0
20 *1079:8 *1237:8 0
*RES
1 *6824:Q *1237:8 18.2442
2 *1237:8 *1237:10 3.90826
3 *1237:10 *6608:C 16.4116
4 *1237:10 *6606:B_N 15.0271
5 *1237:8 *6007:A 18.3548
*END
*D_NET *1238 0.0109181
*CONN
*I *6616:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6606:C I *D sky130_fd_sc_hd__and4bb_1
*I *6608:B I *D sky130_fd_sc_hd__or4b_1
*I *6617:A I *D sky130_fd_sc_hd__and2_1
*I *6003:A1 I *D sky130_fd_sc_hd__o22ai_1
*I *6825:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6616:B1 1.98947e-05
2 *6606:C 5.0318e-05
3 *6608:B 0
4 *6617:A 0
5 *6003:A1 0.00108288
6 *6825:Q 0.000356078
7 *1238:26 0.00161816
8 *1238:21 0.000673266
9 *1238:16 0.00147726
10 *1238:8 0.00166492
11 *6003:A1 *5994:A1 6.9818e-05
12 *6003:A1 *6018:A 0
13 *6003:A1 *6605:A 0.000143047
14 *6003:A1 *6607:A1 0.000140154
15 *6003:A1 *1244:16 0.000212491
16 *1238:21 *1240:15 7.54547e-05
17 *1238:26 *1240:15 0.000213725
18 *1238:26 *1240:19 0.000148901
19 *6003:B2 *6003:A1 5.0459e-05
20 *6015:A1_N *6003:A1 0
21 *6019:B *6003:A1 7.77309e-06
22 *6031:A1 *6003:A1 1.90335e-05
23 *6242:A *1238:8 2.01874e-05
24 *6608:C *1238:16 1.44611e-05
25 *6608:C *1238:21 4.37831e-05
26 *6610:B *6003:A1 0
27 *6612:B *1238:26 0.000167076
28 *6615:A1 *1238:16 7.85411e-06
29 *6615:A2 *1238:16 5.81081e-06
30 *6615:B1 *6616:B1 2.16355e-05
31 *6615:B1 *1238:16 5.8967e-06
32 *6624:C *1238:26 5.54078e-05
33 *6625:A *6003:A1 0.000105491
34 *6628:C1 *1238:16 4.00504e-05
35 *6824:D *1238:16 0.000469791
36 *7088:A *6606:C 2.16355e-05
37 *456:54 *1238:8 0.000337654
38 *456:54 *1238:16 1.04747e-05
39 *504:23 *6003:A1 1.18938e-05
40 *504:23 *1238:26 4.47442e-05
41 *522:21 *6003:A1 0
42 *636:37 *6616:B1 6.08467e-05
43 *636:37 *1238:16 0.00047703
44 *656:8 *1238:16 0.000120067
45 *656:8 *1238:21 0.0001839
46 *659:8 *6003:A1 0.000148114
47 *829:10 *1238:8 0
48 *829:24 *1238:8 0.000189511
49 *829:24 *1238:16 5.77352e-05
50 *830:9 *1238:8 0.000115934
51 *1084:38 *1238:16 0
52 *1084:45 *1238:16 0
53 *1237:8 *1238:16 6.28454e-05
54 *1237:10 *1238:16 9.45864e-05
*RES
1 *6825:Q *1238:8 23.2301
2 *1238:8 *1238:16 34.178
3 *1238:16 *1238:21 8.7164
4 *1238:21 *1238:26 15.0957
5 *1238:26 *6003:A1 41.4001
6 *1238:26 *6617:A 13.7491
7 *1238:21 *6608:B 9.24915
8 *1238:16 *6606:C 14.4725
9 *1238:8 *6616:B1 14.4725
*END
*D_NET *1239 0.00154423
*CONN
*I *6608:D_N I *D sky130_fd_sc_hd__or4b_1
*I *6028:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6826:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6608:D_N 0.000114279
2 *6028:A 0
3 *6826:Q 0.00023676
4 *1239:8 0.000351039
5 *6608:D_N *6018:A 0.000184384
6 *6608:D_N *6606:D 3.31882e-05
7 *6608:D_N *1240:15 5.07314e-05
8 *1239:8 *6018:A 0.000120584
9 *656:8 *6608:D_N 5.3381e-05
10 *1084:22 *1239:8 0.0002817
11 *1127:13 *6608:D_N 0.00011818
*RES
1 *6826:Q *1239:8 18.9382
2 *1239:8 *6028:A 13.7491
3 *1239:8 *6608:D_N 18.9354
*END
*D_NET *1240 0.0080443
*CONN
*I *6608:A I *D sky130_fd_sc_hd__or4b_1
*I *6606:D I *D sky130_fd_sc_hd__and4bb_1
*I *6018:A I *D sky130_fd_sc_hd__and2_1
*I *6628:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6626:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6827:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6608:A 0
2 *6606:D 0.000209481
3 *6018:A 0.000853099
4 *6628:A1 0.000223676
5 *6626:A1 2.53451e-05
6 *6827:Q 0.000277598
7 *1240:37 0.00106258
8 *1240:19 0.00040849
9 *1240:15 0.000343662
10 *1240:8 0.00046179
11 *6018:A *1281:11 0.000152239
12 *6003:A1 *6018:A 0
13 *6007:A *1240:8 5.07314e-05
14 *6608:C *1240:8 0.000129777
15 *6608:C *1240:15 1.07248e-05
16 *6608:D_N *6018:A 0.000184384
17 *6608:D_N *6606:D 3.31882e-05
18 *6608:D_N *1240:15 5.07314e-05
19 *6612:B *6626:A1 9.82896e-06
20 *6612:B *1240:19 0.000455944
21 *6619:C *6628:A1 5.99802e-05
22 *6622:A *6628:A1 3.20069e-06
23 *6622:A *1240:19 0.000377259
24 *6622:B *1240:19 6.50586e-05
25 *6625:A *6018:A 0
26 *6626:A3 *6626:A1 2.41483e-05
27 *6626:A3 *1240:19 7.37649e-05
28 *6628:B1 *6628:A1 9.06436e-05
29 *6639:A2 *6018:A 0.000111215
30 *6693:B *6018:A 7.12909e-05
31 *6697:A *6018:A 0
32 *6826:D *6018:A 0
33 *7088:A *6606:D 4.56831e-05
34 *501:8 *6606:D 6.08467e-05
35 *501:33 *6606:D 6.20492e-05
36 *522:21 *6018:A 7.20252e-05
37 *525:18 *6018:A 7.15724e-05
38 *636:11 *1240:8 0
39 *636:11 *1240:15 0
40 *636:32 *6628:A1 9.67379e-05
41 *656:8 *6606:D 0
42 *656:16 *6628:A1 0.000238567
43 *656:20 *6626:A1 6.50727e-05
44 *1084:10 *6018:A 0
45 *1084:10 *6606:D 0
46 *1084:22 *6018:A 0
47 *1127:13 *6626:A1 0.000160617
48 *1127:13 *1240:15 5.97264e-05
49 *1127:13 *1240:19 0.000233213
50 *1217:40 *6018:A 0.000330596
51 *1237:10 *1240:8 0.000169093
52 *1238:21 *1240:15 7.54547e-05
53 *1238:26 *1240:15 0.000213725
54 *1238:26 *1240:19 0.000148901
55 *1239:8 *6018:A 0.000120584
*RES
1 *6827:Q *1240:8 20.5964
2 *1240:8 *1240:15 10.6858
3 *1240:15 *1240:19 11.285
4 *1240:19 *6626:A1 11.0817
5 *1240:19 *6628:A1 26.0649
6 *1240:15 *1240:37 4.5
7 *1240:37 *6018:A 38.8142
8 *1240:37 *6606:D 18.2442
9 *1240:8 *6608:A 13.7491
*END
*D_NET *1241 0.000261747
*CONN
*I *7005:A I *D sky130_fd_sc_hd__ebufn_8
*I *6162:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7005:A 0.000130873
2 *6162:X 0.000130873
3 *81:6 *7005:A 0
4 *591:8 *7005:A 0
*RES
1 *6162:X *7005:A 30.4689
*END
*D_NET *1242 0.00517956
*CONN
*I *6607:A1 I *D sky130_fd_sc_hd__o21bai_1
*I *6009:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6629:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6828:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6607:A1 0.000173874
2 *6009:A1 0.000207486
3 *6629:A 0.000158282
4 *6828:Q 7.25649e-05
5 *1242:16 0.000816469
6 *1242:6 0.000665956
7 *6009:A1 *5994:A1 1.43983e-05
8 *1242:16 *5994:A1 2.99978e-05
9 *1242:16 *1243:7 0.00014792
10 *1242:16 *1243:19 0.000127154
11 *1242:16 *1243:21 7.06457e-05
12 *6003:A1 *6607:A1 0.000140154
13 *6009:A2 *6009:A1 0.000113968
14 *6015:A1_N *6607:A1 5.68225e-06
15 *6607:A2 *6607:A1 9.63545e-05
16 *6635:B *1242:16 0.000924979
17 *6637:A_N *1242:16 0.000563856
18 *6637:B *1242:16 0.00021218
19 *500:8 *6009:A1 0.000118166
20 *500:8 *6607:A1 3.92275e-05
21 *500:8 *1242:16 0.000113968
22 *522:9 *6009:A1 5.90863e-05
23 *525:18 *1242:16 0.000217951
24 *1029:8 *6629:A 6.23202e-05
25 *1029:8 *1242:6 2.692e-05
*RES
1 *6828:Q *1242:6 15.5811
2 *1242:6 *6629:A 17.6574
3 *1242:6 *1242:16 24.6345
4 *1242:16 *6009:A1 15.7356
5 *1242:16 *6607:A1 24.2337
*END
*D_NET *1243 0.00622184
*CONN
*I *6636:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6635:A I *D sky130_fd_sc_hd__and3_1
*I *6605:B I *D sky130_fd_sc_hd__nand2_1
*I *5994:A1 I *D sky130_fd_sc_hd__o31a_1
*I *6829:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6636:B1 9.73814e-05
2 *6635:A 0
3 *6605:B 2.06324e-05
4 *5994:A1 0.000684013
5 *6829:Q 0.00023881
6 *1243:21 0.000737798
7 *1243:19 0.000360155
8 *1243:7 0.000663193
9 *5994:A1 *6605:A 4.37999e-05
10 *5994:A1 *1244:16 5.79544e-05
11 *5994:A1 *1281:17 8.01837e-05
12 *6003:A1 *5994:A1 6.9818e-05
13 *6003:B2 *5994:A1 0.000122068
14 *6009:A1 *5994:A1 1.43983e-05
15 *6009:A2 *5994:A1 0
16 *6015:A1_N *5994:A1 5.8261e-05
17 *6019:A *5994:A1 0.000217951
18 *6022:B1 *5994:A1 0.000164829
19 *6031:B1 *5994:A1 3.77804e-05
20 *6636:A2 *6636:B1 0
21 *6637:A_N *1243:7 0.000107496
22 *6637:A_N *1243:19 0.000340589
23 *6637:C *6636:B1 6.08697e-06
24 *6637:C *1243:7 0.00029128
25 *6637:C *1243:19 0.000167076
26 *6638:A *1243:7 0.000107272
27 *6640:B1 *1243:19 6.50727e-05
28 *6830:D *1243:19 7.22058e-05
29 *520:16 *6636:B1 0.000144546
30 *522:9 *5994:A1 0.000211492
31 *522:9 *1243:19 4.80532e-05
32 *522:9 *1243:21 0.000265537
33 *525:7 *1243:19 4.31539e-05
34 *525:18 *1243:19 0.000122412
35 *532:19 *5994:A1 6.50586e-05
36 *532:21 *5994:A1 1.15389e-05
37 *621:24 *5994:A1 0
38 *633:10 *5994:A1 0.000100655
39 *659:8 *5994:A1 0
40 *1235:30 *6636:B1 7.56859e-06
41 *1242:16 *5994:A1 2.99978e-05
42 *1242:16 *1243:7 0.00014792
43 *1242:16 *1243:19 0.000127154
44 *1242:16 *1243:21 7.06457e-05
*RES
1 *6829:Q *1243:7 18.171
2 *1243:7 *1243:19 12.78
3 *1243:19 *1243:21 2.94181
4 *1243:21 *5994:A1 40.0833
5 *1243:21 *6605:B 9.82786
6 *1243:19 *6635:A 9.24915
7 *1243:7 *6636:B1 21.3269
*END
*D_NET *1244 0.00333845
*CONN
*I *6605:A I *D sky130_fd_sc_hd__nand2_1
*I *6640:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6639:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6030:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6830:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6605:A 6.9472e-05
2 *6640:A1 0.000106274
3 *6639:A1 0
4 *6030:A1 0.000206444
5 *6830:Q 0.000196486
6 *1244:19 0.000371148
7 *1244:16 0.000423796
8 *1244:7 0.00049238
9 *1244:16 *1281:17 7.65861e-05
10 *5994:A1 *6605:A 4.37999e-05
11 *5994:A1 *1244:16 5.79544e-05
12 *6003:A1 *6605:A 0.000143047
13 *6003:A1 *1244:16 0.000212491
14 *6015:A1_N *6605:A 0
15 *6030:A3 *6030:A1 4.31703e-05
16 *6640:B1 *6640:A1 0.000111335
17 *6693:B *6640:A1 0.000143047
18 *6830:D *6640:A1 4.3116e-06
19 *1217:26 *1244:19 3.58208e-05
20 *1217:40 *6640:A1 4.0752e-05
21 *1217:40 *1244:19 0.000560131
*RES
1 *6830:Q *1244:7 13.8789
2 *1244:7 *6030:A1 13.8548
3 *1244:7 *1244:16 8.40826
4 *1244:16 *1244:19 12.4332
5 *1244:19 *6639:A1 9.24915
6 *1244:19 *6640:A1 22.1896
7 *1244:16 *6605:A 16.4116
*END
*D_NET *1245 0.0040509
*CONN
*I *6645:A I *D sky130_fd_sc_hd__or3_1
*I *6643:A I *D sky130_fd_sc_hd__and2_1
*I *6650:B I *D sky130_fd_sc_hd__and3_1
*I *6010:A I *D sky130_fd_sc_hd__inv_2
*I *6831:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6645:A 9.26322e-05
2 *6643:A 0
3 *6650:B 0.000157072
4 *6010:A 0.000506804
5 *6831:Q 0.000261458
6 *1245:35 0.000195798
7 *1245:21 0.000342409
8 *1245:11 0.000850434
9 *6010:A *6021:A1 0
10 *6010:A *1248:12 0
11 *6650:B *1248:12 0
12 *1245:21 *1248:12 0
13 *6027:A1 *6010:A 0
14 *6644:A *6010:A 0
15 *6648:C *6645:A 0.000108071
16 *6648:C *1245:35 8.41174e-05
17 *6650:C *6650:B 5.41377e-05
18 *6652:A_N *6650:B 0.000111901
19 *6832:CLK *1245:11 0.000144695
20 *6832:D *6010:A 2.39519e-05
21 *6832:D *6650:B 3.04973e-05
22 *6832:D *1245:11 3.18826e-06
23 *6832:D *1245:21 2.40299e-05
24 *6832:D *1245:35 7.08723e-06
25 *530:16 *6650:B 0
26 *531:5 *1245:35 0.000217951
27 *532:19 *6010:A 0
28 *537:18 *6650:B 5.22654e-06
29 *907:13 *6645:A 0.000405513
30 *907:13 *1245:35 0.000423922
*RES
1 *6831:Q *1245:11 19.6569
2 *1245:11 *6010:A 25.8609
3 *1245:11 *1245:21 2.03962
4 *1245:21 *6650:B 18.6955
5 *1245:21 *1245:35 10.217
6 *1245:35 *6643:A 9.24915
7 *1245:35 *6645:A 13.8548
*END
*D_NET *1246 0.00691847
*CONN
*I *6650:A I *D sky130_fd_sc_hd__and3_1
*I *6651:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6002:A1 I *D sky130_fd_sc_hd__o221a_1
*I *6630:C I *D sky130_fd_sc_hd__and4_1
*I *6832:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6650:A 0
2 *6651:A1 0.000330201
3 *6002:A1 0.000990638
4 *6630:C 6.45376e-05
5 *6832:Q 5.71825e-05
6 *1246:34 0.000610333
7 *1246:10 0.00113276
8 *1246:7 0.000414896
9 *5989:A *6002:A1 6.50586e-05
10 *6002:B1 *6002:A1 5.66868e-06
11 *6009:A2 *6002:A1 9.25341e-05
12 *6015:A1_N *6002:A1 0
13 *6015:B1 *6002:A1 5.30785e-05
14 *6022:A2 *6002:A1 0.000306512
15 *6027:A1 *1246:10 2.19131e-05
16 *6029:A *6002:A1 0
17 *6030:A3 *6002:A1 0.000143032
18 *6031:A2 *6002:A1 2.16355e-05
19 *6630:B *6630:C 2.41274e-06
20 *6630:D *1246:10 3.31882e-05
21 *6631:A *1246:7 2.41274e-06
22 *6645:C *6002:A1 0.000361082
23 *6645:C *6630:C 0.000111722
24 *6646:A *1246:34 0.000325431
25 *6650:C *6651:A1 7.31856e-05
26 *6652:C *6651:A1 0.000158357
27 *6653:A *6651:A1 0.000292683
28 *6653:A *1246:34 0.000377259
29 *6832:D *1246:34 0.000220183
30 *521:6 *1246:10 7.50722e-05
31 *521:6 *1246:34 8.52802e-05
32 *532:7 *6630:C 4.80635e-06
33 *644:8 *6002:A1 0
34 *651:6 *1246:10 3.00073e-05
35 *651:6 *1246:34 0.000177927
36 *829:29 *6002:A1 0.000277488
37 *1066:20 *1246:10 0
*RES
1 *6832:Q *1246:7 14.4725
2 *1246:7 *1246:10 7.1625
3 *1246:10 *6630:C 11.1059
4 *1246:10 *6002:A1 46.6048
5 *1246:7 *1246:34 16.8905
6 *1246:34 *6651:A1 17.954
7 *1246:34 *6650:A 9.24915
*END
*D_NET *1247 0.00195035
*CONN
*I *6655:A I *D sky130_fd_sc_hd__nand2_1
*I *6654:A I *D sky130_fd_sc_hd__or2_1
*I *6023:A I *D sky130_fd_sc_hd__inv_2
*I *6833:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6655:A 0
2 *6654:A 0.000201724
3 *6023:A 0.000122158
4 *6833:Q 0.00018772
5 *1247:19 0.000201724
6 *1247:8 0.000309878
7 *6646:A *6023:A 0.000169108
8 *6646:A *1247:8 0.00016355
9 *6655:B *6654:A 0.000381471
10 *499:7 *6023:A 6.92705e-05
11 *530:16 *6023:A 0
12 *530:16 *1247:8 0
13 *541:9 *1247:8 7.86847e-05
14 *1127:13 *6023:A 6.50586e-05
*RES
1 *6833:Q *1247:8 18.2442
2 *1247:8 *6023:A 17.5503
3 *1247:8 *1247:19 4.5
4 *1247:19 *6654:A 14.9881
5 *1247:19 *6655:A 9.24915
*END
*D_NET *1248 0.00540075
*CONN
*I *6658:A I *D sky130_fd_sc_hd__xnor2_1
*I *6630:A I *D sky130_fd_sc_hd__and4_1
*I *6021:A1 I *D sky130_fd_sc_hd__o221a_1
*I *6834:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6658:A 0.000330053
2 *6630:A 0.000216013
3 *6021:A1 0.00043904
4 *6834:Q 0.000161394
5 *1248:12 0.00133275
6 *1248:7 0.00116914
7 *6021:A1 *1317:50 0
8 *6010:A *6021:A1 0
9 *6010:A *1248:12 0
10 *6013:B1 *6021:A1 0.000127179
11 *6021:B2 *6021:A1 0.00033061
12 *6027:B2 *6021:A1 8.90486e-05
13 *6644:A *6630:A 2.20702e-05
14 *6650:B *1248:12 0
15 *6651:B1 *1248:12 0
16 *6652:A_N *1248:12 5.84526e-05
17 *6652:C *1248:12 7.50722e-05
18 *6653:A *1248:12 0.000116971
19 *6656:B *6658:A 0
20 *6659:C *6658:A 0
21 *6833:D *6658:A 0
22 *530:15 *1248:7 1.4164e-05
23 *532:7 *6630:A 0.0002817
24 *537:18 *6658:A 1.82832e-05
25 *537:18 *1248:12 6.72817e-05
26 *555:6 *6021:A1 0
27 *555:6 *6658:A 0
28 *555:6 *1248:12 0
29 *627:10 *6021:A1 1.07248e-05
30 *627:13 *6021:A1 0.000540793
31 *907:20 *6021:A1 0
32 *1245:21 *1248:12 0
*RES
1 *6834:Q *1248:7 16.1364
2 *1248:7 *1248:12 17.2696
3 *1248:12 *6021:A1 29.1858
4 *1248:12 *6630:A 19.464
5 *1248:7 *6658:A 21.3947
*END
*D_NET *1249 0.00366504
*CONN
*I *6475:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6470:B1 I *D sky130_fd_sc_hd__o22a_1
*I *6431:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6794:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6475:B1 0.000200919
2 *6470:B1 4.05064e-05
3 *6431:A 0
4 *6794:Q 0.000164765
5 *1249:16 0.00106249
6 *1249:6 0.000985824
7 *6443:B1 *1249:16 7.2401e-05
8 *6470:B2 *6470:B1 3.75217e-05
9 *6470:B2 *1249:16 0.000240485
10 *6474:A2 *6475:B1 0.000190042
11 *6478:B1 *6475:B1 0.00033614
12 *6841:D *1249:6 0
13 *359:10 *6475:B1 0
14 *377:33 *6470:B1 0.000122378
15 *377:33 *1249:16 4.88955e-05
16 *904:34 *1249:6 0
17 *904:34 *1249:16 0
18 *938:10 *1249:16 0
19 *947:26 *6475:B1 0
20 *947:26 *1249:6 0
21 *947:26 *1249:16 0
22 *1127:38 *1249:16 0.000162673
*RES
1 *6794:Q *1249:6 17.6574
2 *1249:6 *6431:A 13.7491
3 *1249:6 *1249:16 27.5505
4 *1249:16 *6470:B1 11.1059
5 *1249:16 *6475:B1 25.4794
*END
*D_NET *1250 0.00133915
*CONN
*I *6449:A I *D sky130_fd_sc_hd__or2_1
*I *6452:A I *D sky130_fd_sc_hd__inv_2
*I *6448:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6795:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6449:A 7.38729e-05
2 *6452:A 0
3 *6448:B1 0
4 *6795:Q 0.000189973
5 *1250:17 0.000169654
6 *1250:8 0.000285754
7 *6448:A1 *6449:A 0.00011818
8 *6448:A1 *1250:17 3.87866e-05
9 *6451:C *1250:17 0.000169078
10 *356:11 *6449:A 0.000122378
11 *938:11 *6449:A 0.000171473
12 *938:22 *1250:8 0
13 *938:22 *1250:17 0
*RES
1 *6795:Q *1250:8 17.2744
2 *1250:8 *6448:B1 13.7491
3 *1250:8 *1250:17 7.993
4 *1250:17 *6452:A 9.24915
5 *1250:17 *6449:A 13.3002
*END
*D_NET *1251 0.00373668
*CONN
*I *6435:A3 I *D sky130_fd_sc_hd__o31a_1
*I *6459:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6454:A I *D sky130_fd_sc_hd__inv_2
*I *6458:A I *D sky130_fd_sc_hd__or3_1
*I *6796:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6435:A3 5.49901e-05
2 *6459:A2 6.76919e-05
3 *6454:A 0.000151301
4 *6458:A 6.61597e-05
5 *6796:Q 0.000390626
6 *1251:29 0.000288398
7 *1251:17 0.000441299
8 *1251:8 0.000581068
9 *6435:A3 *6435:A2 0.00014642
10 *6435:A3 *1252:31 6.50727e-05
11 *6459:A2 *6459:A1 9.60366e-05
12 *1251:29 *1252:31 0.000213739
13 *6456:B1 *1251:8 0.00011818
14 *6457:B *1251:8 1.59204e-05
15 *6459:B1 *6459:A2 4.55535e-05
16 *6459:B1 *1251:29 7.58595e-05
17 *6460:A2 *1251:17 3.46062e-05
18 *6460:A2 *1251:29 8.04608e-05
19 *6460:B1 *6458:A 0.000326398
20 *6461:A2 *6458:A 5.67857e-05
21 *359:19 *6435:A3 3.14978e-05
22 *374:10 *6454:A 1.03403e-05
23 *374:10 *1251:8 1.9101e-05
24 *374:10 *1251:17 0.000135905
25 *374:12 *1251:8 3.99133e-05
26 *375:18 *6459:A2 7.77309e-06
27 *375:18 *1251:8 0
28 *375:18 *1251:17 0
29 *375:18 *1251:29 0
30 *377:7 *6454:A 0.000175584
*RES
1 *6796:Q *1251:8 20.602
2 *1251:8 *6458:A 17.2456
3 *1251:8 *1251:17 3.90826
4 *1251:17 *6454:A 18.3548
5 *1251:17 *1251:29 10.3802
6 *1251:29 *6459:A2 20.4964
7 *1251:29 *6435:A3 11.6364
*END
*D_NET *1252 0.0038948
*CONN
*I *6435:A2 I *D sky130_fd_sc_hd__o31a_1
*I *6459:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6461:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *6473:B I *D sky130_fd_sc_hd__or2_1
*I *6472:B I *D sky130_fd_sc_hd__nand2_1
*I *6797:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6435:A2 3.536e-05
2 *6459:A1 5.21243e-05
3 *6461:A1 2.06324e-05
4 *6473:B 0
5 *6472:B 0.000151873
6 *6797:Q 6.84064e-05
7 *1252:31 0.000176063
8 *1252:23 0.0001189
9 *1252:10 0.000279917
10 *1252:5 0.000206139
11 *6435:A2 *6435:A1 0.000211478
12 *1252:5 *1253:18 0.000430366
13 *1252:10 *1253:24 0.000295725
14 *1252:23 *1253:18 6.50727e-05
15 *1252:31 *6435:A1 0.000454408
16 *1252:31 *1253:18 1.61631e-05
17 *6435:A3 *6435:A2 0.00014642
18 *6435:A3 *1252:31 6.50727e-05
19 *6459:A2 *6459:A1 9.60366e-05
20 *6459:B1 *6459:A1 1.78514e-05
21 *6460:A2 *1252:10 4.3116e-06
22 *6473:A *6472:B 0.000271044
23 *6797:D *1252:5 0.000111145
24 *6797:D *1252:23 1.41291e-05
25 *6797:D *1252:31 2.99978e-05
26 *359:19 *6435:A2 1.41291e-05
27 *371:39 *1252:10 0.00012706
28 *375:18 *6459:A1 0.000148129
29 *391:19 *6472:B 5.3103e-05
30 *1251:29 *1252:31 0.000213739
*RES
1 *6797:Q *1252:5 13.8548
2 *1252:5 *1252:10 14.5693
3 *1252:10 *6472:B 13.8789
4 *1252:10 *6473:B 9.24915
5 *1252:5 *1252:23 0.723396
6 *1252:23 *6461:A1 9.82786
7 *1252:23 *1252:31 5.16022
8 *1252:31 *6459:A1 20.9116
9 *1252:31 *6435:A2 11.6364
*END
*D_NET *1253 0.00609716
*CONN
*I *6435:A1 I *D sky130_fd_sc_hd__o31a_1
*I *6467:A2 I *D sky130_fd_sc_hd__o22a_1
*I *6475:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6462:A I *D sky130_fd_sc_hd__and2_1
*I *6798:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6435:A1 0.000488137
2 *6467:A2 0
3 *6475:A2 0.000238283
4 *6462:A 0.000191946
5 *6798:Q 0.000142702
6 *1253:24 0.000708212
7 *1253:18 0.00142136
8 *1253:8 0.000797945
9 *6435:A2 *6435:A1 0.000211478
10 *6451:A *6462:A 0
11 *6459:B1 *1253:24 0
12 *6460:A2 *1253:24 4.27003e-05
13 *6463:A *6462:A 0
14 *6463:A *1253:8 0
15 *6467:A1 *6475:A2 0.000127194
16 *6473:A *1253:24 6.50727e-05
17 *6475:A1 *6475:A2 6.10877e-05
18 *6475:B2 *6475:A2 0
19 *6476:B1 *6475:A2 8.86485e-05
20 *6796:CLK *6462:A 0
21 *6797:D *1253:18 4.65017e-05
22 *359:19 *6435:A1 0.000103881
23 *371:39 *1253:24 1.18938e-05
24 *375:18 *1253:24 3.6455e-05
25 *386:16 *6475:A2 5.19205e-05
26 *1252:5 *1253:18 0.000430366
27 *1252:10 *1253:24 0.000295725
28 *1252:23 *1253:18 6.50727e-05
29 *1252:31 *6435:A1 0.000454408
30 *1252:31 *1253:18 1.61631e-05
*RES
1 *6798:Q *1253:8 16.8591
2 *1253:8 *6462:A 18.4879
3 *1253:8 *1253:18 12.4332
4 *1253:18 *1253:24 22.3632
5 *1253:24 *6475:A2 24.4208
6 *1253:24 *6467:A2 9.24915
7 *1253:18 *6435:A1 18.581
*END
*D_NET *1254 0.00143428
*CONN
*I *6433:B I *D sky130_fd_sc_hd__or2_1
*I *6464:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6799:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6433:B 0.000297191
2 *6464:A 0.000123769
3 *6799:Q 0.000101136
4 *1254:5 0.000522096
5 *6433:B *6433:A 4.09467e-05
6 *6433:B *6466:A 8.8567e-05
7 *6464:A *6466:A 0
8 *358:9 *6464:A 0
9 *589:12 *6433:B 1.01134e-05
10 *589:12 *1254:5 3.24705e-06
11 *947:68 *6433:B 0
12 *947:68 *6464:A 0.000247216
*RES
1 *6799:Q *1254:5 10.5271
2 *1254:5 *6464:A 22.5727
3 *1254:5 *6433:B 25.2358
*END
*D_NET *1255 0.00130561
*CONN
*I *6433:A I *D sky130_fd_sc_hd__or2_1
*I *6466:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6800:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6433:A 5.24122e-05
2 *6466:A 0.00013695
3 *6800:Q 0.000237258
4 *1255:7 0.00042662
5 *6433:B *6433:A 4.09467e-05
6 *6433:B *6466:A 8.8567e-05
7 *6464:A *6466:A 0
8 *6489:A *1255:7 0.000163928
9 *358:9 *6433:A 3.00073e-05
10 *358:9 *6466:A 0.000110495
11 *589:12 *6466:A 1.84293e-05
*RES
1 *6800:Q *1255:7 17.8002
2 *1255:7 *6466:A 18.7961
3 *1255:7 *6433:A 15.5811
*END
*D_NET *1256 0.00181164
*CONN
*I *6468:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6434:C I *D sky130_fd_sc_hd__nor4_2
*I *6801:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6468:A 0.000102782
2 *6434:C 0.000522169
3 *6801:Q 4.75318e-05
4 *1256:5 0.000672483
5 *6434:C *6434:B 1.03434e-05
6 *6490:A *6434:C 0.000171273
7 *6490:B *6434:C 5.96914e-05
8 *6490:C *6434:C 2.23105e-05
9 *6809:CLK *6468:A 0.000103371
10 *359:10 *6434:C 1.61631e-05
11 *388:8 *6468:A 5.22654e-06
12 *388:25 *6468:A 6.3152e-05
13 *947:19 *6468:A 1.00981e-05
14 *1095:9 *1256:5 5.04829e-06
*RES
1 *6801:Q *1256:5 9.97254
2 *1256:5 *6434:C 20.1724
3 *1256:5 *6468:A 21.635
*END
*D_NET *1257 0.0024892
*CONN
*I *6434:B I *D sky130_fd_sc_hd__nor4_2
*I *6471:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6802:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6434:B 0.000172681
2 *6471:A 7.3533e-05
3 *6802:Q 0.000533766
4 *1257:10 0.000779979
5 *6434:B *6434:A 0.000217951
6 *6471:A *1258:19 5.99802e-05
7 *1257:10 *6434:A 1.82679e-05
8 *1257:10 *6497:B1 1.43848e-05
9 *6434:C *6434:B 1.03434e-05
10 *6497:A1 *1257:10 0.000404561
11 *6497:A2 *6471:A 8.62625e-06
12 *6497:A2 *1257:10 8.77993e-05
13 *947:68 *6471:A 6.31809e-05
14 *947:68 *1257:10 4.41474e-05
*RES
1 *6802:Q *1257:10 23.5385
2 *1257:10 *6471:A 16.4116
3 *1257:10 *6434:B 18.3789
*END
*D_NET *1258 0.0029052
*CONN
*I *6434:A I *D sky130_fd_sc_hd__nor4_2
*I *6497:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6465:A I *D sky130_fd_sc_hd__inv_2
*I *6803:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6434:A 0.000107152
2 *6497:B1 1.59894e-05
3 *6465:A 0.000115795
4 *6803:Q 0.00049631
5 *1258:19 0.000247185
6 *1258:7 0.000736149
7 *6434:B *6434:A 0.000217951
8 *6471:A *1258:19 5.99802e-05
9 *6479:A *1258:7 1.19721e-05
10 *6497:A2 *6434:A 4.66492e-05
11 *6497:A2 *6497:B1 6.50586e-05
12 *6497:A2 *1258:19 2.95757e-05
13 *6498:C1 *6465:A 0.000164843
14 *6803:D *1258:7 2.85139e-05
15 *371:39 *6465:A 1.32509e-05
16 *371:39 *1258:19 5.16463e-05
17 *385:17 *6465:A 2.652e-05
18 *391:9 *1258:7 3.99086e-06
19 *391:13 *1258:7 5.81185e-06
20 *398:15 *6465:A 9.24241e-05
21 *398:15 *1258:7 0.000119727
22 *398:15 *1258:19 4.70104e-05
23 *947:68 *1258:19 0
24 *1138:9 *6465:A 0.000169041
25 *1257:10 *6434:A 1.82679e-05
26 *1257:10 *6497:B1 1.43848e-05
*RES
1 *6803:Q *1258:7 21.1278
2 *1258:7 *6465:A 18.2442
3 *1258:7 *1258:19 8.82351
4 *1258:19 *6497:B1 9.97254
5 *1258:19 *6434:A 12.7456
*END
*D_NET *1259 0.00401021
*CONN
*I *6527:B I *D sky130_fd_sc_hd__xnor2_1
*I *6510:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6511:B I *D sky130_fd_sc_hd__or2_1
*I *6508:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6509:A1 I *D sky130_fd_sc_hd__o211ai_1
*I *6804:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6527:B 0.00025666
2 *6510:A1 0.000242015
3 *6511:B 0.000114359
4 *6508:B1 0
5 *6509:A1 5.63617e-05
6 *6804:Q 0.000106159
7 *1259:25 0.000462408
8 *1259:12 5.63617e-05
9 *1259:10 0.00023954
10 *1259:7 0.000496325
11 *6510:A1 *6524:A 1.09738e-05
12 *6510:A1 *1260:8 9.34396e-06
13 *6510:A1 *1260:13 6.26227e-05
14 *6511:B *6511:A 0.000210197
15 *6511:B *1260:13 0.000113968
16 *1259:10 *6524:A 8.85525e-05
17 *1259:25 *6524:A 7.24155e-05
18 *6506:A2 *6527:B 6.50586e-05
19 *6506:B1 *6527:B 0.00017416
20 *6509:A2 *6509:A1 1.41976e-05
21 *6509:B1 *6509:A1 0.000121262
22 *6510:A2 *6510:A1 0
23 *6510:A2 *1259:25 0
24 *422:17 *6509:A1 0.00027329
25 *434:5 *6527:B 0.000436811
26 *596:22 *6510:A1 0.000324151
27 *947:68 *6509:A1 3.01683e-06
28 *947:68 *1259:10 0
*RES
1 *6804:Q *1259:7 11.6605
2 *1259:7 *1259:10 8.40826
3 *1259:10 *1259:12 4.5
4 *1259:12 *6509:A1 12.7697
5 *1259:12 *6508:B1 9.24915
6 *1259:10 *1259:25 3.07775
7 *1259:25 *6511:B 17.2456
8 *1259:25 *6510:A1 21.5691
9 *1259:7 *6527:B 27.5963
*END
*D_NET *1260 0.00230753
*CONN
*I *6510:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6511:A I *D sky130_fd_sc_hd__or2_1
*I *6524:A I *D sky130_fd_sc_hd__inv_2
*I *6805:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6510:B1 0
2 *6511:A 0.000103137
3 *6524:A 0.000240898
4 *6805:Q 0.000286549
5 *1260:13 0.000547398
6 *1260:8 0.000489912
7 *6506:A1 *6524:A 0
8 *6508:A1 *6524:A 0
9 *6510:A1 *6524:A 1.09738e-05
10 *6510:A1 *1260:8 9.34396e-06
11 *6510:A1 *1260:13 6.26227e-05
12 *6510:A2 *1260:13 7.15593e-05
13 *6511:B *6511:A 0.000210197
14 *6511:B *1260:13 0.000113968
15 *6513:B *1260:8 0
16 *371:29 *1260:8 0
17 *371:29 *1260:13 0
18 *421:6 *6524:A 0
19 *1259:10 *6524:A 8.85525e-05
20 *1259:25 *6524:A 7.24155e-05
*RES
1 *6805:Q *1260:8 18.7989
2 *1260:8 *1260:13 10.9348
3 *1260:13 *6524:A 25.0642
4 *1260:13 *6511:A 12.7456
5 *1260:8 *6510:B1 13.7491
*END
*D_NET *1261 0.00588058
*CONN
*I *6519:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6518:A I *D sky130_fd_sc_hd__or3_1
*I *6514:A I *D sky130_fd_sc_hd__inv_2
*I *6529:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6505:A3 I *D sky130_fd_sc_hd__o31a_1
*I *6806:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6519:A2 0.000151533
2 *6518:A 0
3 *6514:A 0.000131523
4 *6529:B1 0.000789374
5 *6505:A3 0.000157978
6 *6806:Q 0
7 *1261:20 0.00109299
8 *1261:15 0.000501803
9 *1261:6 0.000280149
10 *1261:5 0.000207041
11 *6519:A2 *6519:A1 0.000165521
12 *6519:A2 *1262:14 1.09738e-05
13 *6519:A2 *1262:16 1.69932e-05
14 *6529:B1 *6526:A1 0.000207266
15 *6529:B1 *6529:A2 6.01756e-05
16 *1261:6 *1262:16 5.99947e-05
17 *1261:15 *1262:16 0.000188521
18 *1261:20 *1263:13 4.078e-05
19 *6500:A *1261:20 1.62537e-05
20 *6505:B1 *6505:A3 0.000179996
21 *6505:B1 *6529:B1 0.000547706
22 *6517:A2 *1261:15 0.000149628
23 *6521:A2 *6519:A2 2.04806e-05
24 *6528:B1 *1261:20 0.000148144
25 *6528:C1 *1261:20 0.000148144
26 *6529:C1 *6529:B1 3.68201e-05
27 *414:46 *6519:A2 9.68706e-05
28 *414:46 *1261:6 0.000151741
29 *414:46 *1261:15 3.11725e-05
30 *414:46 *1261:20 5.19205e-05
31 *434:5 *6505:A3 3.62662e-06
32 *938:45 *6529:B1 0.000116971
33 *1082:8 *6529:B1 0.000118485
*RES
1 *6806:Q *1261:5 13.7491
2 *1261:5 *1261:6 2.6625
3 *1261:6 *1261:15 11.284
4 *1261:15 *1261:20 14.5693
5 *1261:20 *6505:A3 12.2151
6 *1261:20 *6529:B1 33.0594
7 *1261:15 *6514:A 12.191
8 *1261:6 *6518:A 13.7491
9 *1261:5 *6519:A2 18.6623
*END
*D_NET *1262 0.00402008
*CONN
*I *6521:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *6519:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6505:A2 I *D sky130_fd_sc_hd__o31a_1
*I *6525:B I *D sky130_fd_sc_hd__xor2_1
*I *6807:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6521:A1 3.26749e-05
2 *6519:A1 2.17984e-05
3 *6505:A2 0
4 *6525:B 0.000201979
5 *6807:Q 0.000179642
6 *1262:16 0.000671354
7 *1262:14 0.000827566
8 *1262:8 0.00054871
9 *6525:B *6505:A1 2.52743e-05
10 *6525:B *6527:A 0.000122378
11 *6525:B *1265:35 0
12 *1262:16 *6505:A1 4.59424e-05
13 *6500:A *1262:16 1.17793e-05
14 *6512:A1 *1262:16 0
15 *6515:A *1262:16 0
16 *6517:A2 *1262:16 5.01668e-05
17 *6519:A2 *6519:A1 0.000165521
18 *6519:A2 *1262:14 1.09738e-05
19 *6519:A2 *1262:16 1.69932e-05
20 *6520:A2 *1262:14 0
21 *6521:A2 *6521:A1 0.000267394
22 *6521:A2 *1262:14 0
23 *6521:B1_N *1262:14 0.000109978
24 *6528:C1 *6525:B 2.85274e-05
25 *6528:C1 *1262:16 0
26 *6807:D *1262:8 0
27 *414:46 *6519:A1 0.000165521
28 *422:13 *1262:16 0
29 *1082:45 *6521:A1 0.000267394
30 *1261:6 *1262:16 5.99947e-05
31 *1261:15 *1262:16 0.000188521
*RES
1 *6807:Q *1262:8 17.135
2 *1262:8 *1262:14 7.71881
3 *1262:14 *1262:16 12.6286
4 *1262:16 *6525:B 19.3507
5 *1262:16 *6505:A2 13.7491
6 *1262:14 *6519:A1 15.5817
7 *1262:8 *6521:A1 16.691
*END
*D_NET *1263 0.00587181
*CONN
*I *6522:A I *D sky130_fd_sc_hd__and2_1
*I *6528:A2 I *D sky130_fd_sc_hd__o221ai_1
*I *6529:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6505:A1 I *D sky130_fd_sc_hd__o31a_1
*I *6808:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6522:A 8.36588e-05
2 *6528:A2 0
3 *6529:A2 0.000345135
4 *6505:A1 0.000406259
5 *6808:Q 0.000486835
6 *1263:15 0.000769586
7 *1263:13 0.000586162
8 *1263:8 0.00113846
9 *1263:13 *6528:B2 3.67708e-05
10 *6500:A *6505:A1 9.0283e-05
11 *6505:B1 *6529:A2 3.42335e-05
12 *6521:A2 *1263:8 0
13 *6521:A2 *1263:13 0
14 *6522:B *6522:A 5.08751e-05
15 *6523:A *1263:8 1.79807e-05
16 *6525:B *6505:A1 2.52743e-05
17 *6526:A2 *1263:13 0
18 *6528:A1 *6529:A2 0.000517234
19 *6528:A1 *1263:15 1.09551e-05
20 *6529:B1 *6529:A2 6.01756e-05
21 *6529:C1 *6529:A2 0.00013829
22 *6530:A1 *6529:A2 3.92275e-05
23 *6530:A2 *6529:A2 9.63356e-05
24 *6568:A *1263:13 0
25 *6806:D *1263:13 0
26 *371:24 *6522:A 0.000203882
27 *414:46 *1263:13 0
28 *427:8 *1263:13 0
29 *427:20 *6505:A1 5.56819e-05
30 *427:20 *6529:A2 9.82896e-06
31 *427:20 *1263:13 2.65831e-05
32 *432:5 *6522:A 6.08467e-05
33 *434:5 *6505:A1 2.99287e-05
34 *440:8 *6529:A2 9.06596e-05
35 *904:60 *6522:A 0.000156562
36 *938:45 *1263:13 0
37 *1082:8 *6529:A2 2.53624e-06
38 *1082:17 *1263:13 0
39 *1082:24 *1263:13 0
40 *1082:38 *1263:8 0.000214838
41 *1261:20 *1263:13 4.078e-05
42 *1262:16 *6505:A1 4.59424e-05
*RES
1 *6808:Q *1263:8 22.6811
2 *1263:8 *1263:13 18.6825
3 *1263:13 *1263:15 0.578717
4 *1263:15 *6505:A1 28.3197
5 *1263:15 *6529:A2 30.0554
6 *1263:13 *6528:A2 9.24915
7 *1263:8 *6522:A 18.9094
*END
*D_NET *1264 0.00602825
*CONN
*I *6527:A I *D sky130_fd_sc_hd__xnor2_1
*I *6501:B I *D sky130_fd_sc_hd__or2_1
*I *6534:B I *D sky130_fd_sc_hd__nand2_1
*I *6532:A I *D sky130_fd_sc_hd__nand2_1
*I *6533:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6809:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6527:A 0.000821347
2 *6501:B 0
3 *6534:B 0
4 *6532:A 9.21951e-05
5 *6533:A1 0.000250749
6 *6809:Q 0
7 *1264:37 0.0010594
8 *1264:30 0.000395247
9 *1264:17 0.000441613
10 *1264:5 0.000442969
11 *6527:A *6525:A 9.39633e-05
12 *1264:30 *1265:8 0
13 *1264:37 *6525:A 0
14 *6525:B *6527:A 0.000122378
15 *6526:C1 *6527:A 0.000164829
16 *6528:C1 *6527:A 0.000116
17 *6532:B *6532:A 1.41976e-05
18 *6532:B *1264:17 5.41377e-05
19 *6532:B *1264:30 7.86847e-05
20 *6533:A2 *6533:A1 0.000414819
21 *6533:B1 *6533:A1 0
22 *6533:B1 *1264:17 0
23 *6813:CLK *1264:17 0.00026821
24 *6813:CLK *1264:30 0.000116454
25 *400:31 *1264:30 0.000189496
26 *409:25 *6532:A 3.81056e-05
27 *416:10 *1264:37 4.11983e-05
28 *938:45 *6527:A 0.000142192
29 *938:45 *6533:A1 4.27148e-05
30 *938:45 *1264:17 0.000205324
31 *938:45 *1264:30 3.1741e-05
32 *938:45 *1264:37 0.000181677
33 *1095:90 *1264:17 0.000196638
34 *1138:9 *6533:A1 1.19721e-05
*RES
1 *6809:Q *1264:5 13.7491
2 *1264:5 *6533:A1 21.1754
3 *1264:5 *1264:17 8.89128
4 *1264:17 *6532:A 16.1846
5 *1264:17 *1264:30 5.98452
6 *1264:30 *6534:B 13.7491
7 *1264:30 *1264:37 7.23027
8 *1264:37 *6501:B 13.7491
9 *1264:37 *6527:A 36.0047
*END
*D_NET *1265 0.00412599
*CONN
*I *6528:B2 I *D sky130_fd_sc_hd__o221ai_1
*I *6526:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6501:A I *D sky130_fd_sc_hd__or2_1
*I *6535:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6534:A I *D sky130_fd_sc_hd__nand2_1
*I *6810:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6528:B2 9.11985e-05
2 *6526:B2 5.78691e-05
3 *6501:A 6.73765e-05
4 *6535:B2 2.64597e-05
5 *6534:A 2.60489e-05
6 *6810:Q 4.80296e-05
7 *1265:35 0.000432995
8 *1265:26 0.000466629
9 *1265:17 0.00026762
10 *1265:8 0.000199913
11 *6534:A *1267:27 0.000171288
12 *1265:8 *1267:27 3.31733e-05
13 *1265:17 *1267:27 0.000181333
14 *1265:26 *6525:A 0.000189496
15 *1265:26 *1267:27 3.31882e-05
16 *1265:35 *6525:A 0.000358574
17 *6505:B1 *6526:B2 1.00981e-05
18 *6525:B *1265:35 0
19 *6526:A2 *6528:B2 0
20 *6526:B1 *6526:B2 0.000223381
21 *6526:C1 *6528:B2 9.35753e-06
22 *6526:C1 *1265:35 3.51113e-05
23 *6528:B1 *6528:B2 0.000235007
24 *6528:B1 *1265:35 3.31882e-05
25 *6528:C1 *1265:35 1.29348e-05
26 *6529:C1 *6526:B2 7.25122e-05
27 *6529:C1 *6528:B2 0.000120584
28 *6535:A1 *6535:B2 7.48633e-05
29 *6535:A3 *6534:A 0.000217937
30 *6535:A3 *1265:8 1.19721e-05
31 *400:31 *6534:A 9.82896e-06
32 *400:31 *1265:8 6.92705e-05
33 *403:31 *6535:B2 7.48633e-05
34 *416:10 *6501:A 9.18559e-06
35 *902:53 *6501:A 0.000217937
36 *1263:13 *6528:B2 3.67708e-05
37 *1264:30 *1265:8 0
*RES
1 *6810:Q *1265:8 15.474
2 *1265:8 *6534:A 16.1364
3 *1265:8 *1265:17 3.493
4 *1265:17 *6535:B2 15.5817
5 *1265:17 *1265:26 3.90826
6 *1265:26 *6501:A 16.1364
7 *1265:26 *1265:35 8.89128
8 *1265:35 *6526:B2 16.7211
9 *1265:35 *6528:B2 18.0727
*END
*D_NET *1266 0.00366175
*CONN
*I *6526:A1 I *D sky130_fd_sc_hd__a221o_1
*I *6502:A I *D sky130_fd_sc_hd__inv_2
*I *6540:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6811:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6526:A1 0.000511505
2 *6502:A 0.000181866
3 *6540:A1 0.000142382
4 *6811:Q 0
5 *1266:6 0.000372661
6 *1266:5 0.000559918
7 *6505:B1 *6526:A1 9.60216e-05
8 *6526:A2 *6526:A1 6.75939e-05
9 *6529:B1 *6526:A1 0.000207266
10 *6529:B2 *6502:A 7.8874e-05
11 *6529:B2 *6526:A1 0.000121536
12 *6529:B2 *1266:6 7.50872e-05
13 *6529:C1 *6526:A1 8.96122e-05
14 *6542:B *6540:A1 0.000143032
15 *6542:B *1266:6 5.92342e-05
16 *7086:A *6526:A1 0.000307037
17 *371:8 *6526:A1 6.02988e-05
18 *371:8 *6540:A1 9.2346e-06
19 *371:8 *1266:6 2.82537e-05
20 *403:22 *6540:A1 6.50727e-05
21 *403:31 *6540:A1 0.000107496
22 *417:5 *6502:A 2.16355e-05
23 *440:8 *6526:A1 1.90218e-05
24 *441:7 *6540:A1 4.56667e-05
25 *593:31 *6540:A1 2.04661e-05
26 *593:31 *1266:6 1.72799e-05
27 *902:46 *6502:A 6.08467e-05
28 *902:46 *6540:A1 0.000123582
29 *1082:8 *6526:A1 6.92705e-05
*RES
1 *6811:Q *1266:5 13.7491
2 *1266:5 *1266:6 2.24725
3 *1266:6 *6540:A1 19.2141
4 *1266:6 *6502:A 18.3789
5 *1266:5 *6526:A1 35.485
*END
*D_NET *1267 0.00873103
*CONN
*I *6545:A I *D sky130_fd_sc_hd__nand2_1
*I *6549:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6525:A I *D sky130_fd_sc_hd__xor2_1
*I *6504:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *6544:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6812:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6545:A 0.000204815
2 *6549:A1 4.0346e-05
3 *6525:A 0.000357304
4 *6504:A_N 2.99641e-05
5 *6544:B1 0
6 *6812:Q 0
7 *1267:27 0.000737318
8 *1267:19 0.000645358
9 *1267:10 0.000779937
10 *1267:4 0.00072979
11 *6526:C1 *6525:A 7.18205e-05
12 *6527:A *6525:A 9.39633e-05
13 *6528:C1 *6525:A 3.14978e-05
14 *6534:A *1267:27 0.000171288
15 *6535:A1 *6504:A_N 2.44829e-05
16 *6535:A3 *1267:27 2.41483e-05
17 *6544:A2 *1267:10 1.07248e-05
18 *6544:A2 *1267:19 9.98029e-06
19 *6545:B *6545:A 0.000183171
20 *6547:A *6545:A 0.000266918
21 *6547:C *6545:A 3.31882e-05
22 *6548:A *6545:A 1.79807e-05
23 *6549:A3 *6549:A1 9.75243e-05
24 *6549:A3 *1267:27 0.000167076
25 *6551:B1 *1267:19 0.000315176
26 *6551:C1 *1267:19 1.87469e-05
27 *7099:A *6545:A 0.000466359
28 *371:8 *6545:A 0
29 *400:31 *1267:27 0.000139747
30 *403:31 *6504:A_N 0.000113968
31 *414:16 *6545:A 0
32 *416:10 *6525:A 3.77804e-05
33 *416:10 *1267:27 3.63743e-05
34 *419:14 *6549:A1 1.41689e-05
35 *419:14 *1267:27 0.000170977
36 *441:13 *6549:A1 6.50727e-05
37 *443:20 *1267:27 0.00039502
38 *446:8 *1267:19 6.08697e-06
39 *446:10 *1267:19 3.57612e-05
40 *456:11 *1267:10 4.87198e-05
41 *589:15 *6549:A1 9.14669e-05
42 *589:15 *1267:27 1.92172e-05
43 *593:31 *1267:10 2.75563e-05
44 *593:31 *1267:19 0.000576792
45 *947:19 *1267:10 0.000627675
46 *1264:37 *6525:A 0
47 *1265:8 *1267:27 3.31733e-05
48 *1265:17 *1267:27 0.000181333
49 *1265:26 *6525:A 0.000189496
50 *1265:26 *1267:27 3.31882e-05
51 *1265:35 *6525:A 0.000358574
*RES
1 *6812:Q *1267:4 9.24915
2 *1267:4 *1267:10 14.8659
3 *1267:10 *6544:B1 13.7491
4 *1267:10 *1267:19 15.0523
5 *1267:19 *1267:27 19.7227
6 *1267:27 *6504:A_N 15.0271
7 *1267:27 *6525:A 26.4127
8 *1267:19 *6549:A1 11.6364
9 *1267:4 *6545:A 27.875
*END
*D_NET *1268 0.00121011
*CONN
*I *6503:A I *D sky130_fd_sc_hd__inv_2
*I *6549:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6813:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6503:A 0
2 *6549:B1 7.43799e-05
3 *6813:Q 0.00018239
4 *1268:10 0.00025677
5 *6551:A1 *1268:10 0
6 *400:15 *1268:10 4.69326e-06
7 *414:16 *1268:10 0.000144546
8 *418:7 *6549:B1 0.000171288
9 *419:14 *6549:B1 0.000108266
10 *441:13 *6549:B1 0.000167076
11 *589:15 *6549:B1 0.000100705
*RES
1 *6813:Q *1268:10 22.1896
2 *1268:10 *6549:B1 13.8548
3 *1268:10 *6503:A 9.24915
*END
*D_NET *1269 0.0038286
*CONN
*I *6559:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6560:A1 I *D sky130_fd_sc_hd__o211ai_1
*I *6580:B I *D sky130_fd_sc_hd__xnor2_1
*I *6562:B I *D sky130_fd_sc_hd__or2_1
*I *6561:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6814:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6559:B1 2.87989e-05
2 *6560:A1 0.000148646
3 *6580:B 0.000188498
4 *6562:B 3.58314e-05
5 *6561:A1 0
6 *6814:Q 5.16357e-05
7 *1269:26 0.000450837
8 *1269:23 0.000199229
9 *1269:12 0.000245706
10 *1269:7 0.000318248
11 *6562:B *6561:B1 0.0002817
12 *6562:B *1271:5 6.75302e-05
13 *6580:B *6580:A 0
14 *1269:12 *6575:A 0
15 *6530:C1 *6580:B 0.000175485
16 *6560:B1 *6560:A1 0.00047703
17 *6568:B *6580:B 8.62625e-06
18 *6568:B *1269:12 0
19 *6568:B *1269:26 0.00018643
20 *462:35 *1269:12 0
21 *596:22 *6559:B1 2.16355e-05
22 *596:22 *1269:7 2.16355e-05
23 *596:22 *1269:23 6.99486e-05
24 *904:60 *6562:B 0.000436825
25 *947:76 *6580:B 0
26 *1083:6 *6580:B 0
27 *1083:26 *6580:B 0
28 *1083:26 *1269:12 0.000414323
29 *1083:26 *1269:26 0
*RES
1 *6814:Q *1269:7 10.5513
2 *1269:7 *1269:12 16.2303
3 *1269:12 *6561:A1 9.24915
4 *1269:12 *6562:B 13.8548
5 *1269:7 *1269:23 1.8326
6 *1269:23 *1269:26 7.993
7 *1269:26 *6580:B 19.0748
8 *1269:26 *6560:A1 18.9094
9 *1269:23 *6559:B1 9.97254
*END
*D_NET *1270 0.00277291
*CONN
*I *6562:A I *D sky130_fd_sc_hd__or2_1
*I *6561:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6575:A I *D sky130_fd_sc_hd__inv_2
*I *6815:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6562:A 0
2 *6561:B1 5.23357e-05
3 *6575:A 0.000388762
4 *6815:Q 0.000244048
5 *1270:21 5.23357e-05
6 *1270:8 0.00063281
7 *6561:B1 *1271:5 0.000383703
8 *6562:B *6561:B1 0.0002817
9 *6563:B1 *6575:A 0.000163912
10 *6564:B *1270:8 0
11 *6567:A2 *6575:A 1.43848e-05
12 *6571:B1 *1270:8 0.000118568
13 *6815:D *1270:8 0
14 *462:35 *6575:A 3.58185e-05
15 *467:20 *6575:A 1.03403e-05
16 *467:20 *1270:8 9.22013e-06
17 *904:55 *6575:A 0.000190042
18 *904:55 *1270:8 3.31733e-05
19 *904:60 *6561:B1 4.33655e-05
20 *1083:41 *1270:8 6.50727e-05
21 *1116:17 *6575:A 5.33123e-05
22 *1269:12 *6575:A 0
*RES
1 *6815:Q *1270:8 20.0418
2 *1270:8 *6575:A 25.1995
3 *1270:8 *1270:21 4.5
4 *1270:21 *6561:B1 13.8789
5 *1270:21 *6562:A 9.24915
*END
*D_NET *1271 0.00589238
*CONN
*I *6565:A I *D sky130_fd_sc_hd__inv_2
*I *6554:C I *D sky130_fd_sc_hd__nor3_1
*I *6582:B1 I *D sky130_fd_sc_hd__a221o_1
*I *6570:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6569:A I *D sky130_fd_sc_hd__or3_1
*I *6816:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6565:A 2.19722e-05
2 *6554:C 6.31815e-05
3 *6582:B1 0.000256386
4 *6570:A2 0
5 *6569:A 2.06324e-05
6 *6816:Q 0.000430229
7 *1271:33 8.51537e-05
8 *1271:22 0.000637442
9 *1271:13 0.000687622
10 *1271:5 0.000757427
11 *6554:C *6554:A 6.50586e-05
12 *6554:C *1273:18 6.08467e-05
13 *6565:A *1273:18 0.000150646
14 *6582:B1 *6576:A 0
15 *6582:B1 *6582:A2 0.000348411
16 *6582:B1 *1272:12 0
17 *1271:22 *1272:12 0
18 *6555:A2 *6554:C 4.30017e-06
19 *6561:A2 *1271:5 0.000330596
20 *6561:B1 *1271:5 0.000383703
21 *6562:B *1271:5 6.75302e-05
22 *6569:B *1271:5 0.000517234
23 *6569:C *1271:5 2.15348e-05
24 *6571:A2 *1271:5 1.41291e-05
25 *6571:A2 *1271:13 0.000145592
26 *6577:B1 *6582:B1 7.52542e-05
27 *6577:B1 *1271:22 4.70005e-05
28 *6582:B2 *6582:B1 3.67528e-06
29 *466:5 *1271:5 9.40969e-05
30 *468:13 *6554:C 1.00981e-05
31 *596:22 *6554:C 0.000271044
32 *596:22 *6565:A 0.000164843
33 *904:60 *1271:5 0.000156742
*RES
1 *6816:Q *1271:5 26.056
2 *1271:5 *6569:A 9.82786
3 *1271:5 *1271:13 7.93324
4 *1271:13 *6570:A2 9.24915
5 *1271:13 *1271:22 13.4263
6 *1271:22 *6582:B1 21.297
7 *1271:22 *1271:33 4.5
8 *1271:33 *6554:C 12.191
9 *1271:33 *6565:A 11.0817
*END
*D_NET *1272 0.00393191
*CONN
*I *6572:A1 I *D sky130_fd_sc_hd__a21bo_1
*I *6570:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6554:B I *D sky130_fd_sc_hd__nor3_1
*I *6576:B I *D sky130_fd_sc_hd__xor2_1
*I *6817:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6572:A1 0.000143476
2 *6570:A1 0
3 *6554:B 0
4 *6576:B 0.000359613
5 *6817:Q 0.000549569
6 *1272:12 0.000637449
7 *1272:10 0.000412427
8 *1272:8 0.000827637
9 *6576:B *6576:A 5.07314e-05
10 *6576:B *6581:B2 4.89898e-06
11 *6576:B *6582:A2 8.92568e-06
12 *1272:12 *6582:A2 1.2601e-05
13 *6574:A *1272:8 0
14 *6574:A *1272:10 0
15 *6577:B1 *6576:B 0.000211478
16 *6577:C1 *6576:B 9.961e-05
17 *6582:B1 *1272:12 0
18 *6818:D *1272:10 0
19 *468:13 *6576:B 0.000132646
20 *468:15 *6576:B 6.03708e-06
21 *1083:47 *6572:A1 0.000266846
22 *1116:8 *1272:8 7.40982e-05
23 *1116:8 *1272:10 5.7995e-05
24 *1116:17 *1272:10 8.92568e-06
25 *1116:17 *1272:12 6.69479e-05
26 *1271:22 *1272:12 0
*RES
1 *6817:Q *1272:8 23.7875
2 *1272:8 *1272:10 3.90826
3 *1272:10 *1272:12 7.23027
4 *1272:12 *6576:B 23.9537
5 *1272:12 *6554:B 13.7491
6 *1272:10 *6570:A1 13.7491
7 *1272:8 *6572:A1 17.8002
*END
*D_NET *1273 0.00528835
*CONN
*I *6573:A I *D sky130_fd_sc_hd__and2_1
*I *6582:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6554:A I *D sky130_fd_sc_hd__nor3_1
*I *6581:A2 I *D sky130_fd_sc_hd__o221ai_1
*I *6818:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6573:A 0.000599861
2 *6582:A2 0.000161851
3 *6554:A 1.26553e-05
4 *6581:A2 0.000333395
5 *6818:Q 0
6 *1273:18 0.000360232
7 *1273:6 0.000949696
8 *1273:5 0.00103044
9 *6581:A2 *6576:A 0
10 *6582:A2 *6577:A1 1.2693e-05
11 *6582:A2 *1275:38 0.000122083
12 *6554:C *6554:A 6.50586e-05
13 *6554:C *1273:18 6.08467e-05
14 *6565:A *1273:18 0.000150646
15 *6573:B *6573:A 5.56461e-05
16 *6574:A *6573:A 4.26431e-05
17 *6574:A *1273:6 0
18 *6576:B *6582:A2 8.92568e-06
19 *6581:A1 *6581:A2 5.07314e-05
20 *6581:B1 *6581:A2 0.000372379
21 *6581:B1 *1273:6 0.00013592
22 *6581:C1 *6582:A2 1.67329e-05
23 *6582:B1 *6582:A2 0.000348411
24 *6739:CLK *1273:6 0
25 *6739:D *1273:6 0
26 *468:13 *6554:A 2.65667e-05
27 *468:13 *6582:A2 5.04734e-05
28 *468:13 *1273:18 0.000103022
29 *588:33 *6573:A 0
30 *588:33 *1273:6 0
31 *596:22 *1273:18 0.000204843
32 *830:67 *6573:A 0
33 *830:67 *6581:A2 0
34 *830:67 *1273:6 0
35 *1272:12 *6582:A2 1.2601e-05
*RES
1 *6818:Q *1273:5 13.7491
2 *1273:5 *1273:6 11.3828
3 *1273:6 *6581:A2 22.6727
4 *1273:6 *1273:18 11.8786
5 *1273:18 *6554:A 9.97254
6 *1273:18 *6582:A2 24.8478
7 *1273:5 *6573:A 28.7761
*END
*D_NET *1274 0.00707057
*CONN
*I *6585:A I *D sky130_fd_sc_hd__nand2_1
*I *6587:B I *D sky130_fd_sc_hd__nand2_1
*I *6580:A I *D sky130_fd_sc_hd__xnor2_1
*I *6552:B I *D sky130_fd_sc_hd__or2_1
*I *6586:A1 I *D sky130_fd_sc_hd__o211a_1
*I *6819:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6585:A 0.000109404
2 *6587:B 0.000195797
3 *6580:A 0.000357501
4 *6552:B 0
5 *6586:A1 0.000210539
6 *6819:Q 0
7 *1274:32 0.00081438
8 *1274:23 0.000401248
9 *1274:19 0.000293879
10 *1274:5 0.000254847
11 *1274:32 *6552:A 2.39535e-05
12 *6580:B *6580:A 0
13 *6586:A2 *6586:A1 3.25348e-05
14 *6586:B1 *6586:A1 3.63358e-05
15 *6586:C1 *6586:A1 0.000264586
16 *6674:A1 *1274:32 0.000226281
17 *6674:A2 *1274:32 3.54138e-05
18 *7075:A *6585:A 0.000216336
19 *7075:A *1274:23 7.89747e-05
20 *367:41 *1274:32 0
21 *402:18 *6586:A1 0.000268165
22 *402:18 *1274:19 0.000111358
23 *403:22 *1274:23 0.000195139
24 *403:22 *1274:32 0.000226281
25 *403:59 *6585:A 0.000505283
26 *403:59 *1274:23 0.000160617
27 *456:27 *6586:A1 0.000189575
28 *591:53 *6580:A 0.000360159
29 *591:53 *6587:B 0.000742567
30 *830:24 *6586:A1 0.000268165
31 *830:24 *1274:19 0.000122083
32 *902:28 *1274:23 8.36326e-05
33 *904:55 *1274:32 0.000110148
34 *947:76 *6580:A 0.00017538
35 *1083:6 *6580:A 0
*RES
1 *6819:Q *1274:5 13.7491
2 *1274:5 *6586:A1 24.2268
3 *1274:5 *1274:19 6.74725
4 *1274:19 *1274:23 10.3802
5 *1274:23 *6552:B 13.7491
6 *1274:23 *1274:32 15.0523
7 *1274:32 *6580:A 29.1152
8 *1274:32 *6587:B 17.1824
9 *1274:19 *6585:A 15.5427
*END
*D_NET *1275 0.00605169
*CONN
*I *6581:B2 I *D sky130_fd_sc_hd__o221ai_1
*I *6577:B2 I *D sky130_fd_sc_hd__a221o_1
*I *6587:A I *D sky130_fd_sc_hd__nand2_1
*I *6588:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6552:A I *D sky130_fd_sc_hd__or2_1
*I *6820:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6581:B2 0.000454005
2 *6577:B2 3.36671e-05
3 *6587:A 0
4 *6588:B2 0.00012963
5 *6552:A 0.000167044
6 *6820:Q 0
7 *1275:38 0.000899589
8 *1275:27 0.000641886
9 *1275:17 0.000854592
10 *1275:4 0.000662035
11 *6581:B2 *6576:A 9.3598e-05
12 *1275:38 *6577:A1 0.000284048
13 *1275:38 *1276:16 0.000212506
14 *6553:D *6588:B2 5.93521e-05
15 *6553:D *1275:27 1.72464e-05
16 *6555:A1 *1275:27 0
17 *6576:B *6581:B2 4.89898e-06
18 *6577:B1 *6577:B2 4.85268e-06
19 *6577:B1 *6581:B2 1.01843e-05
20 *6577:B1 *1275:38 1.81817e-05
21 *6577:C1 *6577:B2 0
22 *6577:C1 *6581:B2 0.000128091
23 *6581:A1 *6581:B2 3.66052e-05
24 *6581:B1 *6581:B2 9.75356e-05
25 *6581:C1 *6581:B2 1.03434e-05
26 *6582:A2 *1275:38 0.000122083
27 *6582:B2 *1275:38 0
28 *6582:C1 *6581:B2 7.34948e-06
29 *6583:A1 *1275:38 7.77309e-06
30 *6583:A2 *1275:38 1.75838e-05
31 *6588:A1 *6588:B2 2.25948e-05
32 *6588:A2 *6588:B2 7.01913e-05
33 *6674:A2 *6552:A 0.000278373
34 *367:41 *1275:27 0
35 *403:22 *6552:A 0.000226281
36 *483:8 *6588:B2 1.45944e-05
37 *483:8 *1275:27 8.06348e-05
38 *483:8 *1275:38 4.70005e-05
39 *486:8 *1275:38 4.65396e-05
40 *555:6 *1275:27 0
41 *591:53 *1275:38 0.000266846
42 *1274:32 *6552:A 2.39535e-05
*RES
1 *6820:Q *1275:4 9.24915
2 *1275:4 *6552:A 24.2659
3 *1275:4 *1275:17 11.324
4 *1275:17 *6588:B2 18.4879
5 *1275:17 *1275:27 10.4845
6 *1275:27 *6587:A 9.24915
7 *1275:27 *1275:38 22.1051
8 *1275:38 *6577:B2 10.2378
9 *1275:38 *6581:B2 27.6587
*END
*D_NET *1276 0.00432201
*CONN
*I *6593:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6553:C I *D sky130_fd_sc_hd__or4_2
*I *6579:A I *D sky130_fd_sc_hd__inv_2
*I *6577:A1 I *D sky130_fd_sc_hd__a221o_1
*I *6821:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6593:A1 0.000133428
2 *6553:C 0
3 *6579:A 0.000175695
4 *6577:A1 0.00015477
5 *6821:Q 0.000126245
6 *1276:16 0.000515202
7 *1276:11 0.000332873
8 *1276:9 0.000407808
9 *1276:11 *6553:A 0.000266846
10 *1276:11 *6553:B 1.92172e-05
11 *1276:11 *6576:A 8.64351e-05
12 *6582:A2 *6577:A1 1.2693e-05
13 *6582:B2 *6579:A 1.92926e-05
14 *6582:B2 *1276:16 0
15 *6583:A1 *6577:A1 0.000208884
16 *6583:A1 *6579:A 7.7434e-05
17 *6583:A1 *1276:16 5.56367e-05
18 *6583:A2 *6577:A1 9.60216e-05
19 *6592:A *1276:16 0.000200236
20 *6593:B2 *6593:A1 4.11983e-05
21 *6595:B *6593:A1 2.7645e-05
22 *6595:B *1276:9 0.000153013
23 *6595:B *1276:11 5.0715e-05
24 *6596:A *6593:A1 0
25 *458:5 *1276:11 0.000164829
26 *478:17 *1276:16 0
27 *483:8 *1276:16 2.04012e-05
28 *486:8 *1276:16 5.04734e-05
29 *830:54 *1276:11 4.17142e-05
30 *830:67 *1276:9 0.00016881
31 *830:67 *1276:11 0.000217937
32 *1275:38 *6577:A1 0.000284048
33 *1275:38 *1276:16 0.000212506
*RES
1 *6821:Q *1276:9 14.0477
2 *1276:9 *1276:11 7.37864
3 *1276:11 *1276:16 16.2303
4 *1276:16 *6577:A1 25.0964
5 *1276:16 *6579:A 13.7342
6 *1276:11 *6553:C 9.24915
7 *1276:9 *6593:A1 21.3269
*END
*D_NET *1277 0.00621391
*CONN
*I *6576:A I *D sky130_fd_sc_hd__xor2_1
*I *6553:B I *D sky130_fd_sc_hd__or4_2
*I *6602:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6597:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6598:A I *D sky130_fd_sc_hd__nand2_1
*I *6822:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6576:A 0.000592844
2 *6553:B 0.000107325
3 *6602:A1 0
4 *6597:B1 0.00025147
5 *6598:A 0
6 *6822:Q 0.000321156
7 *1277:43 0.00101055
8 *1277:34 0.000370885
9 *1277:19 0.000436832
10 *1277:12 0.000446019
11 *1277:34 *1278:18 1.79196e-05
12 *1277:43 *6553:A 0.000155394
13 *1277:43 *1278:18 6.9507e-05
14 *6576:B *6576:A 5.07314e-05
15 *6581:A2 *6576:A 0
16 *6581:B1 *6576:A 0
17 *6581:B2 *6576:A 9.3598e-05
18 *6582:B1 *6576:A 0
19 *6582:B2 *6576:A 0
20 *6592:A *1277:43 5.23713e-05
21 *6593:B2 *6576:A 2.652e-05
22 *6597:A2 *6597:B1 0.00042745
23 *6598:B *6597:B1 7.77309e-06
24 *6598:B *1277:12 1.77537e-06
25 *6598:B *1277:19 1.14755e-05
26 *6600:B *6597:B1 0
27 *6600:B *1277:12 0
28 *6603:A1 *6597:B1 5.04829e-06
29 *6603:A1 *1277:19 1.50262e-05
30 *6603:A1 *1277:34 4.10961e-05
31 *6603:A2 *6597:B1 0.000193358
32 *6603:A2 *1277:12 0
33 *6603:C1 *6597:B1 8.62625e-06
34 *6823:D *1277:19 2.68928e-05
35 *456:54 *6597:B1 2.77625e-06
36 *458:5 *6553:B 0.00011818
37 *478:17 *6576:A 0.00045258
38 *478:17 *1277:43 1.75625e-05
39 *484:8 *1277:34 3.67708e-05
40 *484:8 *1277:43 0.000367329
41 *484:13 *1277:19 0.000165481
42 *484:13 *1277:34 0.000144614
43 *489:10 *6597:B1 7.77309e-06
44 *830:9 *1277:12 5.35406e-05
45 *1276:11 *6553:B 1.92172e-05
46 *1276:11 *6576:A 8.64351e-05
*RES
1 *6822:Q *1277:12 20.2572
2 *1277:12 *6598:A 13.7491
3 *1277:12 *1277:19 3.90826
4 *1277:19 *6597:B1 30.1889
5 *1277:19 *1277:34 3.07775
6 *1277:34 *6602:A1 13.7491
7 *1277:34 *1277:43 15.4675
8 *1277:43 *6553:B 11.0817
9 *1277:43 *6576:A 34.6823
*END
*D_NET *1278 0.00258701
*CONN
*I *6553:A I *D sky130_fd_sc_hd__or4_2
*I *6578:A I *D sky130_fd_sc_hd__inv_2
*I *6602:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6823:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6553:A 0.000205268
2 *6578:A 0
3 *6602:B1 2.37273e-05
4 *6823:Q 0.000287846
5 *1278:18 0.000290841
6 *1278:9 0.000397146
7 *6603:A1 *6553:A 8.37812e-05
8 *6603:A1 *1278:9 5.93657e-05
9 *6603:A1 *1278:18 0.000190057
10 *6823:D *1278:9 2.12377e-05
11 *7075:A *6602:B1 2.65667e-05
12 *7075:A *1278:9 8.65358e-05
13 *478:17 *6553:A 0.000294273
14 *830:54 *6553:A 0.000110701
15 *1066:20 *1278:9 0
16 *1276:11 *6553:A 0.000266846
17 *1277:34 *1278:18 1.79196e-05
18 *1277:43 *6553:A 0.000155394
19 *1277:43 *1278:18 6.9507e-05
*RES
1 *6823:Q *1278:9 26.2056
2 *1278:9 *6602:B1 9.97254
3 *1278:9 *1278:18 7.993
4 *1278:18 *6578:A 13.7491
5 *1278:18 *6553:A 23.506
*END
*D_NET *1279 0.000822522
*CONN
*I *7007:A I *D sky130_fd_sc_hd__ebufn_8
*I *6145:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7007:A 0.000368746
2 *6145:X 0.000368746
3 *7007:TE_B *7007:A 0
4 *600:23 *7007:A 8.50305e-05
*RES
1 *6145:X *7007:A 36.9792
*END
*D_NET *1280 0.000984151
*CONN
*I *7008:A I *D sky130_fd_sc_hd__ebufn_8
*I *6147:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7008:A 0.000341473
2 *6147:X 0.000341473
3 *7008:A *7010:A 4.28856e-07
4 *5943:A *7008:A 0
5 *6146:A *7008:A 0.000127179
6 *6150:A *7008:A 0
7 *7008:TE_B *7008:A 0.000173598
8 *603:52 *7008:A 0
*RES
1 *6147:X *7008:A 37.113
*END
*D_NET *1281 0.0330329
*CONN
*I *7009:A I *D sky130_fd_sc_hd__ebufn_8
*I *6149:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *7009:A 7.48676e-05
2 *6149:X 0
3 *1281:25 0.00480749
4 *1281:24 0.00478496
5 *1281:19 0.00451673
6 *1281:17 0.00518652
7 *1281:11 0.00343941
8 *1281:4 0.00271728
9 *1281:19 *1317:7 3.28898e-06
10 *1281:19 *1317:30 3.04269e-05
11 *1281:19 *1317:50 0.000433031
12 *5994:A1 *1281:17 8.01837e-05
13 *6014:A2 *1281:17 4.30017e-06
14 *6014:A2 *1281:19 4.91225e-06
15 *6014:B1 *1281:17 1.09551e-05
16 *6014:B1 *1281:19 0.000848781
17 *6014:C1 *1281:17 1.62073e-05
18 *6015:A1_N *1281:17 0.000336757
19 *6015:A2_N *1281:17 0.000317721
20 *6015:B1 *1281:17 0.000217937
21 *6015:B2 *1281:17 0.00012701
22 *6018:A *1281:11 0.000152239
23 *6022:A2 *1281:11 6.50586e-05
24 *6149:A *1281:11 4.26566e-05
25 *6451:C *1281:24 7.14746e-05
26 *6457:A *1281:25 2.01874e-05
27 *6457:B *1281:25 0.000161298
28 *6838:CLK *1281:19 0.000321972
29 *6838:D *1281:19 0.00058844
30 *6840:CLK *1281:19 0.000469811
31 *6841:CLK *1281:19 0.00104255
32 *6841:D *1281:19 6.67095e-06
33 *6855:CLK *1281:11 2.65831e-05
34 *6855:D *1281:11 2.30431e-05
35 *589:61 *7009:A 5.88662e-05
36 *597:6 *7009:A 0
37 *938:11 *1281:25 3.63947e-05
38 *938:22 *1281:24 0
39 *1089:98 *1281:19 0.000736108
40 *1217:26 *1281:11 0.000129031
41 *1217:26 *1281:17 0.000128059
42 *1217:40 *1281:11 0.000917098
43 *1244:16 *1281:17 7.65861e-05
*RES
1 *6149:X *1281:4 9.24915
2 *1281:4 *1281:11 49.7846
3 *1281:11 *1281:17 26.0754
4 *1281:17 *1281:19 73.9311
5 *1281:19 *1281:24 10.4167
6 *1281:24 *1281:25 68.9396
7 *1281:25 *7009:A 20.4964
*END
*D_NET *1282 0.00210584
*CONN
*I *7010:A I *D sky130_fd_sc_hd__ebufn_8
*I *6151:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7010:A 0.000816674
2 *6151:X 0.000816674
3 *5944:A *7010:A 0.0002212
4 *6150:B *7010:A 2.16355e-05
5 *6151:A *7010:A 0.000128091
6 *6152:A *7010:A 0
7 *6153:A *7010:A 0
8 *7008:A *7010:A 4.28856e-07
9 *7010:TE_B *7010:A 6.14128e-05
10 *7011:TE_B *7010:A 0
11 *83:8 *7010:A 3.97254e-05
12 *603:52 *7010:A 0
*RES
1 *6151:X *7010:A 44.3228
*END
*D_NET *1283 0.00101155
*CONN
*I *7011:A I *D sky130_fd_sc_hd__ebufn_8
*I *6153:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7011:A 0.000390394
2 *6153:X 0.000390394
3 *6153:A *7011:A 0.00018806
4 *7011:TE_B *7011:A 4.27003e-05
*RES
1 *6153:X *7011:A 34.9058
*END
*D_NET *1284 0.000511095
*CONN
*I *7012:A I *D sky130_fd_sc_hd__ebufn_8
*I *6155:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7012:A 0.000159422
2 *6155:X 0.000159422
3 *5890:A *7012:A 0.000127179
4 *757:18 *7012:A 6.50727e-05
*RES
1 *6155:X *7012:A 32.1327
*END
*D_NET *1285 0.00135677
*CONN
*I *6223:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6251:B I *D sky130_fd_sc_hd__and4_1
*I *6738:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6223:A 0.000223964
2 *6251:B 0.000185432
3 *6738:Q 0
4 *1285:5 0.000409396
5 *6223:A *1296:27 0
6 *6251:B *1296:18 0
7 *6251:B *1296:27 0
8 *6243:B *6223:A 0
9 *6243:B *6251:B 0
10 *6244:C *6223:A 0
11 *6246:B *6223:A 2.71397e-05
12 *812:6 *6223:A 8.04608e-05
13 *827:25 *6251:B 0.00043038
*RES
1 *6738:Q *1285:5 13.7491
2 *1285:5 *6251:B 19.7715
3 *1285:5 *6223:A 19.3184
*END
*D_NET *1286 0.00334385
*CONN
*I *6277:C I *D sky130_fd_sc_hd__and4_1
*I *6279:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6234:D_N I *D sky130_fd_sc_hd__or4bb_1
*I *6748:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6277:C 0.000130253
2 *6279:A1 0
3 *6234:D_N 0.000328296
4 *6748:Q 0.000389968
5 *1286:23 0.000287792
6 *1286:8 0.000875803
7 *6234:D_N *6234:A 0.000158357
8 *6277:C *1310:10 0
9 *1286:23 *1310:10 0
10 *6272:B1 *1286:8 0
11 *6272:B1 *1286:23 0
12 *6277:D *6277:C 6.92705e-05
13 *6280:B *6277:C 5.66868e-06
14 *6284:C *6234:D_N 2.15348e-05
15 *6748:D *1286:8 0
16 *6748:D *1286:23 0
17 *7076:A *6234:D_N 5.04829e-06
18 *7084:A *6234:D_N 0.000250402
19 *823:11 *1286:23 0
20 *852:16 *1286:23 0
21 *852:46 *1286:23 0
22 *856:9 *6277:C 0.00012568
23 *856:9 *1286:23 1.07248e-05
24 *1072:5 *6234:D_N 0.000685055
*RES
1 *6748:Q *1286:8 20.3233
2 *1286:8 *6234:D_N 26.3362
3 *1286:8 *1286:23 3.07775
4 *1286:23 *6279:A1 13.7491
5 *1286:23 *6277:C 17.6896
*END
*D_NET *1287 0.00154076
*CONN
*I *6234:A I *D sky130_fd_sc_hd__or4bb_1
*I *6281:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6749:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6234:A 0.000148812
2 *6281:A 5.21721e-05
3 *6749:Q 0.000314708
4 *1287:8 0.000515692
5 *6234:D_N *6234:A 0.000158357
6 *6283:A1 *6281:A 7.48797e-05
7 *6283:A2 *6234:A 6.01944e-06
8 *6283:A2 *1287:8 5.22654e-06
9 *6283:B1 *6234:A 0.000139435
10 *6284:C *6234:A 0.000107496
11 *6287:A *6234:A 0
12 *6749:D *1287:8 1.79672e-05
13 *7083:A *1287:8 0
14 *1085:24 *6234:A 0
15 *1085:24 *1287:8 0
*RES
1 *6749:Q *1287:8 19.3535
2 *1287:8 *6281:A 15.5817
3 *1287:8 *6234:A 18.6595
*END
*D_NET *1288 0.00583964
*CONN
*I *6288:B I *D sky130_fd_sc_hd__and4_1
*I *6234:B I *D sky130_fd_sc_hd__or4bb_1
*I *6285:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6284:B I *D sky130_fd_sc_hd__and3_1
*I *6750:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6288:B 2.78033e-05
2 *6234:B 0.000378221
3 *6285:B1 0
4 *6284:B 0.000347452
5 *6750:Q 0.000612746
6 *1288:29 0.000899042
7 *1288:17 0.000609457
8 *1288:8 0.00107664
9 *6234:B *6234:C_N 5.65165e-05
10 *6234:B *1289:19 0.000181333
11 *6284:B *1289:7 0.000373061
12 *6288:B *1289:19 7.50872e-05
13 *6285:A2 *1288:29 7.5032e-05
14 *6286:B *6234:B 2.33193e-05
15 *6286:C *1288:29 0.000629893
16 *6287:A *1288:8 0
17 *6288:D *6234:B 5.41377e-05
18 *6288:D *6288:B 6.79599e-05
19 *6291:B *1288:8 4.10825e-05
20 *6291:B *1288:17 3.04973e-05
21 *6291:B *1288:29 8.62321e-06
22 *6750:D *1288:8 0
23 *6751:D *6284:B 1.53125e-05
24 *6751:D *1288:8 4.27003e-05
25 *7084:A *6234:B 0.000213725
26 *602:61 *1288:8 0
27 *602:61 *1288:17 0
28 *602:61 *1288:29 0
*RES
1 *6750:Q *1288:8 28.4862
2 *1288:8 *6284:B 19.464
3 *1288:8 *1288:17 2.6625
4 *1288:17 *6285:B1 13.7491
5 *1288:17 *1288:29 17.9347
6 *1288:29 *6234:B 21.8478
7 *1288:29 *6288:B 15.1659
*END
*D_NET *1289 0.00282879
*CONN
*I *6290:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6288:C I *D sky130_fd_sc_hd__and4_1
*I *6234:C_N I *D sky130_fd_sc_hd__or4bb_1
*I *6751:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6290:A1 0.000304818
2 *6288:C 0
3 *6234:C_N 8.15411e-05
4 *6751:Q 0.000166531
5 *1289:19 0.000481476
6 *1289:7 0.000424731
7 *6234:B *6234:C_N 5.65165e-05
8 *6234:B *1289:19 0.000181333
9 *6284:A *1289:7 1.43848e-05
10 *6284:B *1289:7 0.000373061
11 *6284:C *6234:C_N 0.0002817
12 *6284:C *1289:7 3.55855e-05
13 *6287:A *6234:C_N 1.50262e-05
14 *6287:A *1289:19 5.68225e-06
15 *6288:B *1289:19 7.50872e-05
16 *6288:D *6290:A1 6.50727e-05
17 *7084:A *6234:C_N 7.34948e-06
18 *852:46 *6290:A1 2.61147e-05
19 *859:37 *6290:A1 0.00011581
20 *861:8 *1289:19 0.000116971
*RES
1 *6751:Q *1289:7 19.464
2 *1289:7 *6234:C_N 17.6924
3 *1289:7 *1289:19 9.65401
4 *1289:19 *6288:C 9.24915
5 *1289:19 *6290:A1 14.8434
*END
*D_NET *1290 0.00140684
*CONN
*I *6228:A I *D sky130_fd_sc_hd__or4bb_1
*I *6292:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6752:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6228:A 0.000129308
2 *6292:A 0.000112274
3 *6752:Q 0.000207295
4 *1290:5 0.000448877
5 *6228:A *6228:B 1.61631e-05
6 *6228:A *6228:D_N 1.92172e-05
7 *6292:A *6228:D_N 7.2401e-05
8 *6228:C_N *6228:A 4.66492e-05
9 *6228:C_N *6292:A 2.95757e-05
10 *6228:C_N *1290:5 6.08467e-05
11 *6229:C *6228:A 1.64789e-05
12 *591:59 *6292:A 2.65831e-05
13 *1087:10 *6292:A 0.00022117
*RES
1 *6752:Q *1290:5 13.8548
2 *1290:5 *6292:A 22.8808
3 *1290:5 *6228:A 12.625
*END
*D_NET *1291 0.00349809
*CONN
*I *6228:D_N I *D sky130_fd_sc_hd__or4bb_1
*I *6302:B I *D sky130_fd_sc_hd__and4_1
*I *6296:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6295:B I *D sky130_fd_sc_hd__and3_1
*I *6753:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6228:D_N 0.000335256
2 *6302:B 0.000106802
3 *6296:B1 0
4 *6295:B 0.000139765
5 *6753:Q 0.000211791
6 *1291:26 0.000528272
7 *1291:19 0.000351462
8 *1291:8 0.000616804
9 *6228:A *6228:D_N 1.92172e-05
10 *6228:C_N *6228:D_N 6.50727e-05
11 *6291:A *1291:8 0
12 *6292:A *6228:D_N 7.2401e-05
13 *6297:A_N *1291:19 0.000149643
14 *6297:C *1291:19 5.1573e-05
15 *6298:A *1291:8 8.07794e-05
16 *6298:A *1291:19 6.25467e-05
17 *6302:C *6302:B 6.50727e-05
18 *6302:D *6302:B 6.50586e-05
19 *6303:A2 *6228:D_N 0
20 *6303:A2 *1291:26 0
21 *6304:B *6228:D_N 0
22 *6305:A *6228:D_N 0
23 *6751:CLK *6228:D_N 1.75625e-05
24 *6751:CLK *1291:8 4.47578e-05
25 *6751:CLK *1291:19 3.3336e-05
26 *6751:CLK *1291:26 2.75292e-05
27 *589:31 *6295:B 0.000319954
28 *869:24 *1291:19 0
29 *869:24 *1291:26 0
30 *874:11 *6228:D_N 0
31 *1085:24 *1291:8 6.92705e-05
32 *1087:10 *6228:D_N 5.43333e-05
33 *1087:20 *6302:B 9.82896e-06
*RES
1 *6753:Q *1291:8 19.6266
2 *1291:8 *6295:B 17.8243
3 *1291:8 *1291:19 7.64553
4 *1291:19 *6296:B1 13.7491
5 *1291:19 *1291:26 2.24725
6 *1291:26 *6302:B 15.5817
7 *1291:26 *6228:D_N 22.6727
*END
*D_NET *1292 0.00448334
*CONN
*I *6229:A I *D sky130_fd_sc_hd__or3_1
*I *6301:B I *D sky130_fd_sc_hd__and2_1
*I *6303:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6300:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6299:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6754:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6229:A 8.31377e-05
2 *6301:B 0.000165895
3 *6303:A1 0
4 *6300:A1 5.51636e-05
5 *6299:A1 7.50524e-05
6 *6754:Q 0.000531791
7 *1292:29 0.000405607
8 *1292:26 0.00059524
9 *1292:17 0.00039568
10 *1292:7 0.00067497
11 *6229:A *6303:B1 0
12 *6301:B *6303:B1 0
13 *1292:26 *6303:B1 0
14 *6299:A2 *6299:A1 6.92705e-05
15 *6300:A2 *6300:A1 6.50586e-05
16 *6300:B1 *6299:A1 0.000189641
17 *6300:B1 *1292:17 0.00024873
18 *6300:B1 *1292:26 3.31882e-05
19 *6302:D *6301:B 3.00073e-05
20 *6302:D *1292:26 0
21 *6303:A2 *6301:B 1.19856e-05
22 *6303:A2 *1292:26 1.14755e-05
23 *6303:A2 *1292:29 5.2472e-05
24 *6304:B *6229:A 0
25 *6304:C *1292:29 0.000171288
26 *6307:B1 *1292:7 4.31324e-05
27 *6754:CLK *1292:17 0.000174175
28 *6754:CLK *1292:26 0.000208976
29 *6754:D *1292:7 9.71182e-06
30 *86:26 *6301:B 0
31 *589:31 *6299:A1 2.41483e-05
32 *818:15 *6229:A 2.82537e-05
33 *818:15 *1292:26 7.38072e-05
34 *869:24 *6299:A1 2.22923e-05
35 *869:24 *1292:17 2.31017e-05
36 *874:19 *6301:B 1.00937e-05
*RES
1 *6754:Q *1292:7 21.6824
2 *1292:7 *6299:A1 16.8591
3 *1292:7 *1292:17 4.32351
4 *1292:17 *6300:A1 15.0271
5 *1292:17 *1292:26 8.06078
6 *1292:26 *1292:29 8.55102
7 *1292:29 *6303:A1 9.24915
8 *1292:29 *6301:B 21.7744
9 *1292:26 *6229:A 15.9964
*END
*D_NET *1293 0.00216493
*CONN
*I *6303:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6301:A I *D sky130_fd_sc_hd__and2_1
*I *6228:B I *D sky130_fd_sc_hd__or4bb_1
*I *6755:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6303:B1 0.00017125
2 *6301:A 0.000172341
3 *6228:B 0.000343465
4 *6755:Q 6.21189e-05
5 *1293:17 0.000473772
6 *1293:8 0.000535764
7 *6228:A *6228:B 1.61631e-05
8 *6229:A *6303:B1 0
9 *6229:B *1293:8 3.00073e-05
10 *6229:C *6228:B 0.000180711
11 *6229:C *6301:A 3.01683e-06
12 *6229:C *1293:17 0.000122378
13 *6301:B *6303:B1 0
14 *6302:D *6303:B1 5.39463e-05
15 *6304:B *1293:8 0
16 *86:26 *6303:B1 0
17 *1292:26 *6303:B1 0
*RES
1 *6755:Q *1293:8 19.6659
2 *1293:8 *6228:B 14.964
3 *1293:8 *1293:17 3.52053
4 *1293:17 *6301:A 13.3243
5 *1293:17 *6303:B1 22.1574
*END
*D_NET *1294 0.00357569
*CONN
*I *6226:B I *D sky130_fd_sc_hd__or4b_1
*I *6312:B I *D sky130_fd_sc_hd__and4_1
*I *6309:B I *D sky130_fd_sc_hd__and3_1
*I *6306:A I *D sky130_fd_sc_hd__and2_1
*I *6307:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6756:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6226:B 8.70543e-05
2 *6312:B 0
3 *6309:B 0.00033362
4 *6306:A 8.72318e-05
5 *6307:A1 0.000142309
6 *6756:Q 9.18022e-05
7 *1294:37 0.000148064
8 *1294:28 0.000517219
9 *1294:17 0.000328958
10 *1294:7 0.000353248
11 *6226:B *6226:D_N 4.76347e-05
12 *6226:B *6312:C 0.000141016
13 *6226:B *1297:8 5.77352e-05
14 *6309:B *1295:13 5.51483e-06
15 *1294:37 *6312:C 1.07248e-05
16 *6307:A2 *6307:A1 0.000132863
17 *6307:A2 *1294:17 3.22726e-05
18 *6308:B *6307:A1 5.60693e-05
19 *6308:B *1294:28 2.5386e-05
20 *6309:C *1294:37 1.32509e-05
21 *6310:A2 *6309:B 0.000326398
22 *6312:D *6309:B 6.99486e-05
23 *6756:D *6307:A1 8.52802e-05
24 *6756:D *1294:17 0.000184399
25 *6756:D *1294:28 6.64609e-05
26 *86:26 *6307:A1 0
27 *86:26 *1294:28 6.2233e-05
28 *86:26 *1294:37 4.84276e-05
29 *874:19 *6306:A 1.4946e-05
30 *874:27 *6306:A 5.04829e-06
31 *874:37 *1294:17 1.32509e-05
32 *874:37 *1294:28 7.23005e-05
33 *874:37 *1294:37 1.50262e-05
34 *879:9 *6226:B 0
35 *879:9 *1294:37 0
*RES
1 *6756:Q *1294:7 15.0271
2 *1294:7 *6307:A1 19.3507
3 *1294:7 *1294:17 3.493
4 *1294:17 *6306:A 15.4612
5 *1294:17 *1294:28 4.73876
6 *1294:28 *6309:B 19.464
7 *1294:28 *1294:37 2.24725
8 *1294:37 *6312:B 13.7491
9 *1294:37 *6226:B 17.2421
*END
*D_NET *1295 0.0026343
*CONN
*I *6310:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6309:A I *D sky130_fd_sc_hd__and3_1
*I *6226:A I *D sky130_fd_sc_hd__or4b_1
*I *6312:A I *D sky130_fd_sc_hd__and4_1
*I *6757:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6310:A1 0
2 *6309:A 2.3034e-05
3 *6226:A 0.000230423
4 *6312:A 0
5 *6757:Q 0.000164976
6 *1295:13 0.000340421
7 *1295:11 0.000303577
8 *1295:9 0.000335521
9 *6309:B *1295:13 5.51483e-06
10 *6309:C *1295:13 0.000363279
11 *6310:A2 *1295:11 5.49373e-05
12 *6310:A2 *1295:13 0.000183145
13 *6310:B1 *1295:11 0.000211492
14 *6312:D *6226:A 8.41339e-05
15 *6312:D *1295:13 6.50586e-05
16 *86:26 *6226:A 0.000148159
17 *596:49 *1295:9 0.00012063
18 *881:10 *6226:A 0
*RES
1 *6757:Q *1295:9 22.329
2 *1295:9 *1295:11 4.60562
3 *1295:11 *1295:13 5.71483
4 *1295:13 *6312:A 9.24915
5 *1295:13 *6226:A 24.4322
6 *1295:11 *6309:A 9.82786
7 *1295:9 *6310:A1 9.24915
*END
*D_NET *1296 0.00454832
*CONN
*I *6231:A I *D sky130_fd_sc_hd__or2_1
*I *6247:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6246:A I *D sky130_fd_sc_hd__and3_1
*I *6251:A I *D sky130_fd_sc_hd__and4_1
*I *6243:A I *D sky130_fd_sc_hd__nand2_1
*I *6739:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6231:A 0.00018907
2 *6247:A1 0.00019825
3 *6246:A 0.000201595
4 *6251:A 2.59228e-05
5 *6243:A 0
6 *6739:Q 0
7 *1296:27 0.000555055
8 *1296:18 0.000608628
9 *1296:7 0.000531682
10 *1296:4 0.000293257
11 *6246:A *6246:C 0.00015085
12 *6247:A1 *6247:B1 0
13 *6247:A1 *1303:8 0
14 *1296:18 *6233:B 0
15 *1296:18 *1303:10 0
16 *1296:27 *1303:10 0
17 *6223:A *1296:27 0
18 *6233:C *6231:A 0.000426154
19 *6233:C *1296:18 0.000436825
20 *6243:B *1296:18 0.000167076
21 *6244:C *6231:A 0
22 *6246:B *1296:27 1.82696e-05
23 *6247:A2 *6247:A1 0.000148144
24 *6251:B *1296:18 0
25 *6251:B *1296:27 0
26 *6253:B *1296:27 0
27 *588:33 *1296:7 0
28 *588:37 *1296:7 0.0002817
29 *588:37 *1296:18 5.5116e-05
30 *812:6 *6247:A1 5.12009e-05
31 *812:6 *1296:27 2.39519e-05
32 *812:16 *6246:A 2.44829e-05
33 *827:25 *6251:A 6.50727e-05
34 *1086:21 *6231:A 9.60216e-05
*RES
1 *6739:Q *1296:4 9.24915
2 *1296:4 *1296:7 3.93045
3 *1296:7 *6243:A 9.24915
4 *1296:7 *1296:18 16.505
5 *1296:18 *6251:A 14.4725
6 *1296:18 *1296:27 3.90826
7 *1296:27 *6246:A 17.2697
8 *1296:27 *6247:A1 19.7337
9 *1296:4 *6231:A 24.6868
*END
*D_NET *1297 0.00206041
*CONN
*I *6314:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6226:C I *D sky130_fd_sc_hd__or4b_1
*I *6312:C I *D sky130_fd_sc_hd__and4_1
*I *6758:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6314:A1 0.00034866
2 *6226:C 0
3 *6312:C 4.29282e-05
4 *6758:Q 0
5 *1297:8 0.000313847
6 *1297:4 0.000619578
7 *6314:A1 *6226:D_N 0
8 *1297:8 *6226:D_N 6.72681e-05
9 io_out[34] *6314:A1 3.93117e-06
10 *6226:B *6312:C 0.000141016
11 *6226:B *1297:8 5.77352e-05
12 *86:26 *6312:C 0.000151741
13 *86:26 *1297:8 0.000302982
14 *600:45 *6314:A1 0
15 *1294:37 *6312:C 1.07248e-05
*RES
1 *6758:Q *1297:4 9.24915
2 *1297:4 *1297:8 11.9019
3 *1297:8 *6312:C 16.4116
4 *1297:8 *6226:C 13.7491
5 *1297:4 *6314:A1 23.9928
*END
*D_NET *1298 0.00167898
*CONN
*I *6316:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6226:D_N I *D sky130_fd_sc_hd__or4b_1
*I *6759:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6316:A 6.46078e-05
2 *6226:D_N 0.000506325
3 *6759:Q 8.53406e-05
4 *1298:6 0.000656273
5 io_out[34] *6226:D_N 0
6 la1_data_out[21] *1298:6 0
7 *6226:B *6226:D_N 4.76347e-05
8 *6314:A1 *6226:D_N 0
9 *6757:D *6226:D_N 0
10 *86:26 *6226:D_N 0.000186632
11 *86:26 *1298:6 6.49002e-05
12 *600:45 *6226:D_N 0
13 *600:45 *1298:6 0
14 *1297:8 *6226:D_N 6.72681e-05
*RES
1 *6759:Q *1298:6 16.4116
2 *1298:6 *6226:D_N 27.6235
3 *1298:6 *6316:A 15.0271
*END
*D_NET *1299 0.00451135
*CONN
*I *6319:B I *D sky130_fd_sc_hd__and3_1
*I *6320:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6323:C I *D sky130_fd_sc_hd__and4_1
*I *6227:D I *D sky130_fd_sc_hd__and4b_1
*I *6760:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6319:B 4.18965e-05
2 *6320:B1 2.50187e-05
3 *6323:C 1.78893e-05
4 *6227:D 0.00039986
5 *6760:Q 0.000707897
6 *1299:16 0.000545396
7 *1299:13 0.000237032
8 *1299:8 0.000834159
9 *6227:D *6227:C 5.40888e-07
10 *6227:D *1300:22 4.56831e-05
11 *6323:C *6323:B 6.50727e-05
12 *6304:B *6227:D 6.79889e-05
13 *6304:B *1299:13 1.87469e-05
14 *6304:B *1299:16 3.01812e-05
15 *6317:B1 *1299:13 2.15184e-05
16 *6319:C *6320:B1 0.000217951
17 *6319:C *1299:13 0.000266846
18 *6321:A_N *1299:8 2.32311e-05
19 *6321:A_N *1299:13 3.67528e-06
20 *6321:B *1299:8 3.00073e-05
21 *6321:B *1299:13 7.77309e-06
22 *6321:C *1299:8 3.11022e-05
23 *6324:A2 *1299:13 2.58518e-05
24 *6325:B *6227:D 6.80719e-05
25 *6760:CLK *1299:8 0
26 *6760:D *1299:8 0.000100271
27 *6761:D *6227:D 6.50727e-05
28 *588:43 *6323:C 2.41483e-05
29 *835:31 *6319:B 6.50586e-05
30 *884:15 *6320:B1 0.000203753
31 *884:15 *1299:13 0.000213725
32 *889:9 *6323:C 5.07314e-05
33 *1087:65 *6227:D 5.68225e-06
34 *1087:74 *6227:D 1.75625e-05
35 *1087:74 *1299:16 3.19566e-05
*RES
1 *6760:Q *1299:8 26.4211
2 *1299:8 *1299:13 8.85855
3 *1299:13 *1299:16 7.57775
4 *1299:16 *6227:D 24.1914
5 *1299:16 *6323:C 15.0271
6 *1299:13 *6320:B1 11.6364
7 *1299:8 *6319:B 14.4725
*END
*D_NET *1300 0.00199925
*CONN
*I *6323:B I *D sky130_fd_sc_hd__and4_1
*I *6324:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6227:C I *D sky130_fd_sc_hd__and4b_1
*I *6761:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6323:B 0.000112515
2 *6324:A1 0
3 *6227:C 3.56014e-05
4 *6761:Q 0.00017808
5 *1300:22 0.000280374
6 *1300:10 0.00038154
7 *6227:D *6227:C 5.40888e-07
8 *6227:D *1300:22 4.56831e-05
9 *6228:C_N *1300:10 3.00073e-05
10 *6304:B *1300:22 0
11 *6323:A *6323:B 0.000164829
12 *6323:C *6323:B 6.50727e-05
13 *6324:A2 *1300:22 1.07248e-05
14 *6324:B1 *1300:10 0
15 *6324:B1 *1300:22 4.90965e-05
16 *588:43 *6323:B 0.000521446
17 *596:44 *1300:10 5.56461e-05
18 *602:61 *1300:10 0
19 *602:61 *1300:22 0
20 *889:9 *6323:B 6.80985e-05
*RES
1 *6761:Q *1300:10 22.1896
2 *1300:10 *6227:C 9.97254
3 *1300:10 *1300:22 13.771
4 *1300:22 *6324:A1 9.24915
5 *1300:22 *6323:B 15.5427
*END
*D_NET *1301 0.00561621
*CONN
*I *6327:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6326:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6328:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6227:B I *D sky130_fd_sc_hd__and4b_1
*I *6329:B I *D sky130_fd_sc_hd__nand3_1
*I *6762:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6327:A1 0.000257637
2 *6326:A1 2.01179e-05
3 *6328:A1 0
4 *6227:B 0.000176575
5 *6329:B 0.000193112
6 *6762:Q 0.000416867
7 *1301:12 0.000413603
8 *1301:10 0.000113186
9 *1301:8 0.000234937
10 *1301:7 0.000820054
11 *6227:B *6227:A_N 0.000317707
12 *6329:B *6329:A 6.92705e-05
13 *6293:B1 *6329:B 0.000435273
14 *6293:B1 *1301:10 0.000111343
15 *6293:B1 *1301:12 0.00019819
16 *6317:B1 *6326:A1 2.16355e-05
17 *6326:A2 *6326:A1 6.50586e-05
18 *6327:A2 *6327:A1 0.000203677
19 *6327:A2 *1301:8 0.000235007
20 *6327:B1 *1301:8 0
21 *6329:C *6329:B 0.000706916
22 *6329:C *1301:12 0.00015324
23 *6762:D *6327:A1 1.42919e-05
24 *6762:D *1301:8 1.82832e-05
25 *827:29 *6326:A1 5.04829e-06
26 *841:22 *6329:B 8.4101e-05
27 *889:21 *1301:10 1.07248e-05
28 *889:21 *1301:12 5.2092e-05
29 *889:28 *1301:8 2.95757e-05
30 *889:28 *1301:10 0.000158353
31 *1087:83 *1301:7 8.03315e-05
*RES
1 *6762:Q *1301:7 20.0186
2 *1301:7 *1301:8 4.73876
3 *1301:8 *1301:10 3.07775
4 *1301:10 *1301:12 3.493
5 *1301:12 *6329:B 24.3365
6 *1301:12 *6227:B 18.9094
7 *1301:10 *6328:A1 13.7491
8 *1301:8 *6326:A1 14.4725
9 *1301:7 *6327:A1 18.6623
*END
*D_NET *1302 0.00252714
*CONN
*I *6227:A_N I *D sky130_fd_sc_hd__and4b_1
*I *6328:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6329:A I *D sky130_fd_sc_hd__nand3_1
*I *6763:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6227:A_N 0.000203553
2 *6328:B1 9.57007e-05
3 *6329:A 0.000178821
4 *6763:Q 0
5 *1302:7 0.000329414
6 *1302:4 0.000258446
7 *6227:B *6227:A_N 0.000317707
8 *6293:B1 *6328:B1 3.31736e-05
9 *6293:B1 *6329:A 5.79544e-05
10 *6329:B *6329:A 6.92705e-05
11 *6330:B *6328:B1 0.00021206
12 *6330:B *6329:A 0.000184931
13 *6330:C *6329:A 0.000148144
14 *6331:A *6328:B1 0.000267634
15 *6331:A *6329:A 7.86847e-05
16 *588:43 *6328:B1 2.65831e-05
17 *841:22 *6329:A 6.50586e-05
*RES
1 *6763:Q *1302:4 9.24915
2 *1302:4 *1302:7 5.2234
3 *1302:7 *6329:A 20.4571
4 *1302:7 *6328:B1 19.2113
5 *1302:4 *6227:A_N 14.8434
*END
*D_NET *1303 0.00266847
*CONN
*I *6247:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6246:C I *D sky130_fd_sc_hd__and3_1
*I *6251:D I *D sky130_fd_sc_hd__and4_1
*I *6233:B I *D sky130_fd_sc_hd__or4b_1
*I *6740:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6247:B1 0.000191499
2 *6246:C 0.00015608
3 *6251:D 0
4 *6233:B 0.00013118
5 *6740:Q 0.000106597
6 *1303:10 0.000295823
7 *1303:8 0.000399366
8 *1303:7 0.00037674
9 *1303:10 *6233:A 5.66868e-06
10 *1303:10 *1304:10 0
11 *6246:A *6246:C 0.00015085
12 *6247:A1 *6247:B1 0
13 *6247:A1 *1303:8 0
14 *6249:B *6247:B1 5.47516e-05
15 *6249:B *1303:8 2.36494e-05
16 *6249:B *1303:10 3.6121e-05
17 *6250:A *6247:B1 0
18 *6253:B *1303:10 0.000170592
19 *6740:CLK *1303:7 7.48633e-05
20 *595:35 *6247:B1 0.000171273
21 *599:71 *6247:B1 0.000171273
22 *833:5 *6246:C 6.47133e-05
23 *837:6 *6233:B 8.22e-05
24 *837:6 *1303:10 5.22654e-06
25 *1296:18 *6233:B 0
26 *1296:18 *1303:10 0
27 *1296:27 *1303:10 0
*RES
1 *6740:Q *1303:7 15.5817
2 *1303:7 *1303:8 1.832
3 *1303:8 *1303:10 5.15401
4 *1303:10 *6233:B 17.2421
5 *1303:10 *6251:D 13.7491
6 *1303:8 *6246:C 18.1619
7 *1303:7 *6247:B1 20.3205
*END
*D_NET *1304 0.00210219
*CONN
*I *6253:A I *D sky130_fd_sc_hd__or2_1
*I *6233:A I *D sky130_fd_sc_hd__or4b_1
*I *6251:C I *D sky130_fd_sc_hd__and4_1
*I *6741:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6253:A 0
2 *6233:A 7.87945e-05
3 *6251:C 0.000105739
4 *6741:Q 0.000343901
5 *1304:13 0.000325215
6 *1304:10 0.000484582
7 *6233:D_N *6233:A 3.31733e-05
8 *6249:B *1304:10 0
9 *6249:C *1304:10 5.56873e-05
10 *6253:B *6251:C 0.000217937
11 *6253:B *1304:13 0.000277502
12 *827:25 *1304:13 4.82966e-05
13 *835:26 *1304:10 0
14 *837:6 *6233:A 0.000125695
15 *1303:10 *6233:A 5.66868e-06
16 *1303:10 *1304:10 0
*RES
1 *6741:Q *1304:10 24.4081
2 *1304:10 *1304:13 4.62973
3 *1304:13 *6251:C 12.2151
4 *1304:13 *6233:A 20.9116
5 *1304:10 *6253:A 9.24915
*END
*D_NET *1305 0.00128814
*CONN
*I *6230:A I *D sky130_fd_sc_hd__clkbuf_1
*I *6265:A I *D sky130_fd_sc_hd__and4_1
*I *6742:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6230:A 0.000184167
2 *6265:A 5.91734e-05
3 *6742:Q 7.65268e-05
4 *1305:7 0.000319867
5 *6265:A *6265:B 0
6 *6233:D_N *6230:A 0
7 *6235:C *6230:A 0
8 *6235:C *6265:A 0
9 *6330:A *6265:A 4.66876e-05
10 *596:22 *6265:A 0.000171273
11 *596:22 *1305:7 0.000111722
12 *819:8 *6230:A 0.000193108
13 *819:10 *6230:A 7.50872e-05
14 *819:10 *6265:A 5.05252e-05
15 *835:17 *6230:A 0
*RES
1 *6742:Q *1305:7 15.0271
2 *1305:7 *6265:A 16.5832
3 *1305:7 *6230:A 19.7337
*END
*D_NET *1306 0.00459212
*CONN
*I *6260:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6225:A I *D sky130_fd_sc_hd__nand2_1
*I *6259:B I *D sky130_fd_sc_hd__and3_1
*I *6265:B I *D sky130_fd_sc_hd__and4_1
*I *6743:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6260:B1 0.000406254
2 *6225:A 0.000304082
3 *6259:B 0
4 *6265:B 0.000424212
5 *6743:Q 0
6 *1306:7 0.00053788
7 *1306:5 0.000647092
8 *1306:4 0.000635597
9 *6235:A *6225:A 0
10 *6235:C *6225:A 0
11 *6235:C *6265:B 0.000513974
12 *6236:A *6225:A 5.53934e-05
13 *6236:B *6225:A 3.34802e-05
14 *6256:A *6225:A 6.92705e-05
15 *6259:C *6225:A 0
16 *6259:C *1306:5 5.80703e-06
17 *6265:A *6265:B 0
18 *7090:A *6260:B1 0.000161234
19 *819:10 *6265:B 0
20 *819:12 *6265:B 0
21 *819:16 *6225:A 0.000102647
22 *819:16 *6265:B 0
23 *823:11 *6225:A 0
24 *825:11 *6225:A 1.89195e-05
25 *826:26 *6225:A 0
26 *835:8 *6225:A 1.50262e-05
27 *835:8 *6265:B 4.82966e-05
28 *835:8 *1306:5 6.49003e-05
29 *835:8 *1306:7 5.99527e-05
30 *841:15 *6260:B1 0.000139435
31 *843:7 *6265:B 0.000122378
32 *848:12 *6225:A 6.50586e-05
33 *1080:8 *6260:B1 0
34 *1086:85 *6260:B1 0.000161234
*RES
1 *6743:Q *1306:4 9.24915
2 *1306:4 *1306:5 3.49641
3 *1306:5 *1306:7 1.8326
4 *1306:7 *6265:B 29.9429
5 *1306:7 *6259:B 9.24915
6 *1306:5 *6225:A 27.0333
7 *1306:4 *6260:B1 26.7953
*END
*D_NET *1307 0.00477908
*CONN
*I *6232:B I *D sky130_fd_sc_hd__and2_1
*I *6264:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6267:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6263:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6744:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6232:B 0.000328872
2 *6264:A1 0
3 *6267:A1 0.000245528
4 *6263:A1 9.50083e-05
5 *6744:Q 0.000607257
6 *1307:31 0.000442666
7 *1307:19 0.000630424
8 *1307:5 0.000973367
9 *6232:B *6232:A 0.000241506
10 *6267:A1 *6267:B1 0.000121741
11 *6261:A_N *6232:B 8.90486e-05
12 *6261:A_N *1307:31 6.50727e-05
13 *6263:B1_N *6263:A1 1.77537e-06
14 *6264:B1 *6267:A1 5.92342e-05
15 *6267:A2 *6267:A1 2.57538e-05
16 *6269:A *6267:A1 6.46135e-05
17 *6744:D *1307:5 7.32188e-05
18 *6744:D *1307:19 0.000351355
19 *827:18 *6263:A1 6.3152e-05
20 *827:18 *6267:A1 0
21 *847:13 *6232:B 0.000170592
22 *848:48 *6232:B 4.11983e-05
23 *1086:5 *6232:B 5.05841e-05
24 *1086:5 *1307:19 6.11359e-06
25 *1086:5 *1307:31 2.42156e-05
26 *1086:12 *1307:5 6.78825e-06
27 *1086:42 *6263:A1 0
28 *1086:85 *1307:31 0
*RES
1 *6744:Q *1307:5 18.2916
2 *1307:5 *6263:A1 20.9116
3 *1307:5 *1307:19 6.46234
4 *1307:19 *6267:A1 25.8947
5 *1307:19 *1307:31 2.96592
6 *1307:31 *6264:A1 9.24915
7 *1307:31 *6232:B 28.8984
*END
*D_NET *1308 0.00213188
*CONN
*I *6232:A I *D sky130_fd_sc_hd__and2_1
*I *6267:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6745:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6232:A 0.000440105
2 *6267:B1 9.88193e-05
3 *6745:Q 0.000168322
4 *1308:5 0.000707247
5 *6232:B *6232:A 0.000241506
6 *6267:A1 *6267:B1 0.000121741
7 *6267:A2 *6267:B1 0.00024873
8 *6268:C *6232:A 6.50586e-05
9 *6269:A *6232:A 1.83795e-06
10 *6269:A *1308:5 1.19513e-05
11 *821:8 *6232:A 2.65667e-05
*RES
1 *6745:Q *1308:5 11.6364
2 *1308:5 *6267:B1 22.9879
3 *1308:5 *6232:A 20.1965
*END
*D_NET *1309 0.0037185
*CONN
*I *6277:A I *D sky130_fd_sc_hd__and4_1
*I *6235:B I *D sky130_fd_sc_hd__or4_1
*I *6274:A I *D sky130_fd_sc_hd__and3_1
*I *6270:A I *D sky130_fd_sc_hd__and2_1
*I *6272:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6746:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6277:A 0.000154707
2 *6235:B 0.000351671
3 *6274:A 8.34768e-05
4 *6270:A 3.56981e-05
5 *6272:A1 0.00024859
6 *6746:Q 0
7 *1309:24 0.000556169
8 *1309:13 0.000547183
9 *1309:4 0.000484347
10 *6235:B *1310:27 1.6594e-05
11 *6277:A *6277:B 2.65831e-05
12 *6277:A *1310:14 6.23875e-05
13 *1309:24 *1310:14 9.22013e-06
14 *1309:24 *1310:27 2.53945e-05
15 *6272:A2 *6272:A1 0.000100898
16 *6272:A2 *1309:13 0.000103139
17 *6273:B *6272:A1 0.000122083
18 *6275:A2 *6235:B 0
19 *6276:B *6272:A1 0
20 *6277:D *6270:A 3.25584e-05
21 *6277:D *6277:A 1.10258e-05
22 *6277:D *1309:13 1.71154e-05
23 *6747:CLK *6272:A1 6.23066e-05
24 *591:59 *6235:B 1.75637e-06
25 *823:11 *6235:B 3.072e-06
26 *825:11 *6274:A 6.92705e-05
27 *826:23 *6235:B 4.65396e-05
28 *847:13 *6235:B 0.00025439
29 *847:20 *6235:B 0
30 *847:20 *6274:A 7.56332e-05
31 *847:20 *1309:24 0
32 *848:12 *6235:B 5.04879e-05
33 *851:7 *1309:13 6.50586e-05
34 *854:6 *1309:24 0.000101148
*RES
1 *6746:Q *1309:4 9.24915
2 *1309:4 *6272:A1 25.8947
3 *1309:4 *1309:13 6.26943
4 *1309:13 *6270:A 11.1059
5 *1309:13 *1309:24 7.78538
6 *1309:24 *6274:A 16.1458
7 *1309:24 *6235:B 22.0526
8 *1309:13 *6277:A 12.7456
*END
*D_NET *1310 0.00364156
*CONN
*I *6277:B I *D sky130_fd_sc_hd__and4_1
*I *6225:B I *D sky130_fd_sc_hd__nand2_1
*I *6275:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6274:B I *D sky130_fd_sc_hd__and3_1
*I *6747:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6277:B 3.99171e-05
2 *6225:B 6.50856e-05
3 *6275:A1 0.000110087
4 *6274:B 9.78432e-05
5 *6747:Q 0.000153276
6 *1310:27 0.00024887
7 *1310:14 0.000272646
8 *1310:10 0.000294299
9 *6235:B *1310:27 1.6594e-05
10 *6256:A *6225:B 0.000383717
11 *6275:B1 *6275:A1 4.82966e-05
12 *6277:A *6277:B 2.65831e-05
13 *6277:A *1310:14 6.23875e-05
14 *6277:C *1310:10 0
15 *6277:D *6277:B 6.98314e-05
16 *6277:D *1310:14 6.73186e-05
17 *6279:A2 *1310:10 6.50727e-05
18 *6280:B *1310:10 0
19 *825:11 *6274:B 6.73186e-05
20 *847:13 *1310:27 0.000123582
21 *848:12 *6225:B 0.000477015
22 *848:12 *6275:A1 0.000211464
23 *852:16 *1310:10 9.14201e-05
24 *852:16 *1310:14 0.000170607
25 *852:16 *1310:27 0.000222699
26 *852:30 *1310:10 6.92705e-05
27 *854:6 *1310:14 0.000151741
28 *1286:23 *1310:10 0
29 *1309:24 *1310:14 9.22013e-06
30 *1309:24 *1310:27 2.53945e-05
*RES
1 *6747:Q *1310:10 22.8808
2 *1310:10 *1310:14 9.41035
3 *1310:14 *6274:B 16.1605
4 *1310:14 *1310:27 8.40826
5 *1310:27 *6275:A1 12.7456
6 *1310:27 *6225:B 14.4094
7 *1310:10 *6277:B 11.0817
*END
*D_NET *1311 0.00127173
*CONN
*I *6641:A_N I *D sky130_fd_sc_hd__and3b_1
*I *6011:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6835:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6641:A_N 8.73917e-05
2 *6011:A 1.23957e-05
3 *6835:Q 0.000188875
4 *1311:8 0.000288662
5 *6641:A_N *6024:A 0
6 *1311:8 *6024:A 0
7 *6604:B *6011:A 0.00011818
8 *6835:CLK *1311:8 0.000175485
9 *597:33 *6641:A_N 0.00013592
10 *597:33 *1311:8 0.000146645
11 *640:5 *6011:A 0.00011818
*RES
1 *6835:Q *1311:8 18.2442
2 *1311:8 *6011:A 15.0271
3 *1311:8 *6641:A_N 16.4116
*END
*D_NET *1312 0.00855782
*CONN
*I *6641:B I *D sky130_fd_sc_hd__and3b_1
*I *6669:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6668:A I *D sky130_fd_sc_hd__and3_1
*I *6000:A1 I *D sky130_fd_sc_hd__o22a_1
*I *6836:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6641:B 0.000547362
2 *6669:B1 0.000390182
3 *6668:A 4.97705e-05
4 *6000:A1 0.00118914
5 *6836:Q 0
6 *1312:8 0.00138388
7 *1312:6 0.000700393
8 *1312:4 0.000712602
9 *6000:A1 *6025:A 2.82583e-05
10 *6000:A1 *6682:A 0.000419421
11 *6000:A1 *1316:8 0.000344834
12 *6641:B *1313:27 0.000134338
13 *6026:B2 *6000:A1 2.63704e-05
14 *6665:B *6000:A1 7.77309e-06
15 *6665:B *1312:8 7.77309e-06
16 *6667:B1 *1312:6 4.72872e-05
17 *6667:B1 *1312:8 8.99995e-05
18 *6669:A1 *6669:B1 0.000107496
19 *6683:A2 *6000:A1 0.000729664
20 *6835:CLK *6669:B1 6.50586e-05
21 *371:8 *1312:6 3.51249e-05
22 *371:8 *1312:8 1.3028e-05
23 *548:17 *6000:A1 4.37999e-05
24 *548:20 *6668:A 0.000211478
25 *593:31 *6000:A1 0
26 *597:33 *1312:6 0
27 *640:16 *1312:6 0.000118485
28 *640:16 *1312:8 0.000261916
29 *640:17 *6668:A 6.08467e-05
30 *640:25 *6000:A1 0.000776541
31 *640:25 *1312:8 2.09495e-05
32 *640:33 *6668:A 3.40423e-05
33 *1090:118 *6000:A1 0
*RES
1 *6836:Q *1312:4 9.24915
2 *1312:4 *1312:6 9.23876
3 *1312:6 *1312:8 5.15401
4 *1312:8 *6000:A1 45.4375
5 *1312:8 *6668:A 16.1364
6 *1312:6 *6669:B1 19.898
7 *1312:4 *6641:B 17.737
*END
*D_NET *1313 0.00545103
*CONN
*I *6641:C I *D sky130_fd_sc_hd__and3b_1
*I *6024:A I *D sky130_fd_sc_hd__inv_2
*I *6672:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *6673:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6837:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6641:C 0
2 *6024:A 0.00058114
3 *6672:A1 4.18965e-05
4 *6673:A1 0.000213409
5 *6837:Q 0
6 *1313:27 0.00110086
7 *1313:6 0.00040725
8 *1313:5 0.000671664
9 *6641:A_N *6024:A 0
10 *6641:B *1313:27 0.000134338
11 *6642:B1 *6024:A 2.352e-05
12 *6666:A_N *6024:A 3.88002e-05
13 *6671:A *6673:A1 0
14 *6671:A *1313:6 0
15 *6672:B1_N *6672:A1 6.50586e-05
16 *6673:A2 *6673:A1 2.65667e-05
17 *6673:B1 *6673:A1 0
18 *6836:D *1313:6 0
19 *6836:D *1313:27 0.000206392
20 *6837:D *6673:A1 0
21 *6837:D *1313:6 0
22 *354:10 *1313:6 0
23 *354:10 *1313:27 0
24 *354:45 *1313:27 0
25 *402:8 *6024:A 0
26 *597:33 *6024:A 0
27 *895:57 *6024:A 4.62917e-05
28 *1095:93 *1313:27 0
29 *1127:19 *1313:27 0.00189384
30 *1311:8 *6024:A 0
*RES
1 *6837:Q *1313:5 13.7491
2 *1313:5 *1313:6 3.493
3 *1313:6 *6673:A1 18.7961
4 *1313:6 *6672:A1 14.4725
5 *1313:5 *1313:27 27.7123
6 *1313:27 *6024:A 32.1585
7 *1313:27 *6641:C 9.24915
*END
*D_NET *1314 0.000942302
*CONN
*I *6013:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6661:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6838:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6013:A1 8.70824e-05
2 *6661:A 9.52631e-05
3 *6838:Q 1.52633e-05
4 *1314:5 0.000197609
5 *6013:A1 *1317:50 6.47133e-05
6 *1314:5 *1317:50 1.74341e-05
7 *6013:A2 *6013:A1 0.000256846
8 *6013:A2 *1314:5 6.50586e-05
9 *813:21 *6661:A 0
10 *907:20 *6661:A 0.000143032
*RES
1 *6838:Q *1314:5 9.97254
2 *1314:5 *6661:A 20.9116
3 *1314:5 *6013:A1 13.1796
*END
*D_NET *1315 0.0015793
*CONN
*I *6000:B2 I *D sky130_fd_sc_hd__o22a_1
*I *6662:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6839:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6000:B2 6.00549e-05
2 *6662:A 0.000103746
3 *6839:Q 0.000324894
4 *1315:8 0.000488695
5 *628:28 *6662:A 0.000107496
6 *813:21 *6000:B2 9.34869e-05
7 *813:21 *1315:8 5.96936e-05
8 *902:21 *6000:B2 0.000196706
9 *902:21 *1315:8 0.000144531
*RES
1 *6839:Q *1315:8 19.9081
2 *1315:8 *6662:A 16.1364
3 *1315:8 *6000:B2 17.2421
*END
*D_NET *1316 0.00443442
*CONN
*I *6663:A_N I *D sky130_fd_sc_hd__and4bb_1
*I *6682:A I *D sky130_fd_sc_hd__nand3_1
*I *6025:A I *D sky130_fd_sc_hd__inv_2
*I *6683:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6840:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6663:A_N 0.000236984
2 *6682:A 0.00016776
3 *6025:A 0.000269296
4 *6683:B1 0
5 *6840:Q 0
6 *1316:8 0.000407878
7 *1316:5 0.000306342
8 *1316:4 0.000236984
9 *6663:A_N *1317:30 0.000364356
10 *6663:A_N *1317:50 0.00030153
11 *6000:A1 *6025:A 2.82583e-05
12 *6000:A1 *6682:A 0.000419421
13 *6000:A1 *1316:8 0.000344834
14 *6682:B *6682:A 6.08467e-05
15 *6682:C *6682:A 6.08467e-05
16 *6683:A1 *6025:A 0.000423908
17 *6683:A2 *6025:A 0.000105636
18 *547:10 *6663:A_N 0.000107496
19 *548:8 *6682:A 0.000216088
20 *548:8 *1316:8 0.000170592
21 *548:17 *6682:A 0.000205364
22 *1090:118 *1316:8 0
*RES
1 *6840:Q *1316:4 9.24915
2 *1316:4 *1316:5 4.5
3 *1316:5 *1316:8 10.4845
4 *1316:8 *6683:B1 9.24915
5 *1316:8 *6025:A 17.737
6 *1316:5 *6682:A 22.1181
7 *1316:4 *6663:A_N 16.6278
*END
*D_NET *1317 0.00833006
*CONN
*I *6020:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6663:D I *D sky130_fd_sc_hd__and4bb_1
*I *6686:A1 I *D sky130_fd_sc_hd__a22o_1
*I *6685:A I *D sky130_fd_sc_hd__xnor2_1
*I *6841:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6020:A1 0
2 *6663:D 0
3 *6686:A1 0.000153792
4 *6685:A 9.16103e-05
5 *6841:Q 0
6 *1317:50 0.00104045
7 *1317:30 0.00198111
8 *1317:7 0.000297718
9 *1317:4 0.000992979
10 *5997:A1 *1317:50 1.92172e-05
11 *5997:B1 *1317:50 0.000171273
12 *6013:A1 *1317:50 6.47133e-05
13 *6013:A2 *1317:50 4.447e-05
14 *6021:A1 *1317:50 0
15 *6663:A_N *1317:30 0.000364356
16 *6663:A_N *1317:50 0.00030153
17 *6686:A2 *6686:A1 2.65831e-05
18 *6686:B1 *6685:A 1.79672e-05
19 *6686:B1 *6686:A1 9.22984e-05
20 *6687:B *6685:A 6.81008e-05
21 *6687:B *6686:A1 8.67988e-05
22 *6838:CLK *1317:30 0.000150632
23 *6838:CLK *1317:50 0.000623449
24 *6838:D *1317:50 0.000592652
25 *6840:CLK *1317:30 0.000444775
26 *6840:D *1317:30 5.68237e-06
27 *627:10 *1317:50 0
28 *628:23 *1317:50 0.000213725
29 *907:20 *1317:50 0
30 *1281:19 *1317:7 3.28898e-06
31 *1281:19 *1317:30 3.04269e-05
32 *1281:19 *1317:50 0.000433031
33 *1314:5 *1317:50 1.74341e-05
*RES
1 *6841:Q *1317:4 9.24915
2 *1317:4 *1317:7 5.2234
3 *1317:7 *6685:A 16.4116
4 *1317:7 *6686:A1 18.5443
5 *1317:4 *1317:30 18.5189
6 *1317:30 *6663:D 9.24915
7 *1317:30 *1317:50 42.8751
8 *1317:50 *6020:A1 9.24915
*END
*D_NET *1318 0.00190347
*CONN
*I *6185:C I *D sky130_fd_sc_hd__and3_1
*I *6184:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6728:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6185:C 0.000154139
2 *6184:A 0
3 *6728:Q 0.000224341
4 *1318:10 0.000378481
5 *6185:C *1319:42 0.000122098
6 *6185:C *1320:18 7.93303e-06
7 *1318:10 *1320:8 0
8 *6198:C *1318:10 0.000143047
9 *6334:B *6185:C 0
10 *6728:CLK *1318:10 2.65667e-05
11 *590:35 *6185:C 0.000413238
12 *783:7 *6185:C 0.000171288
13 *783:34 *6185:C 0.000262339
*RES
1 *6728:Q *1318:10 23.7113
2 *1318:10 *6184:A 9.24915
3 *1318:10 *6185:C 25.3673
*END
*D_NET *1319 0.00597484
*CONN
*I *6336:B I *D sky130_fd_sc_hd__and2_1
*I *6185:B I *D sky130_fd_sc_hd__and3_1
*I *6197:A1 I *D sky130_fd_sc_hd__a21o_1
*I *6195:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6196:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6729:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6336:B 0.000132587
2 *6185:B 0
3 *6197:A1 0.000133259
4 *6195:A1 0.000218745
5 *6196:A1 0.000241992
6 *6729:Q 0
7 *1319:42 0.000307146
8 *1319:28 0.000755283
9 *1319:7 0.000552789
10 *1319:4 0.000539518
11 *6197:A1 *1320:8 3.67708e-05
12 *6197:A1 *1320:18 0.000179271
13 *1319:42 *1320:18 0.000197277
14 *6185:C *1319:42 0.000122098
15 *6195:A2 *6195:A1 0.000273907
16 *6196:A2 *6195:A1 1.44611e-05
17 *6196:A2 *6196:A1 0.000351228
18 *6196:B1 *6195:A1 0
19 *6196:B1 *6196:A1 0
20 *6197:A2 *6197:A1 0
21 *6334:B *6336:B 0
22 *6334:B *1319:42 0
23 *6336:A *6336:B 0.000118166
24 *6342:B *6336:B 0.000220183
25 *6728:CLK *6336:B 4.29824e-05
26 *6728:CLK *1319:42 1.8906e-05
27 *6730:D *6197:A1 0
28 *590:35 *1319:7 2.20702e-05
29 *783:7 *1319:7 0.00011818
30 *783:7 *1319:28 0.00111207
31 *783:19 *6195:A1 7.06474e-05
32 *783:34 *1319:28 0.000195301
*RES
1 *6729:Q *1319:4 9.24915
2 *1319:4 *1319:7 6.88721
3 *1319:7 *6196:A1 20.7386
4 *1319:7 *6195:A1 20.5992
5 *1319:4 *1319:28 18.5339
6 *1319:28 *6197:A1 17.9655
7 *1319:28 *1319:42 6.0578
8 *1319:42 *6185:B 13.7491
9 *1319:42 *6336:B 18.7989
*END
*D_NET *1320 0.00249406
*CONN
*I *6338:B I *D sky130_fd_sc_hd__and2_1
*I *6185:A I *D sky130_fd_sc_hd__and3_1
*I *6197:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6730:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6338:B 0.000288869
2 *6185:A 2.06324e-05
3 *6197:B1 0
4 *6730:Q 0.000180134
5 *1320:18 0.000515818
6 *1320:8 0.000386451
7 *6185:C *1320:18 7.93303e-06
8 *6197:A1 *1320:8 3.67708e-05
9 *6197:A1 *1320:18 0.000179271
10 *6199:A *6338:B 9.14669e-05
11 *6199:A *1320:18 8.39059e-05
12 *6338:A *6338:B 0.000122378
13 *6728:CLK *1320:8 8.62625e-06
14 *6728:CLK *1320:18 0.000374525
15 *6730:D *1320:8 0
16 *1318:10 *1320:8 0
17 *1319:42 *1320:18 0.000197277
*RES
1 *6730:Q *1320:8 17.2744
2 *1320:8 *6197:B1 13.7491
3 *1320:8 *1320:18 13.7022
4 *1320:18 *6185:A 9.82786
5 *1320:18 *6338:B 17.1824
*END
*D_NET *1321 0.00718457
*CONN
*I *6159:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *6340:B I *D sky130_fd_sc_hd__and2_1
*I *6205:A1 I *D sky130_fd_sc_hd__a31o_1
*I *6186:A I *D sky130_fd_sc_hd__and2_1
*I *6200:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6731:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6159:A1 0.00047679
2 *6340:B 0.00109111
3 *6205:A1 0
4 *6186:A 0
5 *6200:A1 0.000172887
6 *6731:Q 0
7 *1321:29 0.00189719
8 *1321:17 0.000806082
9 *1321:15 0.000565927
10 *1321:5 0.000262024
11 *6159:A1 *6187:A 0.000121562
12 *6159:A1 *6187:B 0.000101133
13 *6159:A1 *1323:18 0.000102003
14 *6340:B *6205:B1 0
15 *1321:29 *6205:B1 0
16 *6200:A2 *6159:A1 5.66868e-06
17 *6200:A2 *6200:A1 7.9117e-05
18 *6200:A2 *1321:15 3.54138e-05
19 *6200:B1 *6159:A1 0
20 *6334:A *6340:B 0.000223764
21 *6340:A *6340:B 0.00011818
22 *6729:CLK *6159:A1 0
23 *6729:CLK *6200:A1 0
24 *6729:CLK *1321:15 0
25 *590:35 *6200:A1 0.000118166
26 *766:6 *6159:A1 0
27 *766:11 *6159:A1 0
28 *784:17 *1321:29 0.000111708
29 *784:19 *1321:29 0.000406462
30 *786:6 *6159:A1 0.00026818
31 *790:19 *6340:B 0.000167062
32 *790:19 *1321:29 5.41377e-05
*RES
1 *6731:Q *1321:5 13.7491
2 *1321:5 *6200:A1 19.3507
3 *1321:5 *1321:15 2.24725
4 *1321:15 *1321:17 4.5
5 *1321:17 *6186:A 9.24915
6 *1321:17 *1321:29 19.5836
7 *1321:29 *6205:A1 13.7491
8 *1321:29 *6340:B 40.3132
9 *1321:15 *6159:A1 26.3467
*END
*D_NET *1322 0.000608252
*CONN
*I *6158:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6187:B I *D sky130_fd_sc_hd__and3_1
*I *6732:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6158:A 0.000120183
2 *6187:B 4.35576e-05
3 *6732:Q 6.84685e-05
4 *1322:5 0.000232209
5 *6187:B *6187:A 4.27003e-05
6 *6159:A1 *6187:B 0.000101133
*RES
1 *6732:Q *1322:5 10.5271
2 *1322:5 *6187:B 20.0811
3 *1322:5 *6158:A 11.6605
*END
*D_NET *1323 0.00611759
*CONN
*I *6345:B I *D sky130_fd_sc_hd__and2_1
*I *6159:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6187:A I *D sky130_fd_sc_hd__and3_1
*I *6205:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6733:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6345:B 0.00085789
2 *6159:B1 0
3 *6187:A 0.000260631
4 *6205:B1 0.000237373
5 *6733:Q 0
6 *1323:18 0.00109635
7 *1323:17 0.00191522
8 *1323:5 0.000458982
9 *6345:B *1325:8 3.948e-05
10 *1323:18 *1325:8 9.18559e-06
11 *6159:A1 *6187:A 0.000121562
12 *6159:A1 *1323:18 0.000102003
13 *6159:A2 *6187:A 0
14 *6187:B *6187:A 4.27003e-05
15 *6200:B1 *6187:A 7.50722e-05
16 *6206:A_N *1323:17 0
17 *6340:B *6205:B1 0
18 *6342:B *6205:B1 0.000195605
19 *6735:CLK *6345:B 6.50727e-05
20 *6735:D *6345:B 2.13584e-05
21 *784:17 *6205:B1 0.000441022
22 *790:14 *1323:17 0
23 *790:19 *6205:B1 0
24 *790:19 *1323:17 0
25 *798:7 *1323:18 0.000163895
26 *1091:25 *6345:B 1.41976e-05
27 *1321:29 *6205:B1 0
*RES
1 *6733:Q *1323:5 13.7491
2 *1323:5 *6205:B1 23.0935
3 *1323:5 *1323:17 9.65401
4 *1323:17 *1323:18 19.5799
5 *1323:18 *6187:A 25.7876
6 *1323:18 *6159:B1 9.24915
7 *1323:17 *6345:B 29.022
*END
*D_NET *1324 0.00253728
*CONN
*I *6160:B I *D sky130_fd_sc_hd__or2_1
*I *6140:B I *D sky130_fd_sc_hd__and2_1
*I *6208:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6734:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6160:B 0.000184512
2 *6140:B 0
3 *6208:A 0.000182967
4 *6734:Q 0.000387412
5 *1324:19 0.000280395
6 *1324:7 0.000666262
7 *6160:B *6160:A 0.000477044
8 *6208:A *1325:15 0
9 *6208:A *1326:34 0
10 *1324:19 *1326:34 0
11 *6734:CLK *1324:7 0.000113968
12 *6734:D *6208:A 0
13 *754:8 *6160:B 1.43983e-05
14 *801:5 *6208:A 6.50727e-05
15 *1088:10 *6208:A 7.77309e-06
16 *1088:10 *1324:19 4.70005e-05
17 *1088:12 *6208:A 0.00011048
*RES
1 *6734:Q *1324:7 19.464
2 *1324:7 *6208:A 19.2113
3 *1324:7 *1324:19 6.74725
4 *1324:19 *6140:B 9.24915
5 *1324:19 *6160:B 15.5186
*END
*D_NET *1325 0.00386499
*CONN
*I *6216:B I *D sky130_fd_sc_hd__and3_1
*I *6140:A I *D sky130_fd_sc_hd__and2_1
*I *6160:A I *D sky130_fd_sc_hd__or2_1
*I *6213:B1 I *D sky130_fd_sc_hd__a21o_1
*I *6735:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6216:B 0.000109298
2 *6140:A 0
3 *6160:A 0.000213179
4 *6213:B1 0
5 *6735:Q 0.000320349
6 *1325:22 0.000341742
7 *1325:15 0.000543666
8 *1325:8 0.000626155
9 *6216:B *6141:A 4.3116e-06
10 *6216:B *1327:8 0.00011847
11 *1325:15 *6141:A 1.32509e-05
12 *1325:15 *1326:34 0.000175689
13 *1325:22 *6141:A 0.000113968
14 *1325:22 *6216:A 4.58158e-05
15 *1325:22 *1327:8 7.47414e-05
16 *6160:B *6160:A 0.000477044
17 *6208:A *1325:15 0
18 *6213:A1 *1325:8 1.44611e-05
19 *6213:A1 *1325:15 6.01944e-06
20 *6213:A2 *1325:15 0.000144531
21 *6214:B *1325:8 0
22 *6345:B *1325:8 3.948e-05
23 *6734:D *1325:15 3.07997e-05
24 *6735:D *1325:8 0
25 *801:18 *1325:15 2.86092e-05
26 *801:42 *1325:15 0.000311593
27 *801:56 *6216:B 4.33979e-05
28 *801:56 *1325:15 5.92342e-05
29 *1088:12 *1325:8 0
30 *1088:12 *1325:15 0
31 *1323:18 *1325:8 9.18559e-06
*RES
1 *6735:Q *1325:8 19.3535
2 *1325:8 *6213:B1 13.7491
3 *1325:8 *1325:15 10.137
4 *1325:15 *1325:22 8.74392
5 *1325:22 *6160:A 15.5427
6 *1325:22 *6140:A 9.24915
7 *1325:15 *6216:B 16.0286
*END
*D_NET *1326 0.00501569
*CONN
*I *6141:A I *D sky130_fd_sc_hd__or3_1
*I *6216:A I *D sky130_fd_sc_hd__and3_1
*I *6156:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6189:A I *D sky130_fd_sc_hd__nand3_2
*I *6736:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6141:A 0.000283973
2 *6216:A 3.26804e-05
3 *6156:A 0
4 *6189:A 0.000128826
5 *6736:Q 0.000100046
6 *1326:34 0.000863599
7 *1326:23 0.000782785
8 *1326:7 0.000464711
9 *6141:A *6141:B 0.000169108
10 *6141:A *1327:8 0.000165495
11 *6161:B *6189:A 0
12 *6208:A *1326:34 0
13 *6216:B *6141:A 4.3116e-06
14 *6219:A_N *1326:34 0.000216467
15 *6219:B *1326:23 7.92757e-06
16 *6219:B *1326:34 0.000204993
17 *6393:C *6189:A 1.09738e-05
18 *6734:D *1326:34 1.44611e-05
19 *754:8 *6141:A 0
20 *764:24 *1326:23 0.000160617
21 *764:24 *1326:34 0.000638724
22 *764:35 *1326:34 0.000199616
23 *769:11 *1326:7 5.04829e-06
24 *787:8 *6189:A 7.2401e-05
25 *803:28 *6141:A 0
26 *810:11 *1326:7 2.99978e-05
27 *810:11 *1326:23 0.000110203
28 *1088:10 *6141:A 0
29 *1324:19 *1326:34 0
30 *1325:15 *6141:A 1.32509e-05
31 *1325:15 *1326:34 0.000175689
32 *1325:22 *6141:A 0.000113968
33 *1325:22 *6216:A 4.58158e-05
*RES
1 *6736:Q *1326:7 11.6605
2 *1326:7 *6189:A 21.7421
3 *1326:7 *1326:23 7.59566
4 *1326:23 *6156:A 9.24915
5 *1326:23 *1326:34 28.8847
6 *1326:34 *6216:A 9.97254
7 *1326:34 *6141:A 26.7574
*END
*D_NET *1327 0.00227925
*CONN
*I *6141:B I *D sky130_fd_sc_hd__or3_1
*I *6157:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6737:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6141:B 0.000137696
2 *6157:A 3.26159e-05
3 *6737:Q 0.00040326
4 *1327:8 0.000573573
5 *6141:A *6141:B 0.000169108
6 *6141:A *1327:8 0.000165495
7 *6216:B *1327:8 0.00011847
8 *6216:C *1327:8 1.1719e-05
9 *6217:B *1327:8 5.35753e-05
10 *6358:B1 *6157:A 6.50586e-05
11 *755:9 *6141:B 0
12 *765:5 *6157:A 0.000164829
13 *801:56 *6141:B 6.48836e-05
14 *801:56 *1327:8 3.04973e-05
15 *803:9 *6157:A 0.000213725
16 *803:28 *6141:B 0
17 *1325:22 *1327:8 7.47414e-05
*RES
1 *6737:Q *1327:8 24.2055
2 *1327:8 *6157:A 16.1364
3 *1327:8 *6141:B 18.0727
*END
*D_NET *1328 0.00171574
*CONN
*I *6361:A I *D sky130_fd_sc_hd__clkbuf_2
*I *6171:C I *D sky130_fd_sc_hd__or3_1
*I *6388:C I *D sky130_fd_sc_hd__and3_1
*I *6784:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6361:A 9.82824e-05
2 *6171:C 5.25758e-05
3 *6388:C 7.00752e-05
4 *6784:Q 0.000189952
5 *1328:10 0.000291547
6 *1328:7 0.00045713
7 *6171:C *6388:A 0.000224395
8 *6361:A *1329:6 3.83819e-05
9 *6388:C *6388:A 6.50586e-05
10 *1328:7 *6170:A 6.99486e-05
11 *1328:10 *6388:B 5.3381e-05
12 *1328:10 *1329:6 1.50262e-05
13 *6171:B *1328:10 0
14 *6398:A3 *6361:A 0
15 *1089:32 *6388:C 8.99844e-05
*RES
1 *6784:Q *1328:7 16.691
2 *1328:7 *1328:10 8.82351
3 *1328:10 *6388:C 11.9257
4 *1328:10 *6171:C 11.6364
5 *1328:7 *6361:A 16.4116
*END
*D_NET *1329 0.0011929
*CONN
*I *6170:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6388:B I *D sky130_fd_sc_hd__and3_1
*I *6785:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6170:A 0.000108846
2 *6388:B 0.000188143
3 *6785:Q 0.000210203
4 *1329:6 0.000507192
5 *6171:A *6388:B 0
6 *6361:A *1329:6 3.83819e-05
7 *6396:A2 *6388:B 0
8 *6397:B *1329:6 0
9 *6422:C1 *6388:B 0
10 *931:8 *6388:B 1.77537e-06
11 *938:22 *6388:B 0
12 *938:22 *1329:6 0
13 *1328:7 *6170:A 6.99486e-05
14 *1328:10 *6388:B 5.3381e-05
15 *1328:10 *1329:6 1.50262e-05
*RES
1 *6785:Q *1329:6 19.3184
2 *1329:6 *6388:B 18.4879
3 *1329:6 *6170:A 16.691
*END
*D_NET *1330 0.00903442
*CONN
*I *6367:A I *D sky130_fd_sc_hd__and2_1
*I *6169:A2 I *D sky130_fd_sc_hd__a211o_1
*I *6400:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *6402:B2 I *D sky130_fd_sc_hd__a32o_1
*I *6388:A I *D sky130_fd_sc_hd__and3_1
*I *6786:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6367:A 2.00359e-05
2 *6169:A2 0.000675378
3 *6400:B1 0.000279789
4 *6402:B2 2.22385e-05
5 *6388:A 0.000788255
6 *6786:Q 0
7 *1330:43 0.00106006
8 *1330:20 0.000417082
9 *1330:4 0.00126795
10 *6171:B *6388:A 3.86121e-05
11 *6171:C *6388:A 0.000224395
12 *6363:A *6400:B1 0.000155705
13 *6365:A *6388:A 2.0059e-05
14 *6365:A *1330:43 1.00763e-05
15 *6365:B *1330:43 0.000137272
16 *6372:A2 *6169:A2 0
17 *6388:C *6388:A 6.50586e-05
18 *6398:B1 *6400:B1 0.0002136
19 *6401:B *6400:B1 0.000125972
20 *6401:B *1330:20 0.000225473
21 *6404:A *6169:A2 0
22 *6408:B1 *6169:A2 0.000143047
23 *6409:A *6169:A2 0.000103983
24 *6409:B *6169:A2 0.000127969
25 *6411:A1 *6169:A2 1.65872e-05
26 *6411:B1 *6169:A2 0.000468427
27 *6786:CLK *6388:A 3.75603e-05
28 *6786:D *6388:A 4.18817e-05
29 *6787:CLK *6169:A2 0.000169093
30 *6787:D *6169:A2 0
31 *7093:A *6169:A2 6.89789e-05
32 *7093:A *6367:A 2.65831e-05
33 *354:10 *6400:B1 0
34 *354:10 *1330:43 0.000337639
35 *605:33 *6169:A2 6.78549e-05
36 *774:21 *6169:A2 0.000315549
37 *774:24 *6169:A2 0
38 *778:10 *6388:A 6.34755e-05
39 *811:19 *6169:A2 0
40 *811:53 *6402:B2 0.000110297
41 *811:53 *1330:20 6.36773e-05
42 *913:7 *1330:43 0.000107496
43 *913:58 *6402:B2 0.000110297
44 *947:42 *6169:A2 1.2819e-05
45 *1089:20 *6388:A 4.06263e-05
46 *1089:32 *6388:A 0.000853571
47 *1090:84 *1330:43 0
*RES
1 *6786:Q *1330:4 9.24915
2 *1330:4 *6388:A 29.4801
3 *1330:4 *1330:20 8.82351
4 *1330:20 *6402:B2 15.0271
5 *1330:20 *6400:B1 22.263
6 *1330:4 *1330:43 18.4809
7 *1330:43 *6169:A2 39.7442
8 *1330:43 *6367:A 9.97254
*END
*D_NET *1331 0.00549291
*CONN
*I *6166:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6371:B I *D sky130_fd_sc_hd__and2_1
*I *6389:A I *D sky130_fd_sc_hd__or2_1
*I *6136:A2 I *D sky130_fd_sc_hd__o21a_1
*I *6403:A I *D sky130_fd_sc_hd__and2_1
*I *6787:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6166:A 0
2 *6371:B 0
3 *6389:A 0.000218869
4 *6136:A2 0.000183421
5 *6403:A 0
6 *6787:Q 0.000303929
7 *1331:23 0.00040229
8 *1331:21 6.56782e-05
9 *1331:13 0.000278629
10 *1331:10 0.00051688
11 *6136:A2 *1332:20 3.55296e-05
12 *6389:A *1332:15 0.000113374
13 *1331:21 *1332:15 6.50727e-05
14 *6408:A1 *1331:13 7.74552e-05
15 *6408:A2 *1331:13 0.000267394
16 *6409:B *1331:13 3.61993e-05
17 *602:56 *1331:13 0.00128365
18 *602:56 *1331:21 5.9852e-05
19 *811:31 *1331:10 0.000125695
20 *811:31 *1331:13 0.000150632
21 *910:52 *6136:A2 0.000122378
22 *913:18 *1331:10 0.000122083
23 *920:9 *1331:21 1.67988e-05
24 *920:30 *1331:13 0.000218833
25 *920:30 *1331:21 0.000330596
26 *932:8 *6389:A 0.000224381
27 *934:46 *6136:A2 0
28 *934:46 *1331:21 0.00027329
*RES
1 *6787:Q *1331:10 23.9928
2 *1331:10 *1331:13 14.6126
3 *1331:13 *6403:A 9.24915
4 *1331:13 *1331:21 5.18434
5 *1331:21 *1331:23 4.5
6 *1331:23 *6136:A2 17.6896
7 *1331:23 *6389:A 19.9081
8 *1331:21 *6371:B 9.24915
9 *1331:10 *6166:A 9.24915
*END
*D_NET *1332 0.00342063
*CONN
*I *6167:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6371:A I *D sky130_fd_sc_hd__and2_1
*I *6136:A1 I *D sky130_fd_sc_hd__o21a_1
*I *6164:D I *D sky130_fd_sc_hd__or4_1
*I *6788:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6167:A 0
2 *6371:A 0
3 *6136:A1 0
4 *6164:D 0.000232165
5 *6788:Q 0.000624013
6 *1332:20 0.000419677
7 *1332:15 0.000347403
8 *1332:8 0.000783905
9 *6164:D *6164:B 3.49128e-05
10 *6136:A2 *1332:20 3.55296e-05
11 *6389:A *1332:15 0.000113374
12 *6389:B *1332:8 0
13 *6389:B *1332:15 0
14 *6403:B *1332:15 0
15 *6413:B *1332:20 0
16 *6422:C1 *6164:D 0
17 *6422:C1 *1332:20 0
18 *6788:D *1332:8 0.000187413
19 *750:8 *6164:D 0
20 *774:6 *1332:8 3.58185e-05
21 *774:6 *1332:15 3.67528e-06
22 *792:47 *6164:D 0.000247216
23 *920:9 *1332:15 0.000114725
24 *920:9 *1332:20 4.33819e-05
25 *934:32 *6164:D 0
26 *934:32 *1332:20 0
27 *934:46 *1332:15 1.41689e-05
28 *934:46 *1332:20 0.00011818
29 *1331:21 *1332:15 6.50727e-05
*RES
1 *6788:Q *1332:8 24.4842
2 *1332:8 *1332:15 9.43447
3 *1332:15 *1332:20 9.68626
4 *1332:20 *6164:D 20.5642
5 *1332:20 *6136:A1 13.7491
6 *1332:15 *6371:A 9.24915
7 *1332:8 *6167:A 13.7491
*END
*D_NET *1333 0.00248142
*CONN
*I *6136:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6163:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6789:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6136:B1 0.000143231
2 *6163:A 0
3 *6789:Q 0.000246537
4 *1333:10 0.000389768
5 *6413:A *6136:B1 2.65667e-05
6 *6415:B1 *1333:10 4.5539e-05
7 *602:56 *1333:10 0.000253916
8 *770:7 *6136:B1 4.31703e-05
9 *770:16 *1333:10 0.000113374
10 *770:38 *6136:B1 0.000330336
11 *910:52 *6136:B1 0.000888986
*RES
1 *6789:Q *1333:10 23.4382
2 *1333:10 *6163:A 9.24915
3 *1333:10 *6136:B1 19.4249
*END
*D_NET *1334 0.00498123
*CONN
*I *6164:B I *D sky130_fd_sc_hd__or4_1
*I *6137:B I *D sky130_fd_sc_hd__or3_1
*I *6423:B I *D sky130_fd_sc_hd__and3_1
*I *6376:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6417:A I *D sky130_fd_sc_hd__and2_1
*I *6790:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6164:B 0.00021055
2 *6137:B 0.000294475
3 *6423:B 4.94275e-05
4 *6376:A 0
5 *6417:A 0
6 *6790:Q 0.000359533
7 *1334:24 0.000383477
8 *1334:23 0.000311533
9 *1334:15 0.000202094
10 *1334:10 0.000500218
11 *6164:D *6164:B 3.49128e-05
12 *6417:B *1334:10 4.20662e-05
13 *6418:A *1334:15 0.000742581
14 *6419:A3 *1334:15 0.000147308
15 *6421:A1 *6423:B 0
16 *6421:B1 *6137:B 4.97617e-05
17 *6422:C1 *6164:B 0
18 *6422:C1 *1334:24 0
19 *6423:A *6164:B 3.31882e-05
20 *6423:A *1334:24 4.33979e-05
21 *6423:C *6164:B 4.70005e-05
22 *6423:C *1334:23 5.07984e-05
23 *6791:CLK *6137:B 0.000211478
24 *350:8 *6137:B 2.12377e-05
25 *600:23 *1334:15 1.92172e-05
26 *600:23 *1334:23 0.000184179
27 *604:33 *6164:B 0.000113968
28 *604:33 *1334:10 1.73618e-05
29 *792:47 *6164:B 3.60501e-05
30 *923:5 *1334:15 0.000113968
31 *923:36 *6423:B 9.71182e-06
32 *923:36 *1334:15 6.08467e-05
33 *923:36 *1334:23 0.000465064
34 *926:35 *6137:B 7.50872e-05
35 *926:35 *1334:24 3.67708e-05
36 *951:20 *1334:10 0.000113968
*RES
1 *6790:Q *1334:10 24.5474
2 *1334:10 *6417:A 9.24915
3 *1334:10 *1334:15 8.48785
4 *1334:15 *6376:A 9.24915
5 *1334:15 *1334:23 9.66022
6 *1334:23 *1334:24 1.41674
7 *1334:24 *6423:B 14.705
8 *1334:24 *6137:B 19.2169
9 *1334:23 *6164:B 19.3507
*END
*D_NET *1335 0.00441942
*CONN
*I *6137:A I *D sky130_fd_sc_hd__or3_1
*I *6164:A I *D sky130_fd_sc_hd__or4_1
*I *6380:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6791:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6137:A 0.000151031
2 *6164:A 0.000167793
3 *6380:A 3.40108e-05
4 *6791:Q 0.000771609
5 *1335:13 0.000881795
6 *1335:5 0.00136859
7 *6137:C *6137:A 2.65667e-05
8 *6137:C *6164:A 0.000355606
9 *6421:B1 *6137:A 1.80257e-05
10 *6421:B1 *1335:13 2.61955e-05
11 *6791:CLK *6164:A 0.000102632
12 *6791:CLK *1335:5 7.97098e-06
13 *6791:CLK *1335:13 2.77625e-06
14 *6791:D *1335:5 1.87611e-05
15 *750:8 *6164:A 2.6046e-05
16 *792:47 *6164:A 0.000136939
17 *926:12 *6380:A 3.01683e-06
18 *926:12 *1335:5 5.05976e-05
19 *926:12 *1335:13 4.02303e-05
20 *926:25 *1335:13 0.000207294
21 *933:6 *6164:A 2.19276e-05
*RES
1 *6791:Q *1335:5 20.51
2 *1335:5 *6380:A 10.2378
3 *1335:5 *1335:13 9.04245
4 *1335:13 *6164:A 25.8947
5 *1335:13 *6137:A 12.0704
*END
*D_NET *1336 0.00318314
*CONN
*I *6383:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6138:A I *D sky130_fd_sc_hd__nor2_1
*I *6165:A I *D sky130_fd_sc_hd__or2_1
*I *6792:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6383:A 3.0348e-05
2 *6138:A 0.00044415
3 *6165:A 0.000490647
4 *6792:Q 0
5 *1336:15 0.000667083
6 *1336:4 0.000683232
7 *6165:A *1337:29 0
8 *6383:A *1337:29 0
9 *1336:15 *1337:24 0.000326398
10 *6172:A *6165:A 0
11 *6221:A *6138:A 0.000320436
12 *6381:A2 *6165:A 0
13 *6382:A2 *6165:A 0
14 *751:16 *6165:A 0
15 *779:9 *6165:A 0
16 *788:18 *6138:A 0
17 *811:7 *6138:A 4.15559e-05
18 *811:19 *6138:A 0
19 *928:8 *6165:A 0
20 *1081:6 *6138:A 0.000179286
*RES
1 *6792:Q *1336:4 9.24915
2 *1336:4 *6165:A 29.6319
3 *1336:4 *1336:15 7.99641
4 *1336:15 *6138:A 26.5577
5 *1336:15 *6383:A 14.7506
*END
*D_NET *1337 0.00930664
*CONN
*I *6390:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6139:A_N I *D sky130_fd_sc_hd__and2b_1
*I *6169:C1 I *D sky130_fd_sc_hd__a211o_1
*I *6386:B1 I *D sky130_fd_sc_hd__o21a_1
*I *6429:B1 I *D sky130_fd_sc_hd__a31o_1
*I *6793:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6390:B1 0.000304439
2 *6139:A_N 0.00027929
3 *6169:C1 0.000426164
4 *6386:B1 0
5 *6429:B1 0
6 *6793:Q 0.000239806
7 *1337:29 0.000574688
8 *1337:24 0.00086208
9 *1337:21 0.00166316
10 *1337:8 0.00116426
11 *6137:C *6390:B1 2.82537e-05
12 *6165:A *1337:29 0
13 *6165:B *6390:B1 6.50727e-05
14 *6169:B1 *6169:C1 0.000110297
15 *6372:A2 *6169:C1 2.22198e-05
16 *6383:A *1337:29 0
17 *6384:A *6169:C1 0.000264551
18 *6391:B *1337:21 2.65831e-05
19 *6429:A1 *1337:21 2.65831e-05
20 *6429:A3 *1337:21 2.16355e-05
21 *6430:A1 *1337:21 7.99798e-05
22 *6430:A2 *1337:21 2.50768e-05
23 *6430:B1 *1337:21 5.84396e-05
24 *6430:C1 *1337:21 2.16355e-05
25 *81:6 *1337:8 0
26 *605:33 *6169:C1 0.000110297
27 *751:16 *1337:29 5.22654e-06
28 *751:25 *6169:C1 0.000260325
29 *779:9 *1337:24 0.000213739
30 *788:25 *1337:21 1.21654e-05
31 *811:19 *6169:C1 0.000694855
32 *913:18 *6169:C1 0.000154185
33 *913:31 *6169:C1 3.65842e-05
34 *923:36 *6390:B1 6.50727e-05
35 *928:8 *1337:29 0.000165669
36 *933:6 *6390:B1 0.000526297
37 *933:6 *1337:21 9.24241e-05
38 *934:6 *6390:B1 9.77871e-05
39 *934:6 *1337:21 3.42931e-05
40 *934:15 *6390:B1 8.47466e-05
41 *934:32 *6390:B1 7.20391e-05
42 *936:8 *1337:8 5.53934e-05
43 *936:8 *1337:21 5.22654e-06
44 *936:15 *1337:21 2.97007e-05
45 *1336:15 *1337:24 0.000326398
*RES
1 *6793:Q *1337:8 18.3836
2 *1337:8 *6429:B1 13.7491
3 *1337:8 *1337:21 24.6188
4 *1337:21 *1337:24 16.3155
5 *1337:24 *1337:29 13.3235
6 *1337:29 *6386:B1 9.24915
7 *1337:29 *6169:C1 35.928
8 *1337:24 *6139:A_N 14.964
9 *1337:21 *6390:B1 25.4401
*END
*D_NET *1338 0.000685222
*CONN
*I *7006:A I *D sky130_fd_sc_hd__ebufn_8
*I *6173:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *7006:A 0.000213641
2 *6173:X 0.000213641
3 *583:50 *7006:A 0.000130777
4 *588:8 *7006:A 0.000127164
*RES
1 *6173:X *7006:A 32.1327
*END
*D_NET *1339 0.000730843
*CONN
*I *6438:D I *D sky130_fd_sc_hd__or4_1
*I *6764:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6438:D 0.000169017
2 *6764:Q 0.000169017
3 *6438:D *6438:C 0.000299323
4 *902:21 *6438:D 9.34869e-05
*RES
1 *6764:Q *6438:D 33.3757
*END
*D_NET *1340 0.000999065
*CONN
*I *6438:C I *D sky130_fd_sc_hd__or4_1
*I *6765:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6438:C 0.000262534
2 *6765:Q 0.000262534
3 *6438:D *6438:C 0.000299323
4 *813:12 *6438:C 0.000156823
5 *902:21 *6438:C 1.78514e-05
6 *1149:12 *6438:C 0
7 *1149:30 *6438:C 0
*RES
1 *6765:Q *6438:C 36.8048
*END
*D_NET *1341 0.00108674
*CONN
*I *6437:B I *D sky130_fd_sc_hd__or4_1
*I *6766:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6437:B 0.000529917
2 *6766:Q 0.000529917
3 *6182:B *6437:B 0
4 *1229:12 *6437:B 2.69064e-05
*RES
1 *6766:Q *6437:B 36.7061
*END
*D_NET *1342 0.000427771
*CONN
*I *6437:A I *D sky130_fd_sc_hd__or4_1
*I *6767:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6437:A 0.000183477
2 *6767:Q 0.000183477
3 *362:7 *6437:A 6.08167e-05
*RES
1 *6767:Q *6437:A 23.538
*END
*D_NET *1343 0.00656719
*CONN
*I *5984:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5974:A I *D sky130_fd_sc_hd__inv_2
*I *5978:A1 I *D sky130_fd_sc_hd__o31a_1
*I *5990:A I *D sky130_fd_sc_hd__nor2_1
*I *5977:A I *D sky130_fd_sc_hd__nor4_1
*I *6770:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5984:A 0.000249339
2 *5974:A 0.000109204
3 *5978:A1 0
4 *5990:A 0.000237766
5 *5977:A 0.000184595
6 *6770:Q 0.000290033
7 *1343:45 0.000598343
8 *1343:35 0.000303898
9 *1343:19 0.000572598
10 *1343:5 0.000745362
11 *5977:A *5977:D 0.000111708
12 *5977:A *5978:A2 0.000103123
13 *5977:A *1346:8 9.75356e-05
14 *5990:A *5982:A 0.000394364
15 *5990:A *5990:B 0.000589703
16 *1343:5 *1345:7 4.69326e-06
17 *1343:45 *5978:A3 0.000207266
18 *1343:45 *5983:A 7.26733e-05
19 *1343:45 *1344:19 2.95757e-05
20 *1343:45 *1346:23 0.000211492
21 *5977:C *1343:5 4.43961e-05
22 *5977:C *1343:19 7.62817e-05
23 *5979:B *5990:A 9.2346e-06
24 *6483:B *1343:45 0
25 *6483:C *5974:A 0
26 *361:9 *1343:45 0.000217587
27 *362:8 *5990:A 3.20069e-06
28 *365:6 *5977:A 0.000118485
29 *603:30 *5977:A 7.92757e-06
30 *608:10 *5974:A 9.90116e-05
31 *608:10 *5984:A 0.000108071
32 *612:11 *5990:A 0.00029134
33 *612:11 *1343:35 0.000118485
34 *612:11 *1343:45 4.3116e-06
35 *612:16 *1343:45 7.23866e-05
36 *665:17 *5990:A 7.08412e-05
37 *665:17 *1343:35 2.61857e-05
38 *905:27 *5990:A 0.000169038
39 *907:20 *5977:A 4.3116e-06
40 *1066:10 *1343:45 1.2819e-05
*RES
1 *6770:Q *1343:5 13.3002
2 *1343:5 *5977:A 23.9928
3 *1343:5 *1343:19 8.55102
4 *1343:19 *5990:A 27.8626
5 *1343:19 *1343:35 6.74725
6 *1343:35 *5978:A1 9.24915
7 *1343:35 *1343:45 18.6175
8 *1343:45 *5974:A 12.7697
9 *1343:45 *5984:A 15.5186
*END
*D_NET *1344 0.00332361
*CONN
*I *5976:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *5978:A3 I *D sky130_fd_sc_hd__o31a_1
*I *5990:B I *D sky130_fd_sc_hd__nor2_1
*I *6771:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5976:A 4.40236e-05
2 *5978:A3 7.82478e-05
3 *5990:B 0.000213963
4 *6771:Q 0.000216662
5 *1344:19 0.000293692
6 *1344:6 0.000602045
7 *5976:A *1346:23 1.65872e-05
8 *5978:A3 *5978:A2 6.92705e-05
9 *5978:A3 *1346:23 6.48838e-05
10 *1344:19 *5983:A 4.70104e-05
11 *1344:19 *6436:D 0.000216088
12 *5979:B *1344:6 9.34396e-06
13 *5979:B *1344:19 4.22752e-05
14 *5990:A *5990:B 0.000589703
15 *361:9 *1344:19 1.37925e-05
16 *362:8 *1344:6 0
17 *603:30 *5976:A 3.82228e-05
18 *603:30 *5978:A3 0.000117292
19 *609:14 *5976:A 7.92757e-06
20 *609:14 *1344:19 0.000149628
21 *905:27 *5990:B 6.58186e-05
22 *1066:10 *1344:6 0.000140111
23 *1066:10 *1344:19 5.01813e-05
24 *1343:45 *5978:A3 0.000207266
25 *1343:45 *1344:19 2.95757e-05
*RES
1 *6771:Q *1344:6 19.7337
2 *1344:6 *5990:B 21.7065
3 *1344:6 *1344:19 11.315
4 *1344:19 *5978:A3 13.3002
5 *1344:19 *5976:A 10.5271
*END
*D_NET *1345 0.0061899
*CONN
*I *5982:A I *D sky130_fd_sc_hd__clkbuf_2
*I *5978:A2 I *D sky130_fd_sc_hd__o31a_1
*I *5977:B I *D sky130_fd_sc_hd__nor4_1
*I *6772:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5982:A 0.00023894
2 *5978:A2 0.000106007
3 *5977:B 0
4 *6772:Q 0.000848756
5 *1345:10 0.000190558
6 *1345:7 0.00117225
7 *5978:A2 *5977:D 5.51483e-06
8 *5978:A2 *1346:17 6.73022e-05
9 *5978:A2 *1346:23 7.68538e-06
10 *5977:A *5978:A2 0.000103123
11 *5977:C *1345:10 0.000224783
12 *5978:A3 *5978:A2 6.92705e-05
13 *5979:A *5982:A 0.000613622
14 *5979:A *1345:10 1.87269e-05
15 *5990:A *5982:A 0.000394364
16 *6440:A *5982:A 1.92336e-05
17 *6770:D *1345:7 3.18826e-06
18 *363:11 *5982:A 9.2346e-06
19 *365:6 *1345:10 0
20 *603:30 *5978:A2 0.0005768
21 *813:21 *5982:A 7.26588e-05
22 *813:21 *1345:10 0.000299338
23 *905:27 *5982:A 0.00103317
24 *1090:15 *1345:7 0.000110684
25 *1343:5 *1345:7 4.69326e-06
*RES
1 *6772:Q *1345:7 26.1192
2 *1345:7 *1345:10 9.65401
3 *1345:10 *5977:B 9.24915
4 *1345:10 *5978:A2 15.5186
5 *1345:7 *5982:A 28.8206
*END
*D_NET *1346 0.00375248
*CONN
*I *5978:B1 I *D sky130_fd_sc_hd__o31a_1
*I *5983:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *6436:D I *D sky130_fd_sc_hd__or4_1
*I *5977:D I *D sky130_fd_sc_hd__nor4_1
*I *6773:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *5978:B1 0
2 *5983:A 7.66836e-05
3 *6436:D 0.000185656
4 *5977:D 7.68048e-05
5 *6773:Q 0.000303201
6 *1346:23 0.00045336
7 *1346:17 0.000269015
8 *1346:8 0.000458
9 *5976:A *1346:23 1.65872e-05
10 *5977:A *5977:D 0.000111708
11 *5977:A *1346:8 9.75356e-05
12 *5978:A2 *5977:D 5.51483e-06
13 *5978:A2 *1346:17 6.73022e-05
14 *5978:A2 *1346:23 7.68538e-06
15 *5978:A3 *1346:23 6.48838e-05
16 *5979:A *1346:8 0
17 *6436:A *6436:D 2.29299e-05
18 *6436:B *6436:D 1.61631e-05
19 *6436:C *6436:D 1.41976e-05
20 *363:11 *1346:8 6.16881e-05
21 *365:6 *1346:8 8.53663e-05
22 *609:14 *1346:23 0.000107496
23 *614:21 *6436:D 4.48869e-05
24 *665:17 *1346:8 2.54199e-05
25 *907:20 *1346:8 0.000200933
26 *1066:10 *5983:A 0.0002212
27 *1066:10 *6436:D 0.000210992
28 *1343:45 *5983:A 7.26733e-05
29 *1343:45 *1346:23 0.000211492
30 *1344:19 *5983:A 4.70104e-05
31 *1344:19 *6436:D 0.000216088
*RES
1 *6773:Q *1346:8 28.3862
2 *1346:8 *5977:D 11.0817
3 *1346:8 *1346:17 2.41132
4 *1346:17 *1346:23 10.2389
5 *1346:23 *6436:D 20.5028
6 *1346:23 *5983:A 17.6574
7 *1346:17 *5978:B1 9.24915
*END
*D_NET *1347 0.00214531
*CONN
*I *6439:B I *D sky130_fd_sc_hd__or4_1
*I *6774:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6439:B 0.000713858
2 *6774:Q 0.000713858
3 *6439:B *6439:A 0
4 *593:31 *6439:B 0
5 *593:40 *6439:B 0
6 *895:30 *6439:B 0.000154256
7 *1090:15 *6439:B 4.88112e-06
8 *1090:64 *6439:B 2.18741e-05
9 *1090:71 *6439:B 0.000536581
*RES
1 *6774:Q *6439:B 44.5959
*END
*D_NET *1348 0.000961666
*CONN
*I *6439:A I *D sky130_fd_sc_hd__or4_1
*I *6775:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6439:A 0.000317158
2 *6775:Q 0.000317158
3 *6439:A *6439:C 0.000123176
4 *6439:B *6439:A 0
5 *593:40 *6439:A 0.000158373
6 *895:30 *6439:A 0
7 *905:27 *6439:A 4.58003e-05
*RES
1 *6775:Q *6439:A 37.5338
*END
*D_NET *1349 0.000413311
*CONN
*I *6439:C I *D sky130_fd_sc_hd__or4_1
*I *6776:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6439:C 0.000145068
2 *6776:Q 0.000145068
3 *6439:A *6439:C 0.000123176
4 *6440:C *6439:C 0
*RES
1 *6776:Q *6439:C 22.5734
*END
*D_NET *1350 0.00415027
*CONN
*I *6040:A1 I *D sky130_fd_sc_hd__o31ai_1
*I *6045:A1 I *D sky130_fd_sc_hd__o21ai_2
*I *6047:A I *D sky130_fd_sc_hd__xnor2_2
*I *6044:A I *D sky130_fd_sc_hd__or3_2
*I *6041:A I *D sky130_fd_sc_hd__or4_2
*I *6777:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *6040:A1 0
2 *6045:A1 0
3 *6047:A 9.63221e-05
4 *6044:A 9.60287e-05
5 *6041:A 6.52804e-05
6 *6777:Q 0.000218325
7 *1350:31 0.000192351
8 *1350:29 0.000209647
9 *1350:15 0.000418875
10 *1350:9 0.000362272
11 *6041:A *6040:B1 0.000221185
12 *6044:A *6072:B 3.14978e-05
13 *1350:15 *1351:7 4.43826e-05
14 *1350:29 *6045:A2 0.000313495
15 *1350:29 *6045:B1 7.23857e-05
16 *1350:29 *1351:7 4.30055e-05
17 *6042:A *6047:A 5.0608e-05
18 *6052:B *6044:A 0.000175689
19 *6052:B *6047:A 0.000134323
20 *6779:D *1350:9 6.73186e-05
21 *275:11 *1350:9 0.000148144
22 *362:8 *6044:A 7.58595e-05
23 *362:8 *6047:A 6.71192e-05
24 *363:11 *6041:A 0.000221185
25 *597:39 *1350:9 0
26 *600:23 *1350:15 7.89747e-05
27 *600:23 *1350:29 3.31745e-05
28 *604:41 *1350:9 0.000466373
29 *813:21 *1350:15 0.000170592
30 *902:21 *1350:15 7.58595e-05
*RES
1 *6777:Q *1350:9 26.0719
2 *1350:9 *1350:15 14.465
3 *1350:15 *6041:A 22.1574
4 *1350:15 *1350:29 6.46234
5 *1350:29 *1350:31 4.5
6 *1350:31 *6044:A 17.5503
7 *1350:31 *6047:A 17.2421
8 *1350:29 *6045:A1 9.24915
9 *1350:9 *6040:A1 9.24915
*END
*D_NET *1351 0.00542983
*CONN
*I *6040:A2 I *D sky130_fd_sc_hd__o31ai_1
*I *6047:B I *D sky130_fd_sc_hd__xnor2_2
*I *6045:A2 I *D sky130_fd_sc_hd__o21ai_2
*I *6044:B I *D sky130_fd_sc_hd__or3_2
*I *6041:B I *D sky130_fd_sc_hd__or4_2
*I *6778:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6040:A2 0.000265711
2 *6047:B 4.34277e-05
3 *6045:A2 8.33196e-05
4 *6044:B 0.000139985
5 *6041:B 7.71196e-05
6 *6778:Q 0.000839064
7 *1351:32 0.000400438
8 *1351:23 0.000211799
9 *1351:10 0.000265502
10 *1351:7 0.000924642
11 *6040:A2 *6040:A3 0.000164815
12 *6044:B *6044:C 1.00846e-05
13 *6045:A2 *6045:B1 0.00041102
14 *6771:D *6040:A2 6.94589e-05
15 *6771:D *1351:10 7.14746e-05
16 *6771:D *1351:23 6.79599e-05
17 *6771:D *1351:32 0.000155355
18 *6779:CLK *1351:7 7.49459e-05
19 *6779:D *1351:7 4.05943e-06
20 *600:23 *1351:7 2.34392e-05
21 *604:41 *6040:A2 6.82628e-05
22 *604:41 *6047:B 0.000213725
23 *664:7 *6047:B 8.65358e-05
24 *665:8 *6040:A2 1.93378e-05
25 *665:8 *6041:B 0.000111722
26 *665:8 *6044:B 0.00015511
27 *665:8 *1351:10 1.47102e-05
28 *665:8 *1351:23 1.93378e-05
29 *665:8 *1351:32 3.65842e-05
30 *1350:15 *1351:7 4.43826e-05
31 *1350:29 *6045:A2 0.000313495
32 *1350:29 *1351:7 4.30055e-05
*RES
1 *6778:Q *1351:7 26.1192
2 *1351:7 *1351:10 5.91674
3 *1351:10 *6041:B 10.5271
4 *1351:10 *6044:B 11.6364
5 *1351:7 *1351:23 1.41674
6 *1351:23 *6045:A2 18.3548
7 *1351:23 *1351:32 3.07775
8 *1351:32 *6047:B 16.1364
9 *1351:32 *6040:A2 18.9396
*END
*D_NET *1352 0.00356415
*CONN
*I *6040:A3 I *D sky130_fd_sc_hd__o31ai_1
*I *6045:B1 I *D sky130_fd_sc_hd__o21ai_2
*I *6041:C I *D sky130_fd_sc_hd__or4_2
*I *6044:C I *D sky130_fd_sc_hd__or3_2
*I *6779:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6040:A3 9.89153e-05
2 *6045:B1 0.00012993
3 *6041:C 0
4 *6044:C 6.94554e-05
5 *6779:Q 0
6 *1352:21 0.000249228
7 *1352:8 0.000125862
8 *1352:5 7.67888e-05
9 *6044:C *6072:B 0.00027329
10 *6044:C *1353:25 6.50586e-05
11 *6040:A2 *6040:A3 0.000164815
12 *6044:B *6044:C 1.00846e-05
13 *6045:A2 *6045:B1 0.00041102
14 *6771:D *6040:A3 0.00018643
15 *6771:D *1352:8 0.000141533
16 *6771:D *1352:21 8.01687e-05
17 *363:11 *6040:A3 0.000174206
18 *363:11 *1352:8 0.000146645
19 *363:11 *1352:21 7.30564e-05
20 *600:23 *6045:B1 0.000716748
21 *604:41 *6040:A3 0.000164815
22 *665:8 *6044:C 0.000120546
23 *668:11 *6045:B1 1.31657e-05
24 *1350:29 *6045:B1 7.23857e-05
*RES
1 *6779:Q *1352:5 13.7491
2 *1352:5 *1352:8 7.1625
3 *1352:8 *6044:C 13.3243
4 *1352:8 *6041:C 9.24915
5 *1352:5 *1352:21 1.41674
6 *1352:21 *6045:B1 21.6824
7 *1352:21 *6040:A3 19.0748
*END
*D_NET *1353 0.0037322
*CONN
*I *6072:B I *D sky130_fd_sc_hd__and3_1
*I *6041:D I *D sky130_fd_sc_hd__or4_2
*I *6040:B1 I *D sky130_fd_sc_hd__o31ai_1
*I *6780:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6072:B 0.000556365
2 *6041:D 0
3 *6040:B1 0.00023041
4 *6780:Q 0.000404384
5 *1353:25 0.0006522
6 *1353:9 0.000730628
7 *6041:A *6040:B1 0.000221185
8 *6044:A *6072:B 3.14978e-05
9 *6044:C *6072:B 0.00027329
10 *6044:C *1353:25 6.50586e-05
11 *275:11 *1353:9 0.000101133
12 *363:11 *6040:B1 6.5742e-05
13 *597:39 *1353:9 0
14 *604:41 *6040:B1 8.42922e-05
15 *664:7 *6040:B1 5.04829e-06
16 *665:8 *1353:9 1.43848e-05
17 *665:8 *1353:25 3.21683e-05
18 *667:11 *6072:B 6.54278e-05
19 *668:11 *6072:B 2.85274e-05
20 *813:21 *6040:B1 0.00017046
*RES
1 *6780:Q *1353:9 26.9052
2 *1353:9 *6040:B1 27.0897
3 *1353:9 *1353:25 2.38721
4 *1353:25 *6041:D 9.24915
5 *1353:25 *6072:B 20.8235
*END
*D_NET *1354 0.00775064
*CONN
*I *6439:D I *D sky130_fd_sc_hd__or4_1
*I *6072:A I *D sky130_fd_sc_hd__and3_1
*I *6052:A I *D sky130_fd_sc_hd__xnor2_1
*I *6781:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6439:D 0.000602179
2 *6072:A 0.000270197
3 *6052:A 0
4 *6781:Q 0.000426059
5 *1354:19 0.00147901
6 *1354:7 0.00223705
7 *6072:A *1366:11 2.65667e-05
8 *6353:A *1354:19 0.000736136
9 *6370:A *6439:D 0
10 *6771:D *1354:19 2.65667e-05
11 *6777:D *6439:D 2.22923e-05
12 *6778:CLK *6439:D 0.000152833
13 *6778:D *6439:D 0.00014936
14 *6779:CLK *6439:D 5.42584e-05
15 *6779:CLK *1354:19 3.31736e-05
16 *6780:D *1354:19 1.87611e-05
17 *6781:CLK *1354:7 0.000159586
18 *7071:A *6072:A 0.000275256
19 *7071:A *1354:19 0.000590983
20 *274:8 *6439:D 0
21 *274:8 *1354:19 0
22 *593:40 *6439:D 0
23 *895:30 *6439:D 8.92568e-06
24 *905:27 *6439:D 0.000169093
25 *913:31 *1354:19 2.41274e-06
26 *1067:26 *6072:A 0.00011581
27 *1067:26 *1354:19 0
28 *1088:54 *1354:19 1.04928e-05
29 *1088:57 *1354:19 5.19205e-05
30 *1090:24 *6439:D 0.000131713
31 *1093:16 *6072:A 0
*RES
1 *6781:Q *1354:7 20.5732
2 *1354:7 *1354:19 33.77
3 *1354:19 *6052:A 9.24915
4 *1354:19 *6072:A 26.6265
5 *1354:7 *6439:D 32.1912
*END
*D_NET *1355 0.00243846
*CONN
*I *6073:A I *D sky130_fd_sc_hd__nor2_1
*I *6074:A I *D sky130_fd_sc_hd__nand2_1
*I *6438:B I *D sky130_fd_sc_hd__or4_1
*I *6782:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6073:A 0.000239709
2 *6074:A 0
3 *6438:B 0.000196978
4 *6782:Q 0.000241449
5 *1355:8 0.000368288
6 *1355:5 0.000652468
7 *362:8 *6438:B 0
8 *362:8 *1355:8 0
9 *363:11 *6438:B 0.000148144
10 *363:11 *1355:8 0.000325416
11 *756:5 *6073:A 3.82894e-05
12 *1149:30 *6438:B 3.92275e-05
13 *1231:9 *6073:A 3.86121e-05
14 *1231:9 *1355:5 0.000122969
15 *1231:9 *1355:8 2.69064e-05
*RES
1 *6782:Q *1355:5 14.964
2 *1355:5 *1355:8 10.4845
3 *1355:8 *6438:B 18.2442
4 *1355:8 *6074:A 13.7491
5 *1355:5 *6073:A 14.8434
*END
*D_NET *1356 0.00227182
*CONN
*I *6081:A I *D sky130_fd_sc_hd__xor2_1
*I *6438:A I *D sky130_fd_sc_hd__or4_1
*I *6783:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6081:A 0.000152789
2 *6438:A 0.000126045
3 *6783:Q 0.000149655
4 *1356:8 0.000428488
5 *6074:B *6081:A 0.000324151
6 *6783:CLK *1356:8 4.58003e-05
7 *363:11 *6438:A 8.3647e-05
8 *363:11 *1356:8 3.42931e-05
9 *597:50 *6081:A 0.000681068
10 *813:12 *6438:A 0.00015459
11 *813:21 *6438:A 3.20069e-06
12 *813:21 *1356:8 3.60268e-05
13 *1149:30 *6081:A 5.2068e-05
14 *1149:30 *6438:A 0
*RES
1 *6783:Q *1356:8 16.8591
2 *1356:8 *6438:A 18.0727
3 *1356:8 *6081:A 21.7065
*END
*D_NET *1362 0.000335812
*CONN
*I *6704:A I *D sky130_fd_sc_hd__or4_1
*I *6858:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6704:A 0.000104317
2 *6858:Q 0.000104317
3 *6704:A *6082:B 0.000127179
4 *7079:A *6704:A 0
*RES
1 *6858:Q *6704:A 30.4689
*END
*D_NET *1363 0.00896275
*CONN
*I *6062:B I *D sky130_fd_sc_hd__nor2_1
*I *6048:B I *D sky130_fd_sc_hd__and2_1
*I *6847:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6047:Y O *D sky130_fd_sc_hd__xnor2_2
*CAP
1 *6062:B 7.72067e-05
2 *6048:B 0
3 *6847:D 0
4 *6047:Y 0.000182104
5 *1363:23 0.000540646
6 *1363:20 0.00103816
7 *1363:9 0.000756825
8 *1363:20 *1365:25 4.70005e-05
9 *1363:23 *6050:A2 1.65872e-05
10 *1363:23 *1365:25 1.92336e-05
11 *6046:A_N *1363:20 0.000224395
12 *6049:A2 *1363:20 4.31539e-05
13 *6062:A *6062:B 0.000168001
14 *6062:A *1363:23 3.75603e-05
15 *6847:CLK *1363:9 1.92793e-05
16 *600:23 *1363:9 0.000484737
17 *600:23 *1363:20 0.0033049
18 *604:43 *6062:B 0.000168843
19 *604:43 *1363:23 0.000197928
20 *604:47 *6062:B 7.32658e-06
21 *668:31 *1363:9 8.24199e-07
22 *668:31 *1363:20 0.000635529
23 *669:5 *1363:20 0.000292214
24 *670:8 *1363:23 0.000543039
25 *1066:10 *1363:9 4.12533e-05
26 *1223:9 *1363:23 0.000116
*RES
1 *6047:Y *1363:9 25.1871
2 *1363:9 *6847:D 9.24915
3 *1363:9 *1363:20 46.4103
4 *1363:20 *1363:23 15.1672
5 *1363:23 *6048:B 9.24915
6 *1363:23 *6062:B 14.4576
*END
*D_NET *1364 0.000366388
*CONN
*I *6848:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6174:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *6848:D 0.000146566
2 *6174:Y 0.000146566
3 *667:23 *6848:D 1.61631e-05
4 *667:39 *6848:D 1.92172e-05
5 *1067:26 *6848:D 6.67095e-06
6 *1093:16 *6848:D 3.12044e-05
7 *1220:33 *6848:D 0
*RES
1 *6174:Y *6848:D 30.692
*END
*D_NET *1365 0.00763769
*CONN
*I *6849:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6051:A2 I *D sky130_fd_sc_hd__o21ai_2
*I *6050:A2 I *D sky130_fd_sc_hd__a221o_1
*I *6059:B I *D sky130_fd_sc_hd__xor2_1
*I *6043:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *6849:D 6.91345e-05
2 *6051:A2 0
3 *6050:A2 0.000143713
4 *6059:B 0
5 *6043:X 0.000405335
6 *1365:25 0.000425217
7 *1365:18 0.000281505
8 *1365:16 0.00157564
9 *1365:8 0.00205011
10 *1365:16 *6705:A1 0.000175485
11 *6050:B2 *1365:25 0.000151741
12 *6050:C1 *1365:25 0.000195154
13 *6051:A1 *6050:A2 2.79064e-05
14 *6051:A1 *1365:25 3.12899e-05
15 *6051:B1 *1365:25 0
16 *6060:B *6050:A2 4.21912e-05
17 *7080:A *1365:16 0.000436825
18 *600:23 *6050:A2 5.94977e-06
19 *604:43 *6050:A2 4.87301e-05
20 *604:43 *1365:8 9.39395e-05
21 *604:43 *1365:25 4.23858e-05
22 *667:39 *6849:D 0.0002065
23 *669:20 *6050:A2 1.82708e-05
24 *671:21 *1365:25 6.87482e-05
25 *674:8 *1365:16 8.38457e-05
26 *1067:26 *1365:16 0.000124942
27 *1093:10 *1365:8 0.000332558
28 *1220:33 *1365:8 0.00015046
29 *1220:33 *1365:16 5.39463e-05
30 *1223:9 *6050:A2 5.24568e-05
31 *1223:9 *1365:25 9.68302e-05
32 *1223:12 *6050:A2 0.000132607
33 *1223:12 *1365:25 3.14496e-05
34 *1363:20 *1365:25 4.70005e-05
35 *1363:23 *6050:A2 1.65872e-05
36 *1363:23 *1365:25 1.92336e-05
*RES
1 *6043:X *1365:8 26.279
2 *1365:8 *1365:16 46.8002
3 *1365:16 *1365:18 4.5
4 *1365:18 *1365:25 19.3409
5 *1365:25 *6059:B 9.24915
6 *1365:25 *6050:A2 23.2191
7 *1365:18 *6051:A2 9.24915
8 *1365:8 *6849:D 15.9495
*END
*D_NET *1366 0.00572752
*CONN
*I *6054:B I *D sky130_fd_sc_hd__or2_1
*I *6053:B I *D sky130_fd_sc_hd__nand2_1
*I *6850:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6052:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *6054:B 0
2 *6053:B 0.00020533
3 *6850:D 0
4 *6052:Y 0.000379586
5 *1366:17 0.000768212
6 *1366:11 0.000942467
7 *6053:A *6053:B 7.75932e-05
8 *6054:A *6053:B 4.23793e-05
9 *6072:A *1366:11 2.65667e-05
10 *6850:CLK *1366:11 0
11 *581:13 *1366:11 0.000113115
12 *581:13 *1366:17 7.16674e-05
13 *693:11 *1366:11 0
14 *756:5 *1366:11 0.000690722
15 *756:5 *1366:17 0.00230875
16 *756:17 *6053:B 0.000101133
*RES
1 *6052:Y *1366:11 31.8662
2 *1366:11 *6850:D 9.24915
3 *1366:11 *1366:17 24.6256
4 *1366:17 *6053:B 24.6489
5 *1366:17 *6054:B 9.24915
*END
*D_NET *1367 0.00514478
*CONN
*I *6079:B I *D sky130_fd_sc_hd__and2_1
*I *6077:B I *D sky130_fd_sc_hd__nor2_1
*I *6851:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6076:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *6079:B 0.00030812
2 *6077:B 0.000165693
3 *6851:D 0
4 *6076:X 0.000106802
5 *1367:19 0.000991399
6 *1367:9 0.000624389
7 *1367:9 *1368:11 6.50586e-05
8 *1367:19 *6704:B 1.43983e-05
9 *6077:A *6077:B 0.000346333
10 *6077:A *6079:B 0
11 *6080:A1 *6079:B 1.80257e-05
12 *6080:A1 *1367:19 2.41274e-06
13 *6080:A2 *6079:B 0.000330596
14 *6080:A2 *1367:19 0
15 *6080:B1_N *6079:B 4.55535e-05
16 *6094:B *6079:B 1.78514e-05
17 *6709:A_N *1367:19 0.000228829
18 *6709:C *1367:19 6.50586e-05
19 *6851:CLK *1367:9 0.000171288
20 *597:50 *1367:9 0.000396849
21 *597:50 *1367:19 0.000953747
22 *597:61 *1367:19 0.000283757
23 *697:8 *6079:B 8.62321e-06
24 *1226:9 *6077:B 0
*RES
1 *6076:X *1367:9 14.9579
2 *1367:9 *6851:D 9.24915
3 *1367:9 *1367:19 20.7916
4 *1367:19 *6077:B 24.2337
5 *1367:19 *6079:B 26.763
*END
*D_NET *1368 0.0041999
*CONN
*I *6082:B I *D sky130_fd_sc_hd__xor2_1
*I *6852:D I *D sky130_fd_sc_hd__dfxtp_1
*I *6081:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *6082:B 0.000540236
2 *6852:D 0
3 *6081:X 0.000507348
4 *1368:11 0.00104758
5 *6082:B *6704:C 3.67528e-06
6 *6076:A *1368:11 8.5044e-05
7 *6704:A *6082:B 0.000127179
8 *6709:C *6082:B 0
9 *6851:CLK *1368:11 0.000316675
10 *6852:CLK *1368:11 0
11 *6858:D *6082:B 0.000543039
12 *7079:A *6082:B 0.000705311
13 *7079:A *1368:11 0.000106527
14 *597:50 *1368:11 1.71154e-05
15 *1091:119 *1368:11 0
16 *1220:41 *6082:B 0.000110115
17 *1220:41 *1368:11 2.49882e-05
18 *1367:9 *1368:11 6.50586e-05
*RES
1 *6081:X *1368:11 30.2024
2 *1368:11 *6852:D 9.24915
3 *1368:11 *6082:B 38.2175
*END
*D_NET *1369 0.000711643
*CONN
*I *6705:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *6847:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6705:A2 0.00019164
2 *6847:Q 0.00019164
3 *668:31 *6705:A2 0.000328363
*RES
1 *6847:Q *6705:A2 21.9947
*END
*D_NET *1370 0.000918495
*CONN
*I *6705:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *6848:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6705:A1 0.000356574
2 *6848:Q 0.000356574
3 *6705:A1 *6705:B1 2.98609e-05
4 *7097:A *6705:A1 0
5 *1076:6 *6705:A1 0
6 *1093:10 *6705:A1 0
7 *1365:16 *6705:A1 0.000175485
*RES
1 *6848:Q *6705:A1 35.3154
*END
*D_NET *1371 0.000755186
*CONN
*I *6705:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *6849:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6705:B1 0.000256231
2 *6849:Q 0.000256231
3 *6705:A1 *6705:B1 2.98609e-05
4 *7097:A *6705:B1 0.000116986
5 *667:39 *6705:B1 9.58779e-05
*RES
1 *6849:Q *6705:B1 32.6874
*END
*D_NET *1372 0.000869529
*CONN
*I *6704:C I *D sky130_fd_sc_hd__or4_1
*I *6850:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6704:C 0.000272736
2 *6850:Q 0.000272736
3 *6704:C *6704:B 7.86847e-05
4 *6082:B *6704:C 3.67528e-06
5 *6709:A_N *6704:C 8.92568e-06
6 *6709:C *6704:C 0
7 *7079:A *6704:C 0.000135825
8 *7080:A *6704:C 9.69453e-05
9 *577:11 *6704:C 0
*RES
1 *6850:Q *6704:C 36.3896
*END
*D_NET *1373 0.000292616
*CONN
*I *6704:B I *D sky130_fd_sc_hd__or4_1
*I *6851:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6704:B 8.47703e-05
2 *6851:Q 8.47703e-05
3 *6704:C *6704:B 7.86847e-05
4 *6709:A_N *6704:B 2.99929e-05
5 *1367:19 *6704:B 1.43983e-05
*RES
1 *6851:Q *6704:B 29.6384
*END
*D_NET *1374 0.000300912
*CONN
*I *6704:D I *D sky130_fd_sc_hd__or4_1
*I *6852:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *6704:D 9.98895e-05
2 *6852:Q 9.98895e-05
3 *7079:A *6704:D 0.000101133
4 *1220:33 *6704:D 0
*RES
1 *6852:Q *6704:D 30.0537
*END