blob: bd5fa4d2f08d796cc85f8db32cccc060c363d164 [file] [log] [blame]
*SPEF "ieee 1481-1999"
*DESIGN "wrapped_frequency_counter"
*DATE "11:11:11 Fri 11 11, 1111"
*VENDOR "OpenRCX"
*PROGRAM "Parallel Extraction"
*VERSION "1.0"
*DESIGN_FLOW "NAME_SCOPE LOCAL" "PIN_CAP NONE"
*DIVIDER /
*DELIMITER :
*BUS_DELIMITER []
*T_UNIT 1 NS
*C_UNIT 1 PF
*R_UNIT 1 OHM
*L_UNIT 1 HENRY
*NAME_MAP
*1 active
*2 io_in[0]
*3 io_in[10]
*4 io_in[11]
*5 io_in[12]
*6 io_in[13]
*7 io_in[14]
*8 io_in[15]
*9 io_in[16]
*10 io_in[17]
*11 io_in[18]
*12 io_in[19]
*13 io_in[1]
*14 io_in[20]
*15 io_in[21]
*16 io_in[22]
*17 io_in[23]
*18 io_in[24]
*19 io_in[25]
*20 io_in[26]
*21 io_in[27]
*22 io_in[28]
*23 io_in[29]
*24 io_in[2]
*25 io_in[30]
*26 io_in[31]
*27 io_in[32]
*28 io_in[33]
*29 io_in[34]
*30 io_in[35]
*31 io_in[36]
*32 io_in[37]
*33 io_in[3]
*34 io_in[4]
*35 io_in[5]
*36 io_in[6]
*37 io_in[7]
*38 io_in[8]
*39 io_in[9]
*40 io_oeb[0]
*41 io_oeb[10]
*42 io_oeb[11]
*43 io_oeb[12]
*44 io_oeb[13]
*45 io_oeb[14]
*46 io_oeb[15]
*47 io_oeb[16]
*48 io_oeb[17]
*49 io_oeb[18]
*50 io_oeb[19]
*51 io_oeb[1]
*52 io_oeb[20]
*53 io_oeb[21]
*54 io_oeb[22]
*55 io_oeb[23]
*56 io_oeb[24]
*57 io_oeb[25]
*58 io_oeb[26]
*59 io_oeb[27]
*60 io_oeb[28]
*61 io_oeb[29]
*62 io_oeb[2]
*63 io_oeb[30]
*64 io_oeb[31]
*65 io_oeb[32]
*66 io_oeb[33]
*67 io_oeb[34]
*68 io_oeb[35]
*69 io_oeb[36]
*70 io_oeb[37]
*71 io_oeb[3]
*72 io_oeb[4]
*73 io_oeb[5]
*74 io_oeb[6]
*75 io_oeb[7]
*76 io_oeb[8]
*77 io_oeb[9]
*78 io_out[0]
*79 io_out[10]
*80 io_out[11]
*81 io_out[12]
*82 io_out[13]
*83 io_out[14]
*84 io_out[15]
*85 io_out[16]
*86 io_out[17]
*87 io_out[18]
*88 io_out[19]
*89 io_out[1]
*90 io_out[20]
*91 io_out[21]
*92 io_out[22]
*93 io_out[23]
*94 io_out[24]
*95 io_out[25]
*96 io_out[26]
*97 io_out[27]
*98 io_out[28]
*99 io_out[29]
*100 io_out[2]
*101 io_out[30]
*102 io_out[31]
*103 io_out[32]
*104 io_out[33]
*105 io_out[34]
*106 io_out[35]
*107 io_out[36]
*108 io_out[37]
*109 io_out[3]
*110 io_out[4]
*111 io_out[5]
*112 io_out[6]
*113 io_out[7]
*114 io_out[8]
*115 io_out[9]
*116 la1_data_in[0]
*117 la1_data_in[10]
*118 la1_data_in[11]
*119 la1_data_in[12]
*120 la1_data_in[13]
*121 la1_data_in[14]
*122 la1_data_in[15]
*123 la1_data_in[16]
*124 la1_data_in[17]
*125 la1_data_in[18]
*126 la1_data_in[19]
*127 la1_data_in[1]
*128 la1_data_in[20]
*129 la1_data_in[21]
*130 la1_data_in[22]
*131 la1_data_in[23]
*132 la1_data_in[24]
*133 la1_data_in[25]
*134 la1_data_in[26]
*135 la1_data_in[27]
*136 la1_data_in[28]
*137 la1_data_in[29]
*138 la1_data_in[2]
*139 la1_data_in[30]
*140 la1_data_in[31]
*141 la1_data_in[3]
*142 la1_data_in[4]
*143 la1_data_in[5]
*144 la1_data_in[6]
*145 la1_data_in[7]
*146 la1_data_in[8]
*147 la1_data_in[9]
*148 la1_data_out[0]
*149 la1_data_out[10]
*150 la1_data_out[11]
*151 la1_data_out[12]
*152 la1_data_out[13]
*153 la1_data_out[14]
*154 la1_data_out[15]
*155 la1_data_out[16]
*156 la1_data_out[17]
*157 la1_data_out[18]
*158 la1_data_out[19]
*159 la1_data_out[1]
*160 la1_data_out[20]
*161 la1_data_out[21]
*162 la1_data_out[22]
*163 la1_data_out[23]
*164 la1_data_out[24]
*165 la1_data_out[25]
*166 la1_data_out[26]
*167 la1_data_out[27]
*168 la1_data_out[28]
*169 la1_data_out[29]
*170 la1_data_out[2]
*171 la1_data_out[30]
*172 la1_data_out[31]
*173 la1_data_out[3]
*174 la1_data_out[4]
*175 la1_data_out[5]
*176 la1_data_out[6]
*177 la1_data_out[7]
*178 la1_data_out[8]
*179 la1_data_out[9]
*180 la1_oenb[0]
*181 la1_oenb[10]
*182 la1_oenb[11]
*183 la1_oenb[12]
*184 la1_oenb[13]
*185 la1_oenb[14]
*186 la1_oenb[15]
*187 la1_oenb[16]
*188 la1_oenb[17]
*189 la1_oenb[18]
*190 la1_oenb[19]
*191 la1_oenb[1]
*192 la1_oenb[20]
*193 la1_oenb[21]
*194 la1_oenb[22]
*195 la1_oenb[23]
*196 la1_oenb[24]
*197 la1_oenb[25]
*198 la1_oenb[26]
*199 la1_oenb[27]
*200 la1_oenb[28]
*201 la1_oenb[29]
*202 la1_oenb[2]
*203 la1_oenb[30]
*204 la1_oenb[31]
*205 la1_oenb[3]
*206 la1_oenb[4]
*207 la1_oenb[5]
*208 la1_oenb[6]
*209 la1_oenb[7]
*210 la1_oenb[8]
*211 la1_oenb[9]
*214 wb_clk_i
*215 _0000_
*216 _0001_
*217 _0002_
*218 _0003_
*219 _0004_
*220 _0005_
*221 _0006_
*222 _0007_
*223 _0008_
*224 _0009_
*225 _0010_
*226 _0011_
*227 _0012_
*228 _0013_
*229 _0014_
*230 _0015_
*231 _0016_
*232 _0017_
*233 _0018_
*234 _0019_
*235 _0020_
*236 _0021_
*237 _0022_
*238 _0023_
*239 _0024_
*240 _0025_
*241 _0026_
*242 _0027_
*243 _0028_
*244 _0029_
*245 _0030_
*246 _0031_
*247 _0032_
*248 _0033_
*249 _0034_
*250 _0035_
*251 _0036_
*252 _0037_
*253 _0038_
*254 _0039_
*255 _0040_
*256 _0041_
*257 _0042_
*258 _0043_
*259 _0044_
*260 _0045_
*261 _0046_
*262 _0047_
*263 _0048_
*264 _0049_
*265 _0050_
*266 _0051_
*267 _0052_
*268 _0053_
*269 _0054_
*270 _0055_
*271 _0056_
*272 _0057_
*273 _0058_
*274 _0059_
*275 _0060_
*276 _0061_
*277 _0062_
*278 _0063_
*279 _0064_
*280 _0065_
*281 _0066_
*282 _0067_
*283 _0068_
*284 _0069_
*285 _0070_
*286 _0071_
*287 _0072_
*288 _0073_
*289 _0074_
*290 _0075_
*291 _0076_
*292 _0077_
*293 _0078_
*294 _0079_
*295 _0080_
*296 _0081_
*297 _0082_
*298 _0083_
*299 _0084_
*300 _0085_
*301 _0086_
*302 _0087_
*303 _0088_
*304 _0089_
*305 _0090_
*306 _0091_
*307 _0092_
*308 _0093_
*309 _0094_
*310 _0095_
*311 _0096_
*312 _0097_
*313 _0098_
*314 _0099_
*315 _0100_
*316 _0101_
*317 _0102_
*318 _0103_
*319 _0104_
*320 _0105_
*321 _0106_
*322 _0107_
*323 _0108_
*324 _0109_
*325 _0110_
*326 _0111_
*327 _0112_
*328 _0113_
*329 _0114_
*330 _0115_
*331 _0116_
*332 _0117_
*333 _0118_
*334 _0119_
*335 _0120_
*336 _0121_
*337 _0122_
*338 _0123_
*339 _0124_
*340 _0125_
*341 _0126_
*342 _0127_
*343 _0128_
*344 _0129_
*345 _0130_
*346 _0131_
*347 _0132_
*348 _0133_
*349 _0134_
*350 _0135_
*351 _0136_
*352 _0137_
*353 _0138_
*354 _0139_
*355 _0140_
*356 _0141_
*357 _0142_
*358 _0143_
*359 _0144_
*360 _0145_
*361 _0146_
*362 _0147_
*363 _0148_
*364 _0149_
*365 _0150_
*366 _0151_
*367 _0152_
*368 _0153_
*369 _0154_
*370 _0155_
*371 _0156_
*372 _0157_
*373 _0158_
*374 _0159_
*375 _0160_
*376 _0161_
*377 _0162_
*378 _0163_
*379 _0164_
*380 _0165_
*381 _0166_
*382 _0167_
*383 _0168_
*384 _0169_
*385 _0170_
*386 _0171_
*387 _0172_
*388 _0173_
*389 _0174_
*390 _0175_
*391 _0176_
*392 _0177_
*393 _0178_
*394 _0179_
*395 _0180_
*396 _0181_
*397 _0182_
*398 _0183_
*399 _0184_
*400 _0185_
*401 _0186_
*402 _0187_
*403 _0188_
*404 _0189_
*405 _0190_
*406 _0191_
*407 _0192_
*408 _0193_
*409 _0194_
*410 _0195_
*411 _0196_
*412 _0197_
*413 _0198_
*414 _0199_
*415 _0200_
*416 _0201_
*417 _0202_
*418 _0203_
*419 _0204_
*420 _0205_
*421 _0206_
*422 _0207_
*423 _0208_
*424 _0209_
*425 _0210_
*426 _0211_
*427 _0212_
*428 _0213_
*429 _0214_
*430 _0215_
*431 _0216_
*432 _0217_
*433 _0218_
*434 _0219_
*435 _0220_
*436 _0221_
*437 _0222_
*438 _0223_
*439 _0224_
*440 _0225_
*441 _0226_
*442 _0227_
*443 _0228_
*444 _0229_
*445 _0230_
*446 _0231_
*447 _0232_
*448 _0233_
*449 _0234_
*450 _0235_
*451 _0236_
*452 _0237_
*453 _0238_
*454 _0239_
*455 _0240_
*456 _0241_
*457 _0242_
*458 _0243_
*459 _0244_
*460 _0245_
*461 _0246_
*462 _0247_
*463 _0248_
*464 _0249_
*465 _0250_
*466 _0251_
*467 _0252_
*468 _0253_
*469 _0254_
*470 _0255_
*471 _0256_
*472 _0257_
*473 _0258_
*474 _0259_
*475 _0260_
*476 _0261_
*477 _0262_
*478 _0263_
*479 _0264_
*480 _0265_
*481 _0266_
*482 _0267_
*483 _0268_
*484 _0269_
*485 _0270_
*486 _0271_
*487 _0272_
*488 _0273_
*489 _0274_
*490 _0275_
*491 _0276_
*492 _0277_
*493 _0278_
*494 _0279_
*495 _0280_
*496 _0281_
*497 _0282_
*498 _0283_
*499 _0284_
*500 _0285_
*501 _0286_
*502 _0287_
*503 _0288_
*504 _0289_
*505 _0290_
*506 _0291_
*507 _0292_
*508 _0293_
*509 _0294_
*510 _0295_
*511 _0296_
*512 _0297_
*513 _0298_
*514 _0299_
*515 _0300_
*516 _0301_
*517 _0302_
*518 _0303_
*519 _0304_
*520 _0305_
*521 _0306_
*522 _0307_
*523 _0308_
*524 _0309_
*525 _0310_
*526 _0311_
*527 _0312_
*528 _0313_
*529 _0314_
*530 _0315_
*531 _0316_
*532 _0317_
*533 _0318_
*534 _0319_
*535 _0320_
*536 _0321_
*537 _0322_
*538 _0323_
*539 _0324_
*540 _0325_
*541 _0326_
*542 _0327_
*543 _0328_
*544 _0329_
*545 _0330_
*546 _0331_
*547 _0332_
*548 _0333_
*549 _0334_
*550 _0335_
*551 _0336_
*552 _0337_
*553 _0338_
*554 _0339_
*555 _0340_
*556 _0341_
*557 _0342_
*558 _0343_
*559 _0344_
*560 _0345_
*561 _0346_
*562 _0347_
*563 _0348_
*564 _0349_
*565 _0350_
*566 _0351_
*567 _0352_
*568 _0353_
*569 _0354_
*570 _0355_
*571 _0356_
*572 _0357_
*573 _0358_
*574 _0359_
*575 _0360_
*576 _0361_
*577 _0362_
*578 _0363_
*579 _0364_
*580 _0365_
*581 _0366_
*582 _0367_
*583 _0368_
*584 _0369_
*585 _0370_
*586 _0371_
*587 _0372_
*588 _0373_
*589 _0374_
*590 _0375_
*591 _0376_
*592 _0377_
*593 _0378_
*594 _0379_
*595 _0380_
*596 _0381_
*597 _0382_
*598 _0383_
*599 _0384_
*600 _0385_
*601 _0386_
*602 _0387_
*603 _0388_
*604 _0389_
*605 _0390_
*606 _0391_
*607 _0392_
*608 _0393_
*609 _0394_
*610 _0395_
*611 _0396_
*612 _0397_
*613 _0398_
*614 _0399_
*615 _0400_
*616 _0401_
*617 _0402_
*618 _0403_
*619 _0404_
*620 _0405_
*621 _0406_
*622 _0407_
*623 _0408_
*624 _0409_
*625 _0410_
*626 _0411_
*627 _0412_
*628 _0413_
*629 _0414_
*630 _0415_
*631 _0416_
*632 _0417_
*633 _0418_
*634 _0419_
*635 _0420_
*636 _0421_
*637 _0422_
*638 _0423_
*639 _0424_
*640 _0425_
*641 _0426_
*642 _0427_
*643 clknet_0_wb_clk_i
*644 clknet_2_0_0_wb_clk_i
*645 clknet_2_1_0_wb_clk_i
*646 clknet_2_2_0_wb_clk_i
*647 clknet_2_3_0_wb_clk_i
*648 frequency_counter_0\.clk_counter\[0\]
*649 frequency_counter_0\.clk_counter\[10\]
*650 frequency_counter_0\.clk_counter\[11\]
*651 frequency_counter_0\.clk_counter\[1\]
*652 frequency_counter_0\.clk_counter\[2\]
*653 frequency_counter_0\.clk_counter\[3\]
*654 frequency_counter_0\.clk_counter\[4\]
*655 frequency_counter_0\.clk_counter\[5\]
*656 frequency_counter_0\.clk_counter\[6\]
*657 frequency_counter_0\.clk_counter\[7\]
*658 frequency_counter_0\.clk_counter\[8\]
*659 frequency_counter_0\.clk_counter\[9\]
*660 frequency_counter_0\.digit
*661 frequency_counter_0\.edge_counter\[0\]
*662 frequency_counter_0\.edge_counter\[1\]
*663 frequency_counter_0\.edge_counter\[2\]
*664 frequency_counter_0\.edge_counter\[3\]
*665 frequency_counter_0\.edge_counter\[4\]
*666 frequency_counter_0\.edge_counter\[5\]
*667 frequency_counter_0\.edge_counter\[6\]
*668 frequency_counter_0\.edge_detect0\.q0
*669 frequency_counter_0\.edge_detect0\.q1
*670 frequency_counter_0\.edge_detect0\.q2
*671 frequency_counter_0\.segments\[0\]
*672 frequency_counter_0\.segments\[1\]
*673 frequency_counter_0\.segments\[2\]
*674 frequency_counter_0\.segments\[3\]
*675 frequency_counter_0\.segments\[4\]
*676 frequency_counter_0\.segments\[5\]
*677 frequency_counter_0\.segments\[6\]
*678 frequency_counter_0\.seven_segment0\.load
*679 frequency_counter_0\.seven_segment0\.ten_count\[0\]
*680 frequency_counter_0\.seven_segment0\.ten_count\[1\]
*681 frequency_counter_0\.seven_segment0\.ten_count\[2\]
*682 frequency_counter_0\.seven_segment0\.ten_count\[3\]
*683 frequency_counter_0\.seven_segment0\.ten_count_reg\[0\]
*684 frequency_counter_0\.seven_segment0\.ten_count_reg\[1\]
*685 frequency_counter_0\.seven_segment0\.ten_count_reg\[2\]
*686 frequency_counter_0\.seven_segment0\.ten_count_reg\[3\]
*687 frequency_counter_0\.seven_segment0\.unit_count\[0\]
*688 frequency_counter_0\.seven_segment0\.unit_count\[1\]
*689 frequency_counter_0\.seven_segment0\.unit_count\[2\]
*690 frequency_counter_0\.seven_segment0\.unit_count\[3\]
*691 frequency_counter_0\.seven_segment0\.unit_count_reg\[0\]
*692 frequency_counter_0\.seven_segment0\.unit_count_reg\[1\]
*693 frequency_counter_0\.seven_segment0\.unit_count_reg\[2\]
*694 frequency_counter_0\.seven_segment0\.unit_count_reg\[3\]
*695 frequency_counter_0\.state\[0\]
*696 frequency_counter_0\.state\[1\]
*697 frequency_counter_0\.state\[2\]
*698 frequency_counter_0\.update_period\[0\]
*699 frequency_counter_0\.update_period\[10\]
*700 frequency_counter_0\.update_period\[11\]
*701 frequency_counter_0\.update_period\[1\]
*702 frequency_counter_0\.update_period\[2\]
*703 frequency_counter_0\.update_period\[3\]
*704 frequency_counter_0\.update_period\[4\]
*705 frequency_counter_0\.update_period\[5\]
*706 frequency_counter_0\.update_period\[6\]
*707 frequency_counter_0\.update_period\[7\]
*708 frequency_counter_0\.update_period\[8\]
*709 frequency_counter_0\.update_period\[9\]
*710 net1
*711 net10
*712 net100
*713 net101
*714 net102
*715 net103
*716 net104
*717 net105
*718 net106
*719 net107
*720 net108
*721 net109
*722 net11
*723 net110
*724 net111
*725 net112
*726 net113
*727 net114
*728 net115
*729 net116
*730 net117
*731 net118
*732 net12
*733 net13
*734 net14
*735 net15
*736 net16
*737 net17
*738 net18
*739 net19
*740 net2
*741 net20
*742 net21
*743 net22
*744 net23
*745 net24
*746 net25
*747 net26
*748 net27
*749 net28
*750 net29
*751 net3
*752 net30
*753 net31
*754 net32
*755 net33
*756 net34
*757 net35
*758 net36
*759 net37
*760 net38
*761 net39
*762 net4
*763 net40
*764 net41
*765 net42
*766 net43
*767 net44
*768 net45
*769 net46
*770 net47
*771 net48
*772 net49
*773 net5
*774 net50
*775 net51
*776 net52
*777 net53
*778 net54
*779 net55
*780 net56
*781 net57
*782 net58
*783 net59
*784 net6
*785 net60
*786 net61
*787 net62
*788 net63
*789 net64
*790 net65
*791 net66
*792 net67
*793 net68
*794 net69
*795 net7
*796 net70
*797 net71
*798 net72
*799 net73
*800 net74
*801 net75
*802 net76
*803 net77
*804 net78
*805 net79
*806 net8
*807 net80
*808 net81
*809 net82
*810 net83
*811 net84
*812 net85
*813 net86
*814 net87
*815 net88
*816 net89
*817 net9
*818 net90
*819 net91
*820 net92
*821 net93
*822 net94
*823 net95
*824 net96
*825 net97
*826 net98
*827 net99
*828 FILLER_0_105
*829 FILLER_0_111
*830 FILLER_0_113
*831 FILLER_0_125
*832 FILLER_0_137
*833 FILLER_0_141
*834 FILLER_0_15
*835 FILLER_0_153
*836 FILLER_0_165
*837 FILLER_0_173
*838 FILLER_0_177
*839 FILLER_0_181
*840 FILLER_0_193
*841 FILLER_0_197
*842 FILLER_0_202
*843 FILLER_0_214
*844 FILLER_0_22
*845 FILLER_0_222
*846 FILLER_0_225
*847 FILLER_0_237
*848 FILLER_0_249
*849 FILLER_0_253
*850 FILLER_0_276
*851 FILLER_0_281
*852 FILLER_0_29
*853 FILLER_0_293
*854 FILLER_0_3
*855 FILLER_0_35
*856 FILLER_0_43
*857 FILLER_0_48
*858 FILLER_0_60
*859 FILLER_0_72
*860 FILLER_0_85
*861 FILLER_0_93
*862 FILLER_10_109
*863 FILLER_10_11
*864 FILLER_10_121
*865 FILLER_10_133
*866 FILLER_10_139
*867 FILLER_10_141
*868 FILLER_10_153
*869 FILLER_10_165
*870 FILLER_10_177
*871 FILLER_10_189
*872 FILLER_10_19
*873 FILLER_10_195
*874 FILLER_10_197
*875 FILLER_10_209
*876 FILLER_10_221
*877 FILLER_10_23
*878 FILLER_10_233
*879 FILLER_10_245
*880 FILLER_10_251
*881 FILLER_10_253
*882 FILLER_10_265
*883 FILLER_10_27
*884 FILLER_10_270
*885 FILLER_10_295
*886 FILLER_10_3
*887 FILLER_10_32
*888 FILLER_10_39
*889 FILLER_10_51
*890 FILLER_10_63
*891 FILLER_10_7
*892 FILLER_10_75
*893 FILLER_10_83
*894 FILLER_10_85
*895 FILLER_10_97
*896 FILLER_11_105
*897 FILLER_11_111
*898 FILLER_11_113
*899 FILLER_11_125
*900 FILLER_11_137
*901 FILLER_11_149
*902 FILLER_11_161
*903 FILLER_11_167
*904 FILLER_11_169
*905 FILLER_11_181
*906 FILLER_11_193
*907 FILLER_11_205
*908 FILLER_11_217
*909 FILLER_11_223
*910 FILLER_11_225
*911 FILLER_11_237
*912 FILLER_11_249
*913 FILLER_11_276
*914 FILLER_11_281
*915 FILLER_11_286
*916 FILLER_11_293
*917 FILLER_11_3
*918 FILLER_11_41
*919 FILLER_11_53
*920 FILLER_11_57
*921 FILLER_11_69
*922 FILLER_11_8
*923 FILLER_11_81
*924 FILLER_11_93
*925 FILLER_12_109
*926 FILLER_12_121
*927 FILLER_12_133
*928 FILLER_12_139
*929 FILLER_12_141
*930 FILLER_12_153
*931 FILLER_12_165
*932 FILLER_12_177
*933 FILLER_12_189
*934 FILLER_12_195
*935 FILLER_12_197
*936 FILLER_12_209
*937 FILLER_12_221
*938 FILLER_12_233
*939 FILLER_12_24
*940 FILLER_12_245
*941 FILLER_12_251
*942 FILLER_12_253
*943 FILLER_12_257
*944 FILLER_12_264
*945 FILLER_12_272
*946 FILLER_12_29
*947 FILLER_12_295
*948 FILLER_12_41
*949 FILLER_12_53
*950 FILLER_12_65
*951 FILLER_12_77
*952 FILLER_12_83
*953 FILLER_12_85
*954 FILLER_12_97
*955 FILLER_13_105
*956 FILLER_13_111
*957 FILLER_13_113
*958 FILLER_13_125
*959 FILLER_13_137
*960 FILLER_13_149
*961 FILLER_13_161
*962 FILLER_13_167
*963 FILLER_13_169
*964 FILLER_13_181
*965 FILLER_13_193
*966 FILLER_13_205
*967 FILLER_13_217
*968 FILLER_13_223
*969 FILLER_13_225
*970 FILLER_13_237
*971 FILLER_13_249
*972 FILLER_13_276
*973 FILLER_13_281
*974 FILLER_13_285
*975 FILLER_13_292
*976 FILLER_13_298
*977 FILLER_13_3
*978 FILLER_13_30
*979 FILLER_13_42
*980 FILLER_13_54
*981 FILLER_13_57
*982 FILLER_13_69
*983 FILLER_13_81
*984 FILLER_13_93
*985 FILLER_14_109
*986 FILLER_14_121
*987 FILLER_14_133
*988 FILLER_14_139
*989 FILLER_14_141
*990 FILLER_14_15
*991 FILLER_14_153
*992 FILLER_14_165
*993 FILLER_14_169
*994 FILLER_14_179
*995 FILLER_14_191
*996 FILLER_14_195
*997 FILLER_14_197
*998 FILLER_14_209
*999 FILLER_14_22
*1000 FILLER_14_221
*1001 FILLER_14_233
*1002 FILLER_14_245
*1003 FILLER_14_251
*1004 FILLER_14_253
*1005 FILLER_14_257
*1006 FILLER_14_282
*1007 FILLER_14_289
*1008 FILLER_14_29
*1009 FILLER_14_297
*1010 FILLER_14_3
*1011 FILLER_14_41
*1012 FILLER_14_53
*1013 FILLER_14_65
*1014 FILLER_14_77
*1015 FILLER_14_8
*1016 FILLER_14_83
*1017 FILLER_14_85
*1018 FILLER_14_97
*1019 FILLER_15_105
*1020 FILLER_15_111
*1021 FILLER_15_113
*1022 FILLER_15_125
*1023 FILLER_15_137
*1024 FILLER_15_149
*1025 FILLER_15_164
*1026 FILLER_15_185
*1027 FILLER_15_19
*1028 FILLER_15_197
*1029 FILLER_15_205
*1030 FILLER_15_216
*1031 FILLER_15_225
*1032 FILLER_15_237
*1033 FILLER_15_249
*1034 FILLER_15_276
*1035 FILLER_15_281
*1036 FILLER_15_285
*1037 FILLER_15_292
*1038 FILLER_15_298
*1039 FILLER_15_3
*1040 FILLER_15_31
*1041 FILLER_15_43
*1042 FILLER_15_55
*1043 FILLER_15_57
*1044 FILLER_15_69
*1045 FILLER_15_7
*1046 FILLER_15_81
*1047 FILLER_15_93
*1048 FILLER_16_10
*1049 FILLER_16_109
*1050 FILLER_16_121
*1051 FILLER_16_133
*1052 FILLER_16_139
*1053 FILLER_16_141
*1054 FILLER_16_153
*1055 FILLER_16_159
*1056 FILLER_16_170
*1057 FILLER_16_192
*1058 FILLER_16_197
*1059 FILLER_16_214
*1060 FILLER_16_22
*1061 FILLER_16_221
*1062 FILLER_16_233
*1063 FILLER_16_245
*1064 FILLER_16_251
*1065 FILLER_16_253
*1066 FILLER_16_265
*1067 FILLER_16_270
*1068 FILLER_16_29
*1069 FILLER_16_295
*1070 FILLER_16_3
*1071 FILLER_16_41
*1072 FILLER_16_53
*1073 FILLER_16_65
*1074 FILLER_16_77
*1075 FILLER_16_83
*1076 FILLER_16_85
*1077 FILLER_16_97
*1078 FILLER_17_105
*1079 FILLER_17_111
*1080 FILLER_17_113
*1081 FILLER_17_125
*1082 FILLER_17_137
*1083 FILLER_17_149
*1084 FILLER_17_161
*1085 FILLER_17_167
*1086 FILLER_17_169
*1087 FILLER_17_175
*1088 FILLER_17_182
*1089 FILLER_17_190
*1090 FILLER_17_197
*1091 FILLER_17_206
*1092 FILLER_17_215
*1093 FILLER_17_223
*1094 FILLER_17_225
*1095 FILLER_17_233
*1096 FILLER_17_239
*1097 FILLER_17_25
*1098 FILLER_17_252
*1099 FILLER_17_261
*1100 FILLER_17_265
*1101 FILLER_17_271
*1102 FILLER_17_279
*1103 FILLER_17_281
*1104 FILLER_17_286
*1105 FILLER_17_293
*1106 FILLER_17_3
*1107 FILLER_17_37
*1108 FILLER_17_49
*1109 FILLER_17_55
*1110 FILLER_17_57
*1111 FILLER_17_69
*1112 FILLER_17_81
*1113 FILLER_17_93
*1114 FILLER_18_109
*1115 FILLER_18_121
*1116 FILLER_18_133
*1117 FILLER_18_139
*1118 FILLER_18_141
*1119 FILLER_18_153
*1120 FILLER_18_160
*1121 FILLER_18_172
*1122 FILLER_18_178
*1123 FILLER_18_19
*1124 FILLER_18_190
*1125 FILLER_18_200
*1126 FILLER_18_212
*1127 FILLER_18_216
*1128 FILLER_18_220
*1129 FILLER_18_248
*1130 FILLER_18_253
*1131 FILLER_18_27
*1132 FILLER_18_276
*1133 FILLER_18_284
*1134 FILLER_18_29
*1135 FILLER_18_291
*1136 FILLER_18_3
*1137 FILLER_18_41
*1138 FILLER_18_53
*1139 FILLER_18_65
*1140 FILLER_18_7
*1141 FILLER_18_77
*1142 FILLER_18_83
*1143 FILLER_18_85
*1144 FILLER_18_97
*1145 FILLER_19_105
*1146 FILLER_19_111
*1147 FILLER_19_113
*1148 FILLER_19_125
*1149 FILLER_19_137
*1150 FILLER_19_145
*1151 FILLER_19_164
*1152 FILLER_19_169
*1153 FILLER_19_175
*1154 FILLER_19_181
*1155 FILLER_19_193
*1156 FILLER_19_20
*1157 FILLER_19_205
*1158 FILLER_19_213
*1159 FILLER_19_219
*1160 FILLER_19_223
*1161 FILLER_19_241
*1162 FILLER_19_247
*1163 FILLER_19_257
*1164 FILLER_19_265
*1165 FILLER_19_276
*1166 FILLER_19_288
*1167 FILLER_19_295
*1168 FILLER_19_3
*1169 FILLER_19_32
*1170 FILLER_19_44
*1171 FILLER_19_57
*1172 FILLER_19_69
*1173 FILLER_19_8
*1174 FILLER_19_81
*1175 FILLER_19_93
*1176 FILLER_1_103
*1177 FILLER_1_111
*1178 FILLER_1_134
*1179 FILLER_1_142
*1180 FILLER_1_164
*1181 FILLER_1_190
*1182 FILLER_1_198
*1183 FILLER_1_220
*1184 FILLER_1_228
*1185 FILLER_1_240
*1186 FILLER_1_245
*1187 FILLER_1_27
*1188 FILLER_1_270
*1189 FILLER_1_278
*1190 FILLER_1_284
*1191 FILLER_1_291
*1192 FILLER_1_3
*1193 FILLER_1_52
*1194 FILLER_1_78
*1195 FILLER_20_109
*1196 FILLER_20_11
*1197 FILLER_20_121
*1198 FILLER_20_133
*1199 FILLER_20_139
*1200 FILLER_20_144
*1201 FILLER_20_152
*1202 FILLER_20_160
*1203 FILLER_20_167
*1204 FILLER_20_171
*1205 FILLER_20_188
*1206 FILLER_20_197
*1207 FILLER_20_203
*1208 FILLER_20_207
*1209 FILLER_20_215
*1210 FILLER_20_226
*1211 FILLER_20_23
*1212 FILLER_20_240
*1213 FILLER_20_253
*1214 FILLER_20_260
*1215 FILLER_20_27
*1216 FILLER_20_270
*1217 FILLER_20_29
*1218 FILLER_20_295
*1219 FILLER_20_3
*1220 FILLER_20_41
*1221 FILLER_20_53
*1222 FILLER_20_65
*1223 FILLER_20_7
*1224 FILLER_20_77
*1225 FILLER_20_83
*1226 FILLER_20_85
*1227 FILLER_20_97
*1228 FILLER_21_105
*1229 FILLER_21_111
*1230 FILLER_21_113
*1231 FILLER_21_125
*1232 FILLER_21_149
*1233 FILLER_21_160
*1234 FILLER_21_169
*1235 FILLER_21_178
*1236 FILLER_21_185
*1237 FILLER_21_189
*1238 FILLER_21_193
*1239 FILLER_21_199
*1240 FILLER_21_216
*1241 FILLER_21_225
*1242 FILLER_21_237
*1243 FILLER_21_244
*1244 FILLER_21_25
*1245 FILLER_21_256
*1246 FILLER_21_264
*1247 FILLER_21_271
*1248 FILLER_21_279
*1249 FILLER_21_286
*1250 FILLER_21_293
*1251 FILLER_21_3
*1252 FILLER_21_37
*1253 FILLER_21_49
*1254 FILLER_21_55
*1255 FILLER_21_57
*1256 FILLER_21_69
*1257 FILLER_21_81
*1258 FILLER_21_93
*1259 FILLER_22_109
*1260 FILLER_22_121
*1261 FILLER_22_133
*1262 FILLER_22_139
*1263 FILLER_22_141
*1264 FILLER_22_149
*1265 FILLER_22_157
*1266 FILLER_22_164
*1267 FILLER_22_176
*1268 FILLER_22_188
*1269 FILLER_22_197
*1270 FILLER_22_208
*1271 FILLER_22_232
*1272 FILLER_22_24
*1273 FILLER_22_241
*1274 FILLER_22_249
*1275 FILLER_22_262
*1276 FILLER_22_270
*1277 FILLER_22_29
*1278 FILLER_22_295
*1279 FILLER_22_41
*1280 FILLER_22_53
*1281 FILLER_22_65
*1282 FILLER_22_77
*1283 FILLER_22_83
*1284 FILLER_22_85
*1285 FILLER_22_97
*1286 FILLER_23_10
*1287 FILLER_23_105
*1288 FILLER_23_111
*1289 FILLER_23_113
*1290 FILLER_23_117
*1291 FILLER_23_134
*1292 FILLER_23_14
*1293 FILLER_23_146
*1294 FILLER_23_150
*1295 FILLER_23_154
*1296 FILLER_23_166
*1297 FILLER_23_169
*1298 FILLER_23_181
*1299 FILLER_23_199
*1300 FILLER_23_216
*1301 FILLER_23_225
*1302 FILLER_23_231
*1303 FILLER_23_251
*1304 FILLER_23_269
*1305 FILLER_23_276
*1306 FILLER_23_288
*1307 FILLER_23_295
*1308 FILLER_23_3
*1309 FILLER_23_36
*1310 FILLER_23_48
*1311 FILLER_23_57
*1312 FILLER_23_69
*1313 FILLER_23_81
*1314 FILLER_23_93
*1315 FILLER_24_109
*1316 FILLER_24_117
*1317 FILLER_24_123
*1318 FILLER_24_130
*1319 FILLER_24_138
*1320 FILLER_24_147
*1321 FILLER_24_154
*1322 FILLER_24_161
*1323 FILLER_24_179
*1324 FILLER_24_19
*1325 FILLER_24_192
*1326 FILLER_24_204
*1327 FILLER_24_214
*1328 FILLER_24_226
*1329 FILLER_24_232
*1330 FILLER_24_242
*1331 FILLER_24_250
*1332 FILLER_24_253
*1333 FILLER_24_258
*1334 FILLER_24_27
*1335 FILLER_24_270
*1336 FILLER_24_295
*1337 FILLER_24_3
*1338 FILLER_24_32
*1339 FILLER_24_44
*1340 FILLER_24_56
*1341 FILLER_24_68
*1342 FILLER_24_7
*1343 FILLER_24_80
*1344 FILLER_24_85
*1345 FILLER_24_97
*1346 FILLER_25_105
*1347 FILLER_25_111
*1348 FILLER_25_113
*1349 FILLER_25_121
*1350 FILLER_25_131
*1351 FILLER_25_143
*1352 FILLER_25_151
*1353 FILLER_25_159
*1354 FILLER_25_167
*1355 FILLER_25_169
*1356 FILLER_25_173
*1357 FILLER_25_18
*1358 FILLER_25_180
*1359 FILLER_25_192
*1360 FILLER_25_204
*1361 FILLER_25_216
*1362 FILLER_25_225
*1363 FILLER_25_237
*1364 FILLER_25_249
*1365 FILLER_25_257
*1366 FILLER_25_261
*1367 FILLER_25_272
*1368 FILLER_25_285
*1369 FILLER_25_295
*1370 FILLER_25_3
*1371 FILLER_25_30
*1372 FILLER_25_42
*1373 FILLER_25_54
*1374 FILLER_25_57
*1375 FILLER_25_69
*1376 FILLER_25_81
*1377 FILLER_25_93
*1378 FILLER_26_109
*1379 FILLER_26_121
*1380 FILLER_26_133
*1381 FILLER_26_139
*1382 FILLER_26_148
*1383 FILLER_26_15
*1384 FILLER_26_152
*1385 FILLER_26_160
*1386 FILLER_26_180
*1387 FILLER_26_189
*1388 FILLER_26_195
*1389 FILLER_26_200
*1390 FILLER_26_212
*1391 FILLER_26_220
*1392 FILLER_26_232
*1393 FILLER_26_247
*1394 FILLER_26_251
*1395 FILLER_26_253
*1396 FILLER_26_257
*1397 FILLER_26_261
*1398 FILLER_26_268
*1399 FILLER_26_27
*1400 FILLER_26_29
*1401 FILLER_26_295
*1402 FILLER_26_3
*1403 FILLER_26_41
*1404 FILLER_26_53
*1405 FILLER_26_65
*1406 FILLER_26_77
*1407 FILLER_26_83
*1408 FILLER_26_85
*1409 FILLER_26_97
*1410 FILLER_27_105
*1411 FILLER_27_111
*1412 FILLER_27_113
*1413 FILLER_27_130
*1414 FILLER_27_140
*1415 FILLER_27_152
*1416 FILLER_27_162
*1417 FILLER_27_174
*1418 FILLER_27_186
*1419 FILLER_27_198
*1420 FILLER_27_20
*1421 FILLER_27_206
*1422 FILLER_27_210
*1423 FILLER_27_220
*1424 FILLER_27_231
*1425 FILLER_27_252
*1426 FILLER_27_264
*1427 FILLER_27_273
*1428 FILLER_27_279
*1429 FILLER_27_288
*1430 FILLER_27_295
*1431 FILLER_27_3
*1432 FILLER_27_32
*1433 FILLER_27_44
*1434 FILLER_27_57
*1435 FILLER_27_69
*1436 FILLER_27_8
*1437 FILLER_27_81
*1438 FILLER_27_93
*1439 FILLER_28_109
*1440 FILLER_28_113
*1441 FILLER_28_133
*1442 FILLER_28_139
*1443 FILLER_28_150
*1444 FILLER_28_158
*1445 FILLER_28_168
*1446 FILLER_28_175
*1447 FILLER_28_191
*1448 FILLER_28_195
*1449 FILLER_28_197
*1450 FILLER_28_219
*1451 FILLER_28_24
*1452 FILLER_28_243
*1453 FILLER_28_251
*1454 FILLER_28_269
*1455 FILLER_28_273
*1456 FILLER_28_29
*1457 FILLER_28_295
*1458 FILLER_28_41
*1459 FILLER_28_53
*1460 FILLER_28_65
*1461 FILLER_28_77
*1462 FILLER_28_83
*1463 FILLER_28_85
*1464 FILLER_28_97
*1465 FILLER_29_105
*1466 FILLER_29_111
*1467 FILLER_29_113
*1468 FILLER_29_119
*1469 FILLER_29_125
*1470 FILLER_29_131
*1471 FILLER_29_138
*1472 FILLER_29_150
*1473 FILLER_29_162
*1474 FILLER_29_174
*1475 FILLER_29_18
*1476 FILLER_29_182
*1477 FILLER_29_200
*1478 FILLER_29_218
*1479 FILLER_29_231
*1480 FILLER_29_241
*1481 FILLER_29_250
*1482 FILLER_29_258
*1483 FILLER_29_269
*1484 FILLER_29_277
*1485 FILLER_29_284
*1486 FILLER_29_288
*1487 FILLER_29_292
*1488 FILLER_29_298
*1489 FILLER_29_30
*1490 FILLER_29_42
*1491 FILLER_29_54
*1492 FILLER_29_57
*1493 FILLER_29_6
*1494 FILLER_29_69
*1495 FILLER_29_81
*1496 FILLER_29_93
*1497 FILLER_2_108
*1498 FILLER_2_115
*1499 FILLER_2_126
*1500 FILLER_2_13
*1501 FILLER_2_133
*1502 FILLER_2_139
*1503 FILLER_2_141
*1504 FILLER_2_148
*1505 FILLER_2_155
*1506 FILLER_2_163
*1507 FILLER_2_168
*1508 FILLER_2_17
*1509 FILLER_2_175
*1510 FILLER_2_183
*1511 FILLER_2_188
*1512 FILLER_2_197
*1513 FILLER_2_203
*1514 FILLER_2_21
*1515 FILLER_2_211
*1516 FILLER_2_215
*1517 FILLER_2_240
*1518 FILLER_2_256
*1519 FILLER_2_262
*1520 FILLER_2_266
*1521 FILLER_2_27
*1522 FILLER_2_29
*1523 FILLER_2_295
*1524 FILLER_2_36
*1525 FILLER_2_6
*1526 FILLER_2_65
*1527 FILLER_2_72
*1528 FILLER_2_76
*1529 FILLER_2_80
*1530 FILLER_2_85
*1531 FILLER_30_10
*1532 FILLER_30_109
*1533 FILLER_30_121
*1534 FILLER_30_133
*1535 FILLER_30_139
*1536 FILLER_30_141
*1537 FILLER_30_153
*1538 FILLER_30_17
*1539 FILLER_30_170
*1540 FILLER_30_181
*1541 FILLER_30_188
*1542 FILLER_30_197
*1543 FILLER_30_209
*1544 FILLER_30_221
*1545 FILLER_30_225
*1546 FILLER_30_232
*1547 FILLER_30_244
*1548 FILLER_30_25
*1549 FILLER_30_253
*1550 FILLER_30_265
*1551 FILLER_30_273
*1552 FILLER_30_29
*1553 FILLER_30_295
*1554 FILLER_30_3
*1555 FILLER_30_41
*1556 FILLER_30_53
*1557 FILLER_30_65
*1558 FILLER_30_77
*1559 FILLER_30_83
*1560 FILLER_30_85
*1561 FILLER_30_97
*1562 FILLER_31_105
*1563 FILLER_31_111
*1564 FILLER_31_113
*1565 FILLER_31_125
*1566 FILLER_31_137
*1567 FILLER_31_145
*1568 FILLER_31_151
*1569 FILLER_31_163
*1570 FILLER_31_167
*1571 FILLER_31_169
*1572 FILLER_31_177
*1573 FILLER_31_185
*1574 FILLER_31_197
*1575 FILLER_31_209
*1576 FILLER_31_214
*1577 FILLER_31_222
*1578 FILLER_31_225
*1579 FILLER_31_237
*1580 FILLER_31_24
*1581 FILLER_31_249
*1582 FILLER_31_257
*1583 FILLER_31_264
*1584 FILLER_31_272
*1585 FILLER_31_276
*1586 FILLER_31_281
*1587 FILLER_31_287
*1588 FILLER_31_294
*1589 FILLER_31_298
*1590 FILLER_31_36
*1591 FILLER_31_48
*1592 FILLER_31_57
*1593 FILLER_31_69
*1594 FILLER_31_81
*1595 FILLER_31_93
*1596 FILLER_32_109
*1597 FILLER_32_130
*1598 FILLER_32_138
*1599 FILLER_32_14
*1600 FILLER_32_141
*1601 FILLER_32_145
*1602 FILLER_32_154
*1603 FILLER_32_162
*1604 FILLER_32_174
*1605 FILLER_32_182
*1606 FILLER_32_190
*1607 FILLER_32_197
*1608 FILLER_32_205
*1609 FILLER_32_21
*1610 FILLER_32_213
*1611 FILLER_32_217
*1612 FILLER_32_222
*1613 FILLER_32_226
*1614 FILLER_32_233
*1615 FILLER_32_239
*1616 FILLER_32_245
*1617 FILLER_32_251
*1618 FILLER_32_253
*1619 FILLER_32_27
*1620 FILLER_32_270
*1621 FILLER_32_29
*1622 FILLER_32_295
*1623 FILLER_32_3
*1624 FILLER_32_41
*1625 FILLER_32_53
*1626 FILLER_32_65
*1627 FILLER_32_7
*1628 FILLER_32_77
*1629 FILLER_32_83
*1630 FILLER_32_85
*1631 FILLER_32_97
*1632 FILLER_33_105
*1633 FILLER_33_11
*1634 FILLER_33_111
*1635 FILLER_33_113
*1636 FILLER_33_117
*1637 FILLER_33_134
*1638 FILLER_33_154
*1639 FILLER_33_163
*1640 FILLER_33_167
*1641 FILLER_33_169
*1642 FILLER_33_177
*1643 FILLER_33_185
*1644 FILLER_33_193
*1645 FILLER_33_201
*1646 FILLER_33_218
*1647 FILLER_33_225
*1648 FILLER_33_229
*1649 FILLER_33_239
*1650 FILLER_33_259
*1651 FILLER_33_263
*1652 FILLER_33_273
*1653 FILLER_33_279
*1654 FILLER_33_281
*1655 FILLER_33_286
*1656 FILLER_33_290
*1657 FILLER_33_294
*1658 FILLER_33_298
*1659 FILLER_33_3
*1660 FILLER_33_34
*1661 FILLER_33_46
*1662 FILLER_33_54
*1663 FILLER_33_57
*1664 FILLER_33_69
*1665 FILLER_33_81
*1666 FILLER_33_93
*1667 FILLER_34_109
*1668 FILLER_34_117
*1669 FILLER_34_124
*1670 FILLER_34_131
*1671 FILLER_34_139
*1672 FILLER_34_144
*1673 FILLER_34_148
*1674 FILLER_34_154
*1675 FILLER_34_161
*1676 FILLER_34_169
*1677 FILLER_34_175
*1678 FILLER_34_181
*1679 FILLER_34_188
*1680 FILLER_34_204
*1681 FILLER_34_212
*1682 FILLER_34_217
*1683 FILLER_34_237
*1684 FILLER_34_24
*1685 FILLER_34_244
*1686 FILLER_34_253
*1687 FILLER_34_266
*1688 FILLER_34_29
*1689 FILLER_34_295
*1690 FILLER_34_41
*1691 FILLER_34_53
*1692 FILLER_34_65
*1693 FILLER_34_77
*1694 FILLER_34_83
*1695 FILLER_34_85
*1696 FILLER_34_97
*1697 FILLER_35_105
*1698 FILLER_35_111
*1699 FILLER_35_113
*1700 FILLER_35_12
*1701 FILLER_35_125
*1702 FILLER_35_137
*1703 FILLER_35_149
*1704 FILLER_35_158
*1705 FILLER_35_166
*1706 FILLER_35_169
*1707 FILLER_35_173
*1708 FILLER_35_178
*1709 FILLER_35_189
*1710 FILLER_35_197
*1711 FILLER_35_205
*1712 FILLER_35_213
*1713 FILLER_35_220
*1714 FILLER_35_231
*1715 FILLER_35_24
*1716 FILLER_35_243
*1717 FILLER_35_247
*1718 FILLER_35_251
*1719 FILLER_35_276
*1720 FILLER_35_281
*1721 FILLER_35_290
*1722 FILLER_35_298
*1723 FILLER_35_3
*1724 FILLER_35_36
*1725 FILLER_35_48
*1726 FILLER_35_57
*1727 FILLER_35_69
*1728 FILLER_35_81
*1729 FILLER_35_93
*1730 FILLER_36_109
*1731 FILLER_36_121
*1732 FILLER_36_133
*1733 FILLER_36_139
*1734 FILLER_36_144
*1735 FILLER_36_156
*1736 FILLER_36_168
*1737 FILLER_36_180
*1738 FILLER_36_192
*1739 FILLER_36_197
*1740 FILLER_36_20
*1741 FILLER_36_201
*1742 FILLER_36_206
*1743 FILLER_36_217
*1744 FILLER_36_229
*1745 FILLER_36_238
*1746 FILLER_36_244
*1747 FILLER_36_248
*1748 FILLER_36_256
*1749 FILLER_36_266
*1750 FILLER_36_29
*1751 FILLER_36_295
*1752 FILLER_36_3
*1753 FILLER_36_41
*1754 FILLER_36_53
*1755 FILLER_36_65
*1756 FILLER_36_77
*1757 FILLER_36_8
*1758 FILLER_36_83
*1759 FILLER_36_85
*1760 FILLER_36_97
*1761 FILLER_37_105
*1762 FILLER_37_111
*1763 FILLER_37_113
*1764 FILLER_37_121
*1765 FILLER_37_129
*1766 FILLER_37_149
*1767 FILLER_37_15
*1768 FILLER_37_161
*1769 FILLER_37_167
*1770 FILLER_37_169
*1771 FILLER_37_181
*1772 FILLER_37_196
*1773 FILLER_37_204
*1774 FILLER_37_209
*1775 FILLER_37_221
*1776 FILLER_37_230
*1777 FILLER_37_252
*1778 FILLER_37_258
*1779 FILLER_37_27
*1780 FILLER_37_275
*1781 FILLER_37_279
*1782 FILLER_37_284
*1783 FILLER_37_288
*1784 FILLER_37_292
*1785 FILLER_37_298
*1786 FILLER_37_3
*1787 FILLER_37_39
*1788 FILLER_37_51
*1789 FILLER_37_55
*1790 FILLER_37_57
*1791 FILLER_37_69
*1792 FILLER_37_81
*1793 FILLER_37_93
*1794 FILLER_38_109
*1795 FILLER_38_117
*1796 FILLER_38_136
*1797 FILLER_38_141
*1798 FILLER_38_15
*1799 FILLER_38_153
*1800 FILLER_38_162
*1801 FILLER_38_172
*1802 FILLER_38_181
*1803 FILLER_38_189
*1804 FILLER_38_195
*1805 FILLER_38_205
*1806 FILLER_38_211
*1807 FILLER_38_217
*1808 FILLER_38_226
*1809 FILLER_38_235
*1810 FILLER_38_248
*1811 FILLER_38_253
*1812 FILLER_38_259
*1813 FILLER_38_267
*1814 FILLER_38_27
*1815 FILLER_38_283
*1816 FILLER_38_29
*1817 FILLER_38_294
*1818 FILLER_38_298
*1819 FILLER_38_3
*1820 FILLER_38_41
*1821 FILLER_38_53
*1822 FILLER_38_65
*1823 FILLER_38_77
*1824 FILLER_38_83
*1825 FILLER_38_85
*1826 FILLER_38_97
*1827 FILLER_39_105
*1828 FILLER_39_111
*1829 FILLER_39_113
*1830 FILLER_39_130
*1831 FILLER_39_142
*1832 FILLER_39_15
*1833 FILLER_39_164
*1834 FILLER_39_169
*1835 FILLER_39_173
*1836 FILLER_39_180
*1837 FILLER_39_188
*1838 FILLER_39_205
*1839 FILLER_39_211
*1840 FILLER_39_219
*1841 FILLER_39_223
*1842 FILLER_39_230
*1843 FILLER_39_238
*1844 FILLER_39_250
*1845 FILLER_39_262
*1846 FILLER_39_27
*1847 FILLER_39_276
*1848 FILLER_39_281
*1849 FILLER_39_291
*1850 FILLER_39_3
*1851 FILLER_39_43
*1852 FILLER_39_55
*1853 FILLER_39_57
*1854 FILLER_39_69
*1855 FILLER_39_81
*1856 FILLER_39_93
*1857 FILLER_3_105
*1858 FILLER_3_111
*1859 FILLER_3_113
*1860 FILLER_3_125
*1861 FILLER_3_15
*1862 FILLER_3_150
*1863 FILLER_3_162
*1864 FILLER_3_169
*1865 FILLER_3_181
*1866 FILLER_3_205
*1867 FILLER_3_213
*1868 FILLER_3_217
*1869 FILLER_3_223
*1870 FILLER_3_228
*1871 FILLER_3_240
*1872 FILLER_3_252
*1873 FILLER_3_264
*1874 FILLER_3_272
*1875 FILLER_3_276
*1876 FILLER_3_284
*1877 FILLER_3_290
*1878 FILLER_3_294
*1879 FILLER_3_298
*1880 FILLER_3_3
*1881 FILLER_3_40
*1882 FILLER_3_44
*1883 FILLER_3_48
*1884 FILLER_3_60
*1885 FILLER_3_66
*1886 FILLER_3_70
*1887 FILLER_3_8
*1888 FILLER_3_82
*1889 FILLER_3_91
*1890 FILLER_3_99
*1891 FILLER_40_109
*1892 FILLER_40_117
*1893 FILLER_40_123
*1894 FILLER_40_135
*1895 FILLER_40_139
*1896 FILLER_40_141
*1897 FILLER_40_149
*1898 FILLER_40_155
*1899 FILLER_40_164
*1900 FILLER_40_174
*1901 FILLER_40_18
*1902 FILLER_40_180
*1903 FILLER_40_191
*1904 FILLER_40_195
*1905 FILLER_40_197
*1906 FILLER_40_215
*1907 FILLER_40_222
*1908 FILLER_40_228
*1909 FILLER_40_233
*1910 FILLER_40_245
*1911 FILLER_40_251
*1912 FILLER_40_253
*1913 FILLER_40_257
*1914 FILLER_40_26
*1915 FILLER_40_270
*1916 FILLER_40_29
*1917 FILLER_40_295
*1918 FILLER_40_50
*1919 FILLER_40_6
*1920 FILLER_40_62
*1921 FILLER_40_74
*1922 FILLER_40_82
*1923 FILLER_40_85
*1924 FILLER_40_97
*1925 FILLER_41_111
*1926 FILLER_41_113
*1927 FILLER_41_125
*1928 FILLER_41_135
*1929 FILLER_41_147
*1930 FILLER_41_153
*1931 FILLER_41_157
*1932 FILLER_41_165
*1933 FILLER_41_175
*1934 FILLER_41_185
*1935 FILLER_41_196
*1936 FILLER_41_21
*1937 FILLER_41_220
*1938 FILLER_41_235
*1939 FILLER_41_247
*1940 FILLER_41_276
*1941 FILLER_41_285
*1942 FILLER_41_29
*1943 FILLER_41_292
*1944 FILLER_41_298
*1945 FILLER_41_3
*1946 FILLER_41_36
*1947 FILLER_41_52
*1948 FILLER_41_63
*1949 FILLER_41_75
*1950 FILLER_41_87
*1951 FILLER_41_9
*1952 FILLER_41_99
*1953 FILLER_42_109
*1954 FILLER_42_117
*1955 FILLER_42_136
*1956 FILLER_42_141
*1957 FILLER_42_149
*1958 FILLER_42_155
*1959 FILLER_42_168
*1960 FILLER_42_180
*1961 FILLER_42_192
*1962 FILLER_42_197
*1963 FILLER_42_209
*1964 FILLER_42_221
*1965 FILLER_42_225
*1966 FILLER_42_230
*1967 FILLER_42_24
*1968 FILLER_42_241
*1969 FILLER_42_248
*1970 FILLER_42_269
*1971 FILLER_42_273
*1972 FILLER_42_29
*1973 FILLER_42_295
*1974 FILLER_42_50
*1975 FILLER_42_62
*1976 FILLER_42_74
*1977 FILLER_42_82
*1978 FILLER_42_85
*1979 FILLER_42_97
*1980 FILLER_43_105
*1981 FILLER_43_111
*1982 FILLER_43_113
*1983 FILLER_43_121
*1984 FILLER_43_129
*1985 FILLER_43_136
*1986 FILLER_43_156
*1987 FILLER_43_169
*1988 FILLER_43_177
*1989 FILLER_43_186
*1990 FILLER_43_19
*1991 FILLER_43_194
*1992 FILLER_43_198
*1993 FILLER_43_210
*1994 FILLER_43_222
*1995 FILLER_43_225
*1996 FILLER_43_229
*1997 FILLER_43_235
*1998 FILLER_43_247
*1999 FILLER_43_255
*2000 FILLER_43_262
*2001 FILLER_43_274
*2002 FILLER_43_281
*2003 FILLER_43_290
*2004 FILLER_43_298
*2005 FILLER_43_3
*2006 FILLER_43_31
*2007 FILLER_43_43
*2008 FILLER_43_55
*2009 FILLER_43_57
*2010 FILLER_43_69
*2011 FILLER_43_7
*2012 FILLER_43_81
*2013 FILLER_43_93
*2014 FILLER_44_109
*2015 FILLER_44_121
*2016 FILLER_44_133
*2017 FILLER_44_139
*2018 FILLER_44_141
*2019 FILLER_44_145
*2020 FILLER_44_149
*2021 FILLER_44_15
*2022 FILLER_44_161
*2023 FILLER_44_173
*2024 FILLER_44_177
*2025 FILLER_44_184
*2026 FILLER_44_201
*2027 FILLER_44_208
*2028 FILLER_44_216
*2029 FILLER_44_226
*2030 FILLER_44_236
*2031 FILLER_44_246
*2032 FILLER_44_269
*2033 FILLER_44_27
*2034 FILLER_44_281
*2035 FILLER_44_287
*2036 FILLER_44_29
*2037 FILLER_44_294
*2038 FILLER_44_298
*2039 FILLER_44_3
*2040 FILLER_44_41
*2041 FILLER_44_53
*2042 FILLER_44_65
*2043 FILLER_44_77
*2044 FILLER_44_83
*2045 FILLER_44_85
*2046 FILLER_44_97
*2047 FILLER_45_105
*2048 FILLER_45_111
*2049 FILLER_45_113
*2050 FILLER_45_125
*2051 FILLER_45_137
*2052 FILLER_45_149
*2053 FILLER_45_15
*2054 FILLER_45_157
*2055 FILLER_45_164
*2056 FILLER_45_172
*2057 FILLER_45_182
*2058 FILLER_45_189
*2059 FILLER_45_209
*2060 FILLER_45_217
*2061 FILLER_45_223
*2062 FILLER_45_229
*2063 FILLER_45_238
*2064 FILLER_45_250
*2065 FILLER_45_262
*2066 FILLER_45_27
*2067 FILLER_45_274
*2068 FILLER_45_281
*2069 FILLER_45_287
*2070 FILLER_45_291
*2071 FILLER_45_3
*2072 FILLER_45_39
*2073 FILLER_45_51
*2074 FILLER_45_55
*2075 FILLER_45_57
*2076 FILLER_45_69
*2077 FILLER_45_81
*2078 FILLER_45_93
*2079 FILLER_46_109
*2080 FILLER_46_121
*2081 FILLER_46_136
*2082 FILLER_46_141
*2083 FILLER_46_15
*2084 FILLER_46_151
*2085 FILLER_46_157
*2086 FILLER_46_174
*2087 FILLER_46_188
*2088 FILLER_46_197
*2089 FILLER_46_204
*2090 FILLER_46_215
*2091 FILLER_46_224
*2092 FILLER_46_232
*2093 FILLER_46_244
*2094 FILLER_46_253
*2095 FILLER_46_265
*2096 FILLER_46_27
*2097 FILLER_46_273
*2098 FILLER_46_29
*2099 FILLER_46_295
*2100 FILLER_46_3
*2101 FILLER_46_41
*2102 FILLER_46_53
*2103 FILLER_46_65
*2104 FILLER_46_77
*2105 FILLER_46_83
*2106 FILLER_46_85
*2107 FILLER_46_97
*2108 FILLER_47_105
*2109 FILLER_47_111
*2110 FILLER_47_113
*2111 FILLER_47_125
*2112 FILLER_47_149
*2113 FILLER_47_157
*2114 FILLER_47_164
*2115 FILLER_47_172
*2116 FILLER_47_176
*2117 FILLER_47_18
*2118 FILLER_47_183
*2119 FILLER_47_193
*2120 FILLER_47_203
*2121 FILLER_47_215
*2122 FILLER_47_223
*2123 FILLER_47_225
*2124 FILLER_47_232
*2125 FILLER_47_246
*2126 FILLER_47_266
*2127 FILLER_47_278
*2128 FILLER_47_281
*2129 FILLER_47_287
*2130 FILLER_47_294
*2131 FILLER_47_298
*2132 FILLER_47_30
*2133 FILLER_47_42
*2134 FILLER_47_54
*2135 FILLER_47_57
*2136 FILLER_47_6
*2137 FILLER_47_69
*2138 FILLER_47_81
*2139 FILLER_47_93
*2140 FILLER_48_109
*2141 FILLER_48_121
*2142 FILLER_48_133
*2143 FILLER_48_139
*2144 FILLER_48_141
*2145 FILLER_48_147
*2146 FILLER_48_15
*2147 FILLER_48_159
*2148 FILLER_48_171
*2149 FILLER_48_175
*2150 FILLER_48_182
*2151 FILLER_48_194
*2152 FILLER_48_197
*2153 FILLER_48_209
*2154 FILLER_48_221
*2155 FILLER_48_227
*2156 FILLER_48_231
*2157 FILLER_48_235
*2158 FILLER_48_245
*2159 FILLER_48_251
*2160 FILLER_48_253
*2161 FILLER_48_265
*2162 FILLER_48_27
*2163 FILLER_48_273
*2164 FILLER_48_29
*2165 FILLER_48_295
*2166 FILLER_48_3
*2167 FILLER_48_41
*2168 FILLER_48_53
*2169 FILLER_48_65
*2170 FILLER_48_77
*2171 FILLER_48_83
*2172 FILLER_48_85
*2173 FILLER_48_97
*2174 FILLER_49_105
*2175 FILLER_49_111
*2176 FILLER_49_113
*2177 FILLER_49_125
*2178 FILLER_49_137
*2179 FILLER_49_149
*2180 FILLER_49_15
*2181 FILLER_49_161
*2182 FILLER_49_167
*2183 FILLER_49_169
*2184 FILLER_49_181
*2185 FILLER_49_193
*2186 FILLER_49_205
*2187 FILLER_49_217
*2188 FILLER_49_223
*2189 FILLER_49_225
*2190 FILLER_49_237
*2191 FILLER_49_249
*2192 FILLER_49_261
*2193 FILLER_49_27
*2194 FILLER_49_273
*2195 FILLER_49_279
*2196 FILLER_49_281
*2197 FILLER_49_286
*2198 FILLER_49_293
*2199 FILLER_49_3
*2200 FILLER_49_39
*2201 FILLER_49_51
*2202 FILLER_49_55
*2203 FILLER_49_57
*2204 FILLER_49_69
*2205 FILLER_49_81
*2206 FILLER_49_93
*2207 FILLER_4_109
*2208 FILLER_4_121
*2209 FILLER_4_133
*2210 FILLER_4_139
*2211 FILLER_4_141
*2212 FILLER_4_153
*2213 FILLER_4_165
*2214 FILLER_4_177
*2215 FILLER_4_189
*2216 FILLER_4_195
*2217 FILLER_4_197
*2218 FILLER_4_209
*2219 FILLER_4_234
*2220 FILLER_4_24
*2221 FILLER_4_246
*2222 FILLER_4_253
*2223 FILLER_4_265
*2224 FILLER_4_273
*2225 FILLER_4_29
*2226 FILLER_4_295
*2227 FILLER_4_35
*2228 FILLER_4_57
*2229 FILLER_4_69
*2230 FILLER_4_81
*2231 FILLER_4_85
*2232 FILLER_4_97
*2233 FILLER_50_109
*2234 FILLER_50_121
*2235 FILLER_50_133
*2236 FILLER_50_139
*2237 FILLER_50_141
*2238 FILLER_50_147
*2239 FILLER_50_15
*2240 FILLER_50_157
*2241 FILLER_50_165
*2242 FILLER_50_187
*2243 FILLER_50_195
*2244 FILLER_50_213
*2245 FILLER_50_221
*2246 FILLER_50_229
*2247 FILLER_50_236
*2248 FILLER_50_248
*2249 FILLER_50_269
*2250 FILLER_50_27
*2251 FILLER_50_273
*2252 FILLER_50_29
*2253 FILLER_50_295
*2254 FILLER_50_3
*2255 FILLER_50_41
*2256 FILLER_50_53
*2257 FILLER_50_65
*2258 FILLER_50_77
*2259 FILLER_50_83
*2260 FILLER_50_85
*2261 FILLER_50_97
*2262 FILLER_51_105
*2263 FILLER_51_111
*2264 FILLER_51_113
*2265 FILLER_51_125
*2266 FILLER_51_137
*2267 FILLER_51_145
*2268 FILLER_51_164
*2269 FILLER_51_172
*2270 FILLER_51_18
*2271 FILLER_51_185
*2272 FILLER_51_193
*2273 FILLER_51_198
*2274 FILLER_51_206
*2275 FILLER_51_218
*2276 FILLER_51_233
*2277 FILLER_51_241
*2278 FILLER_51_253
*2279 FILLER_51_257
*2280 FILLER_51_275
*2281 FILLER_51_279
*2282 FILLER_51_284
*2283 FILLER_51_293
*2284 FILLER_51_30
*2285 FILLER_51_42
*2286 FILLER_51_54
*2287 FILLER_51_57
*2288 FILLER_51_6
*2289 FILLER_51_69
*2290 FILLER_51_81
*2291 FILLER_51_93
*2292 FILLER_52_109
*2293 FILLER_52_121
*2294 FILLER_52_133
*2295 FILLER_52_139
*2296 FILLER_52_141
*2297 FILLER_52_15
*2298 FILLER_52_152
*2299 FILLER_52_159
*2300 FILLER_52_171
*2301 FILLER_52_177
*2302 FILLER_52_189
*2303 FILLER_52_195
*2304 FILLER_52_201
*2305 FILLER_52_211
*2306 FILLER_52_220
*2307 FILLER_52_228
*2308 FILLER_52_240
*2309 FILLER_52_253
*2310 FILLER_52_262
*2311 FILLER_52_27
*2312 FILLER_52_29
*2313 FILLER_52_295
*2314 FILLER_52_3
*2315 FILLER_52_41
*2316 FILLER_52_53
*2317 FILLER_52_65
*2318 FILLER_52_77
*2319 FILLER_52_83
*2320 FILLER_52_85
*2321 FILLER_52_97
*2322 FILLER_53_10
*2323 FILLER_53_105
*2324 FILLER_53_111
*2325 FILLER_53_113
*2326 FILLER_53_125
*2327 FILLER_53_137
*2328 FILLER_53_149
*2329 FILLER_53_161
*2330 FILLER_53_167
*2331 FILLER_53_169
*2332 FILLER_53_176
*2333 FILLER_53_184
*2334 FILLER_53_202
*2335 FILLER_53_214
*2336 FILLER_53_22
*2337 FILLER_53_222
*2338 FILLER_53_228
*2339 FILLER_53_232
*2340 FILLER_53_249
*2341 FILLER_53_261
*2342 FILLER_53_273
*2343 FILLER_53_279
*2344 FILLER_53_281
*2345 FILLER_53_289
*2346 FILLER_53_293
*2347 FILLER_53_3
*2348 FILLER_53_34
*2349 FILLER_53_46
*2350 FILLER_53_54
*2351 FILLER_53_57
*2352 FILLER_53_69
*2353 FILLER_53_81
*2354 FILLER_53_93
*2355 FILLER_54_109
*2356 FILLER_54_121
*2357 FILLER_54_133
*2358 FILLER_54_139
*2359 FILLER_54_141
*2360 FILLER_54_153
*2361 FILLER_54_165
*2362 FILLER_54_170
*2363 FILLER_54_183
*2364 FILLER_54_192
*2365 FILLER_54_202
*2366 FILLER_54_209
*2367 FILLER_54_221
*2368 FILLER_54_225
*2369 FILLER_54_233
*2370 FILLER_54_24
*2371 FILLER_54_241
*2372 FILLER_54_246
*2373 FILLER_54_253
*2374 FILLER_54_265
*2375 FILLER_54_287
*2376 FILLER_54_29
*2377 FILLER_54_294
*2378 FILLER_54_298
*2379 FILLER_54_41
*2380 FILLER_54_53
*2381 FILLER_54_65
*2382 FILLER_54_77
*2383 FILLER_54_83
*2384 FILLER_54_85
*2385 FILLER_54_97
*2386 FILLER_55_105
*2387 FILLER_55_111
*2388 FILLER_55_113
*2389 FILLER_55_125
*2390 FILLER_55_137
*2391 FILLER_55_149
*2392 FILLER_55_161
*2393 FILLER_55_167
*2394 FILLER_55_185
*2395 FILLER_55_19
*2396 FILLER_55_197
*2397 FILLER_55_210
*2398 FILLER_55_219
*2399 FILLER_55_223
*2400 FILLER_55_232
*2401 FILLER_55_244
*2402 FILLER_55_252
*2403 FILLER_55_276
*2404 FILLER_55_284
*2405 FILLER_55_288
*2406 FILLER_55_292
*2407 FILLER_55_298
*2408 FILLER_55_3
*2409 FILLER_55_31
*2410 FILLER_55_43
*2411 FILLER_55_55
*2412 FILLER_55_57
*2413 FILLER_55_69
*2414 FILLER_55_7
*2415 FILLER_55_81
*2416 FILLER_55_93
*2417 FILLER_56_109
*2418 FILLER_56_121
*2419 FILLER_56_133
*2420 FILLER_56_139
*2421 FILLER_56_141
*2422 FILLER_56_15
*2423 FILLER_56_153
*2424 FILLER_56_165
*2425 FILLER_56_177
*2426 FILLER_56_189
*2427 FILLER_56_195
*2428 FILLER_56_197
*2429 FILLER_56_201
*2430 FILLER_56_210
*2431 FILLER_56_222
*2432 FILLER_56_230
*2433 FILLER_56_239
*2434 FILLER_56_247
*2435 FILLER_56_251
*2436 FILLER_56_253
*2437 FILLER_56_265
*2438 FILLER_56_27
*2439 FILLER_56_270
*2440 FILLER_56_29
*2441 FILLER_56_295
*2442 FILLER_56_3
*2443 FILLER_56_41
*2444 FILLER_56_53
*2445 FILLER_56_65
*2446 FILLER_56_77
*2447 FILLER_56_83
*2448 FILLER_56_85
*2449 FILLER_56_97
*2450 FILLER_57_105
*2451 FILLER_57_111
*2452 FILLER_57_113
*2453 FILLER_57_125
*2454 FILLER_57_137
*2455 FILLER_57_149
*2456 FILLER_57_15
*2457 FILLER_57_161
*2458 FILLER_57_167
*2459 FILLER_57_169
*2460 FILLER_57_181
*2461 FILLER_57_199
*2462 FILLER_57_210
*2463 FILLER_57_222
*2464 FILLER_57_225
*2465 FILLER_57_231
*2466 FILLER_57_236
*2467 FILLER_57_256
*2468 FILLER_57_264
*2469 FILLER_57_269
*2470 FILLER_57_27
*2471 FILLER_57_276
*2472 FILLER_57_281
*2473 FILLER_57_285
*2474 FILLER_57_295
*2475 FILLER_57_3
*2476 FILLER_57_39
*2477 FILLER_57_51
*2478 FILLER_57_55
*2479 FILLER_57_57
*2480 FILLER_57_69
*2481 FILLER_57_81
*2482 FILLER_57_93
*2483 FILLER_58_109
*2484 FILLER_58_121
*2485 FILLER_58_133
*2486 FILLER_58_139
*2487 FILLER_58_141
*2488 FILLER_58_153
*2489 FILLER_58_165
*2490 FILLER_58_177
*2491 FILLER_58_18
*2492 FILLER_58_189
*2493 FILLER_58_195
*2494 FILLER_58_200
*2495 FILLER_58_206
*2496 FILLER_58_214
*2497 FILLER_58_218
*2498 FILLER_58_223
*2499 FILLER_58_235
*2500 FILLER_58_248
*2501 FILLER_58_257
*2502 FILLER_58_26
*2503 FILLER_58_269
*2504 FILLER_58_273
*2505 FILLER_58_29
*2506 FILLER_58_295
*2507 FILLER_58_3
*2508 FILLER_58_41
*2509 FILLER_58_53
*2510 FILLER_58_65
*2511 FILLER_58_77
*2512 FILLER_58_83
*2513 FILLER_58_85
*2514 FILLER_58_97
*2515 FILLER_59_105
*2516 FILLER_59_111
*2517 FILLER_59_113
*2518 FILLER_59_125
*2519 FILLER_59_137
*2520 FILLER_59_149
*2521 FILLER_59_161
*2522 FILLER_59_167
*2523 FILLER_59_169
*2524 FILLER_59_181
*2525 FILLER_59_192
*2526 FILLER_59_204
*2527 FILLER_59_220
*2528 FILLER_59_230
*2529 FILLER_59_242
*2530 FILLER_59_254
*2531 FILLER_59_276
*2532 FILLER_59_28
*2533 FILLER_59_290
*2534 FILLER_59_298
*2535 FILLER_59_3
*2536 FILLER_59_40
*2537 FILLER_59_52
*2538 FILLER_59_57
*2539 FILLER_59_69
*2540 FILLER_59_81
*2541 FILLER_59_93
*2542 FILLER_5_105
*2543 FILLER_5_11
*2544 FILLER_5_111
*2545 FILLER_5_113
*2546 FILLER_5_125
*2547 FILLER_5_137
*2548 FILLER_5_149
*2549 FILLER_5_161
*2550 FILLER_5_167
*2551 FILLER_5_169
*2552 FILLER_5_177
*2553 FILLER_5_183
*2554 FILLER_5_195
*2555 FILLER_5_207
*2556 FILLER_5_219
*2557 FILLER_5_223
*2558 FILLER_5_225
*2559 FILLER_5_233
*2560 FILLER_5_240
*2561 FILLER_5_252
*2562 FILLER_5_264
*2563 FILLER_5_276
*2564 FILLER_5_281
*2565 FILLER_5_285
*2566 FILLER_5_289
*2567 FILLER_5_297
*2568 FILLER_5_3
*2569 FILLER_5_36
*2570 FILLER_5_43
*2571 FILLER_5_55
*2572 FILLER_5_57
*2573 FILLER_5_69
*2574 FILLER_5_7
*2575 FILLER_5_81
*2576 FILLER_5_93
*2577 FILLER_60_109
*2578 FILLER_60_121
*2579 FILLER_60_133
*2580 FILLER_60_139
*2581 FILLER_60_141
*2582 FILLER_60_153
*2583 FILLER_60_165
*2584 FILLER_60_177
*2585 FILLER_60_192
*2586 FILLER_60_197
*2587 FILLER_60_204
*2588 FILLER_60_213
*2589 FILLER_60_222
*2590 FILLER_60_230
*2591 FILLER_60_24
*2592 FILLER_60_240
*2593 FILLER_60_253
*2594 FILLER_60_265
*2595 FILLER_60_285
*2596 FILLER_60_29
*2597 FILLER_60_295
*2598 FILLER_60_41
*2599 FILLER_60_53
*2600 FILLER_60_65
*2601 FILLER_60_77
*2602 FILLER_60_83
*2603 FILLER_60_85
*2604 FILLER_60_97
*2605 FILLER_61_105
*2606 FILLER_61_111
*2607 FILLER_61_113
*2608 FILLER_61_125
*2609 FILLER_61_137
*2610 FILLER_61_14
*2611 FILLER_61_149
*2612 FILLER_61_161
*2613 FILLER_61_167
*2614 FILLER_61_169
*2615 FILLER_61_175
*2616 FILLER_61_188
*2617 FILLER_61_208
*2618 FILLER_61_220
*2619 FILLER_61_225
*2620 FILLER_61_229
*2621 FILLER_61_237
*2622 FILLER_61_257
*2623 FILLER_61_26
*2624 FILLER_61_263
*2625 FILLER_61_276
*2626 FILLER_61_289
*2627 FILLER_61_297
*2628 FILLER_61_3
*2629 FILLER_61_38
*2630 FILLER_61_50
*2631 FILLER_61_57
*2632 FILLER_61_69
*2633 FILLER_61_7
*2634 FILLER_61_81
*2635 FILLER_61_93
*2636 FILLER_62_109
*2637 FILLER_62_121
*2638 FILLER_62_133
*2639 FILLER_62_139
*2640 FILLER_62_141
*2641 FILLER_62_15
*2642 FILLER_62_153
*2643 FILLER_62_165
*2644 FILLER_62_177
*2645 FILLER_62_192
*2646 FILLER_62_197
*2647 FILLER_62_205
*2648 FILLER_62_210
*2649 FILLER_62_218
*2650 FILLER_62_226
*2651 FILLER_62_230
*2652 FILLER_62_242
*2653 FILLER_62_250
*2654 FILLER_62_253
*2655 FILLER_62_265
*2656 FILLER_62_27
*2657 FILLER_62_273
*2658 FILLER_62_29
*2659 FILLER_62_295
*2660 FILLER_62_3
*2661 FILLER_62_41
*2662 FILLER_62_53
*2663 FILLER_62_65
*2664 FILLER_62_77
*2665 FILLER_62_83
*2666 FILLER_62_85
*2667 FILLER_62_97
*2668 FILLER_63_105
*2669 FILLER_63_111
*2670 FILLER_63_113
*2671 FILLER_63_125
*2672 FILLER_63_13
*2673 FILLER_63_137
*2674 FILLER_63_149
*2675 FILLER_63_161
*2676 FILLER_63_167
*2677 FILLER_63_169
*2678 FILLER_63_181
*2679 FILLER_63_189
*2680 FILLER_63_20
*2681 FILLER_63_201
*2682 FILLER_63_213
*2683 FILLER_63_221
*2684 FILLER_63_225
*2685 FILLER_63_231
*2686 FILLER_63_236
*2687 FILLER_63_248
*2688 FILLER_63_260
*2689 FILLER_63_272
*2690 FILLER_63_281
*2691 FILLER_63_289
*2692 FILLER_63_293
*2693 FILLER_63_3
*2694 FILLER_63_32
*2695 FILLER_63_44
*2696 FILLER_63_57
*2697 FILLER_63_69
*2698 FILLER_63_81
*2699 FILLER_63_93
*2700 FILLER_64_109
*2701 FILLER_64_121
*2702 FILLER_64_133
*2703 FILLER_64_139
*2704 FILLER_64_141
*2705 FILLER_64_153
*2706 FILLER_64_165
*2707 FILLER_64_177
*2708 FILLER_64_189
*2709 FILLER_64_195
*2710 FILLER_64_197
*2711 FILLER_64_203
*2712 FILLER_64_208
*2713 FILLER_64_214
*2714 FILLER_64_221
*2715 FILLER_64_229
*2716 FILLER_64_24
*2717 FILLER_64_241
*2718 FILLER_64_249
*2719 FILLER_64_253
*2720 FILLER_64_265
*2721 FILLER_64_280
*2722 FILLER_64_287
*2723 FILLER_64_29
*2724 FILLER_64_294
*2725 FILLER_64_298
*2726 FILLER_64_41
*2727 FILLER_64_53
*2728 FILLER_64_65
*2729 FILLER_64_77
*2730 FILLER_64_83
*2731 FILLER_64_85
*2732 FILLER_64_97
*2733 FILLER_65_105
*2734 FILLER_65_111
*2735 FILLER_65_113
*2736 FILLER_65_125
*2737 FILLER_65_13
*2738 FILLER_65_137
*2739 FILLER_65_149
*2740 FILLER_65_161
*2741 FILLER_65_167
*2742 FILLER_65_169
*2743 FILLER_65_181
*2744 FILLER_65_193
*2745 FILLER_65_201
*2746 FILLER_65_211
*2747 FILLER_65_220
*2748 FILLER_65_225
*2749 FILLER_65_237
*2750 FILLER_65_249
*2751 FILLER_65_25
*2752 FILLER_65_261
*2753 FILLER_65_273
*2754 FILLER_65_279
*2755 FILLER_65_281
*2756 FILLER_65_287
*2757 FILLER_65_291
*2758 FILLER_65_37
*2759 FILLER_65_49
*2760 FILLER_65_55
*2761 FILLER_65_57
*2762 FILLER_65_6
*2763 FILLER_65_69
*2764 FILLER_65_81
*2765 FILLER_65_93
*2766 FILLER_66_109
*2767 FILLER_66_121
*2768 FILLER_66_133
*2769 FILLER_66_139
*2770 FILLER_66_141
*2771 FILLER_66_153
*2772 FILLER_66_165
*2773 FILLER_66_177
*2774 FILLER_66_189
*2775 FILLER_66_195
*2776 FILLER_66_213
*2777 FILLER_66_227
*2778 FILLER_66_24
*2779 FILLER_66_247
*2780 FILLER_66_251
*2781 FILLER_66_253
*2782 FILLER_66_265
*2783 FILLER_66_273
*2784 FILLER_66_29
*2785 FILLER_66_295
*2786 FILLER_66_41
*2787 FILLER_66_53
*2788 FILLER_66_65
*2789 FILLER_66_77
*2790 FILLER_66_83
*2791 FILLER_66_85
*2792 FILLER_66_97
*2793 FILLER_67_105
*2794 FILLER_67_111
*2795 FILLER_67_113
*2796 FILLER_67_125
*2797 FILLER_67_137
*2798 FILLER_67_149
*2799 FILLER_67_161
*2800 FILLER_67_167
*2801 FILLER_67_169
*2802 FILLER_67_181
*2803 FILLER_67_193
*2804 FILLER_67_202
*2805 FILLER_67_21
*2806 FILLER_67_216
*2807 FILLER_67_231
*2808 FILLER_67_243
*2809 FILLER_67_255
*2810 FILLER_67_267
*2811 FILLER_67_276
*2812 FILLER_67_281
*2813 FILLER_67_290
*2814 FILLER_67_298
*2815 FILLER_67_3
*2816 FILLER_67_33
*2817 FILLER_67_45
*2818 FILLER_67_53
*2819 FILLER_67_57
*2820 FILLER_67_69
*2821 FILLER_67_81
*2822 FILLER_67_9
*2823 FILLER_67_93
*2824 FILLER_68_109
*2825 FILLER_68_121
*2826 FILLER_68_133
*2827 FILLER_68_139
*2828 FILLER_68_141
*2829 FILLER_68_15
*2830 FILLER_68_153
*2831 FILLER_68_165
*2832 FILLER_68_177
*2833 FILLER_68_189
*2834 FILLER_68_195
*2835 FILLER_68_197
*2836 FILLER_68_209
*2837 FILLER_68_214
*2838 FILLER_68_222
*2839 FILLER_68_234
*2840 FILLER_68_246
*2841 FILLER_68_253
*2842 FILLER_68_265
*2843 FILLER_68_27
*2844 FILLER_68_273
*2845 FILLER_68_29
*2846 FILLER_68_295
*2847 FILLER_68_3
*2848 FILLER_68_41
*2849 FILLER_68_53
*2850 FILLER_68_65
*2851 FILLER_68_77
*2852 FILLER_68_83
*2853 FILLER_68_85
*2854 FILLER_68_97
*2855 FILLER_69_105
*2856 FILLER_69_111
*2857 FILLER_69_113
*2858 FILLER_69_125
*2859 FILLER_69_137
*2860 FILLER_69_149
*2861 FILLER_69_15
*2862 FILLER_69_161
*2863 FILLER_69_167
*2864 FILLER_69_169
*2865 FILLER_69_181
*2866 FILLER_69_193
*2867 FILLER_69_205
*2868 FILLER_69_217
*2869 FILLER_69_223
*2870 FILLER_69_225
*2871 FILLER_69_237
*2872 FILLER_69_249
*2873 FILLER_69_261
*2874 FILLER_69_27
*2875 FILLER_69_273
*2876 FILLER_69_279
*2877 FILLER_69_281
*2878 FILLER_69_285
*2879 FILLER_69_292
*2880 FILLER_69_298
*2881 FILLER_69_3
*2882 FILLER_69_39
*2883 FILLER_69_51
*2884 FILLER_69_55
*2885 FILLER_69_57
*2886 FILLER_69_69
*2887 FILLER_69_81
*2888 FILLER_69_93
*2889 FILLER_6_109
*2890 FILLER_6_121
*2891 FILLER_6_133
*2892 FILLER_6_139
*2893 FILLER_6_14
*2894 FILLER_6_141
*2895 FILLER_6_153
*2896 FILLER_6_165
*2897 FILLER_6_177
*2898 FILLER_6_185
*2899 FILLER_6_192
*2900 FILLER_6_197
*2901 FILLER_6_209
*2902 FILLER_6_21
*2903 FILLER_6_221
*2904 FILLER_6_233
*2905 FILLER_6_245
*2906 FILLER_6_251
*2907 FILLER_6_253
*2908 FILLER_6_265
*2909 FILLER_6_27
*2910 FILLER_6_273
*2911 FILLER_6_29
*2912 FILLER_6_295
*2913 FILLER_6_3
*2914 FILLER_6_41
*2915 FILLER_6_53
*2916 FILLER_6_65
*2917 FILLER_6_77
*2918 FILLER_6_83
*2919 FILLER_6_85
*2920 FILLER_6_97
*2921 FILLER_70_109
*2922 FILLER_70_12
*2923 FILLER_70_121
*2924 FILLER_70_133
*2925 FILLER_70_139
*2926 FILLER_70_141
*2927 FILLER_70_153
*2928 FILLER_70_165
*2929 FILLER_70_177
*2930 FILLER_70_189
*2931 FILLER_70_19
*2932 FILLER_70_195
*2933 FILLER_70_197
*2934 FILLER_70_209
*2935 FILLER_70_221
*2936 FILLER_70_233
*2937 FILLER_70_245
*2938 FILLER_70_251
*2939 FILLER_70_253
*2940 FILLER_70_265
*2941 FILLER_70_27
*2942 FILLER_70_273
*2943 FILLER_70_29
*2944 FILLER_70_295
*2945 FILLER_70_3
*2946 FILLER_70_41
*2947 FILLER_70_53
*2948 FILLER_70_65
*2949 FILLER_70_77
*2950 FILLER_70_83
*2951 FILLER_70_85
*2952 FILLER_70_97
*2953 FILLER_71_105
*2954 FILLER_71_111
*2955 FILLER_71_113
*2956 FILLER_71_125
*2957 FILLER_71_137
*2958 FILLER_71_149
*2959 FILLER_71_161
*2960 FILLER_71_167
*2961 FILLER_71_169
*2962 FILLER_71_181
*2963 FILLER_71_193
*2964 FILLER_71_205
*2965 FILLER_71_217
*2966 FILLER_71_223
*2967 FILLER_71_225
*2968 FILLER_71_237
*2969 FILLER_71_249
*2970 FILLER_71_26
*2971 FILLER_71_276
*2972 FILLER_71_284
*2973 FILLER_71_290
*2974 FILLER_71_294
*2975 FILLER_71_298
*2976 FILLER_71_3
*2977 FILLER_71_38
*2978 FILLER_71_50
*2979 FILLER_71_57
*2980 FILLER_71_69
*2981 FILLER_71_81
*2982 FILLER_71_93
*2983 FILLER_72_109
*2984 FILLER_72_121
*2985 FILLER_72_133
*2986 FILLER_72_139
*2987 FILLER_72_141
*2988 FILLER_72_153
*2989 FILLER_72_165
*2990 FILLER_72_177
*2991 FILLER_72_189
*2992 FILLER_72_195
*2993 FILLER_72_197
*2994 FILLER_72_209
*2995 FILLER_72_221
*2996 FILLER_72_233
*2997 FILLER_72_24
*2998 FILLER_72_245
*2999 FILLER_72_251
*3000 FILLER_72_253
*3001 FILLER_72_265
*3002 FILLER_72_273
*3003 FILLER_72_295
*3004 FILLER_72_32
*3005 FILLER_72_39
*3006 FILLER_72_51
*3007 FILLER_72_63
*3008 FILLER_72_75
*3009 FILLER_72_83
*3010 FILLER_72_85
*3011 FILLER_72_97
*3012 FILLER_73_105
*3013 FILLER_73_111
*3014 FILLER_73_113
*3015 FILLER_73_125
*3016 FILLER_73_137
*3017 FILLER_73_149
*3018 FILLER_73_16
*3019 FILLER_73_161
*3020 FILLER_73_167
*3021 FILLER_73_169
*3022 FILLER_73_181
*3023 FILLER_73_193
*3024 FILLER_73_205
*3025 FILLER_73_217
*3026 FILLER_73_223
*3027 FILLER_73_225
*3028 FILLER_73_237
*3029 FILLER_73_249
*3030 FILLER_73_261
*3031 FILLER_73_276
*3032 FILLER_73_281
*3033 FILLER_73_285
*3034 FILLER_73_292
*3035 FILLER_73_298
*3036 FILLER_73_3
*3037 FILLER_73_39
*3038 FILLER_73_51
*3039 FILLER_73_55
*3040 FILLER_73_57
*3041 FILLER_73_69
*3042 FILLER_73_8
*3043 FILLER_73_81
*3044 FILLER_73_93
*3045 FILLER_74_109
*3046 FILLER_74_121
*3047 FILLER_74_133
*3048 FILLER_74_139
*3049 FILLER_74_141
*3050 FILLER_74_153
*3051 FILLER_74_16
*3052 FILLER_74_165
*3053 FILLER_74_177
*3054 FILLER_74_189
*3055 FILLER_74_195
*3056 FILLER_74_197
*3057 FILLER_74_209
*3058 FILLER_74_22
*3059 FILLER_74_221
*3060 FILLER_74_233
*3061 FILLER_74_245
*3062 FILLER_74_251
*3063 FILLER_74_253
*3064 FILLER_74_265
*3065 FILLER_74_273
*3066 FILLER_74_29
*3067 FILLER_74_295
*3068 FILLER_74_3
*3069 FILLER_74_41
*3070 FILLER_74_53
*3071 FILLER_74_65
*3072 FILLER_74_77
*3073 FILLER_74_8
*3074 FILLER_74_83
*3075 FILLER_74_85
*3076 FILLER_74_97
*3077 FILLER_75_105
*3078 FILLER_75_111
*3079 FILLER_75_113
*3080 FILLER_75_125
*3081 FILLER_75_137
*3082 FILLER_75_149
*3083 FILLER_75_161
*3084 FILLER_75_167
*3085 FILLER_75_169
*3086 FILLER_75_181
*3087 FILLER_75_193
*3088 FILLER_75_205
*3089 FILLER_75_217
*3090 FILLER_75_223
*3091 FILLER_75_225
*3092 FILLER_75_237
*3093 FILLER_75_249
*3094 FILLER_75_261
*3095 FILLER_75_273
*3096 FILLER_75_279
*3097 FILLER_75_284
*3098 FILLER_75_291
*3099 FILLER_75_3
*3100 FILLER_75_36
*3101 FILLER_75_48
*3102 FILLER_75_57
*3103 FILLER_75_69
*3104 FILLER_75_81
*3105 FILLER_75_93
*3106 FILLER_76_109
*3107 FILLER_76_121
*3108 FILLER_76_133
*3109 FILLER_76_139
*3110 FILLER_76_141
*3111 FILLER_76_153
*3112 FILLER_76_165
*3113 FILLER_76_177
*3114 FILLER_76_189
*3115 FILLER_76_195
*3116 FILLER_76_197
*3117 FILLER_76_209
*3118 FILLER_76_221
*3119 FILLER_76_233
*3120 FILLER_76_24
*3121 FILLER_76_245
*3122 FILLER_76_251
*3123 FILLER_76_253
*3124 FILLER_76_265
*3125 FILLER_76_270
*3126 FILLER_76_29
*3127 FILLER_76_295
*3128 FILLER_76_41
*3129 FILLER_76_53
*3130 FILLER_76_65
*3131 FILLER_76_77
*3132 FILLER_76_83
*3133 FILLER_76_85
*3134 FILLER_76_97
*3135 FILLER_77_105
*3136 FILLER_77_111
*3137 FILLER_77_116
*3138 FILLER_77_128
*3139 FILLER_77_14
*3140 FILLER_77_140
*3141 FILLER_77_152
*3142 FILLER_77_164
*3143 FILLER_77_169
*3144 FILLER_77_181
*3145 FILLER_77_193
*3146 FILLER_77_205
*3147 FILLER_77_21
*3148 FILLER_77_217
*3149 FILLER_77_223
*3150 FILLER_77_225
*3151 FILLER_77_237
*3152 FILLER_77_249
*3153 FILLER_77_261
*3154 FILLER_77_276
*3155 FILLER_77_281
*3156 FILLER_77_290
*3157 FILLER_77_298
*3158 FILLER_77_3
*3159 FILLER_77_33
*3160 FILLER_77_45
*3161 FILLER_77_53
*3162 FILLER_77_57
*3163 FILLER_77_69
*3164 FILLER_77_7
*3165 FILLER_77_81
*3166 FILLER_77_93
*3167 FILLER_78_101
*3168 FILLER_78_105
*3169 FILLER_78_11
*3170 FILLER_78_130
*3171 FILLER_78_138
*3172 FILLER_78_141
*3173 FILLER_78_153
*3174 FILLER_78_165
*3175 FILLER_78_177
*3176 FILLER_78_189
*3177 FILLER_78_195
*3178 FILLER_78_197
*3179 FILLER_78_202
*3180 FILLER_78_206
*3181 FILLER_78_210
*3182 FILLER_78_222
*3183 FILLER_78_23
*3184 FILLER_78_237
*3185 FILLER_78_249
*3186 FILLER_78_253
*3187 FILLER_78_265
*3188 FILLER_78_27
*3189 FILLER_78_273
*3190 FILLER_78_29
*3191 FILLER_78_295
*3192 FILLER_78_3
*3193 FILLER_78_41
*3194 FILLER_78_53
*3195 FILLER_78_65
*3196 FILLER_78_7
*3197 FILLER_78_77
*3198 FILLER_78_83
*3199 FILLER_78_85
*3200 FILLER_78_97
*3201 FILLER_79_106
*3202 FILLER_79_113
*3203 FILLER_79_125
*3204 FILLER_79_137
*3205 FILLER_79_143
*3206 FILLER_79_147
*3207 FILLER_79_154
*3208 FILLER_79_166
*3209 FILLER_79_169
*3210 FILLER_79_181
*3211 FILLER_79_206
*3212 FILLER_79_213
*3213 FILLER_79_221
*3214 FILLER_79_225
*3215 FILLER_79_230
*3216 FILLER_79_237
*3217 FILLER_79_244
*3218 FILLER_79_252
*3219 FILLER_79_26
*3220 FILLER_79_276
*3221 FILLER_79_281
*3222 FILLER_79_285
*3223 FILLER_79_289
*3224 FILLER_79_297
*3225 FILLER_79_3
*3226 FILLER_79_34
*3227 FILLER_79_39
*3228 FILLER_79_51
*3229 FILLER_79_55
*3230 FILLER_79_57
*3231 FILLER_79_69
*3232 FILLER_79_81
*3233 FILLER_79_93
*3234 FILLER_79_97
*3235 FILLER_7_105
*3236 FILLER_7_111
*3237 FILLER_7_113
*3238 FILLER_7_125
*3239 FILLER_7_137
*3240 FILLER_7_149
*3241 FILLER_7_161
*3242 FILLER_7_167
*3243 FILLER_7_169
*3244 FILLER_7_181
*3245 FILLER_7_193
*3246 FILLER_7_205
*3247 FILLER_7_217
*3248 FILLER_7_223
*3249 FILLER_7_225
*3250 FILLER_7_237
*3251 FILLER_7_249
*3252 FILLER_7_261
*3253 FILLER_7_273
*3254 FILLER_7_279
*3255 FILLER_7_281
*3256 FILLER_7_285
*3257 FILLER_7_292
*3258 FILLER_7_298
*3259 FILLER_7_3
*3260 FILLER_7_32
*3261 FILLER_7_44
*3262 FILLER_7_57
*3263 FILLER_7_69
*3264 FILLER_7_7
*3265 FILLER_7_81
*3266 FILLER_7_93
*3267 FILLER_80_113
*3268 FILLER_80_125
*3269 FILLER_80_13
*3270 FILLER_80_136
*3271 FILLER_80_141
*3272 FILLER_80_164
*3273 FILLER_80_171
*3274 FILLER_80_183
*3275 FILLER_80_189
*3276 FILLER_80_195
*3277 FILLER_80_197
*3278 FILLER_80_201
*3279 FILLER_80_223
*3280 FILLER_80_24
*3281 FILLER_80_248
*3282 FILLER_80_256
*3283 FILLER_80_264
*3284 FILLER_80_270
*3285 FILLER_80_295
*3286 FILLER_80_50
*3287 FILLER_80_6
*3288 FILLER_80_62
*3289 FILLER_80_77
*3290 FILLER_80_83
*3291 FILLER_80_85
*3292 FILLER_80_91
*3293 FILLER_81_101
*3294 FILLER_81_108
*3295 FILLER_81_134
*3296 FILLER_81_159
*3297 FILLER_81_167
*3298 FILLER_81_190
*3299 FILLER_81_198
*3300 FILLER_81_220
*3301 FILLER_81_225
*3302 FILLER_81_231
*3303 FILLER_81_237
*3304 FILLER_81_242
*3305 FILLER_81_267
*3306 FILLER_81_27
*3307 FILLER_81_271
*3308 FILLER_81_275
*3309 FILLER_81_279
*3310 FILLER_81_284
*3311 FILLER_81_288
*3312 FILLER_81_292
*3313 FILLER_81_298
*3314 FILLER_81_3
*3315 FILLER_81_52
*3316 FILLER_81_57
*3317 FILLER_81_69
*3318 FILLER_81_94
*3319 FILLER_82_10
*3320 FILLER_82_109
*3321 FILLER_82_113
*3322 FILLER_82_125
*3323 FILLER_82_137
*3324 FILLER_82_144
*3325 FILLER_82_156
*3326 FILLER_82_172
*3327 FILLER_82_184
*3328 FILLER_82_188
*3329 FILLER_82_197
*3330 FILLER_82_202
*3331 FILLER_82_21
*3332 FILLER_82_214
*3333 FILLER_82_222
*3334 FILLER_82_225
*3335 FILLER_82_248
*3336 FILLER_82_253
*3337 FILLER_82_27
*3338 FILLER_82_276
*3339 FILLER_82_284
*3340 FILLER_82_290
*3341 FILLER_82_294
*3342 FILLER_82_298
*3343 FILLER_82_3
*3344 FILLER_82_32
*3345 FILLER_82_44
*3346 FILLER_82_61
*3347 FILLER_82_69
*3348 FILLER_82_76
*3349 FILLER_82_85
*3350 FILLER_82_97
*3351 FILLER_8_109
*3352 FILLER_8_11
*3353 FILLER_8_121
*3354 FILLER_8_133
*3355 FILLER_8_139
*3356 FILLER_8_141
*3357 FILLER_8_153
*3358 FILLER_8_165
*3359 FILLER_8_177
*3360 FILLER_8_189
*3361 FILLER_8_195
*3362 FILLER_8_197
*3363 FILLER_8_209
*3364 FILLER_8_221
*3365 FILLER_8_23
*3366 FILLER_8_233
*3367 FILLER_8_245
*3368 FILLER_8_251
*3369 FILLER_8_253
*3370 FILLER_8_265
*3371 FILLER_8_27
*3372 FILLER_8_273
*3373 FILLER_8_295
*3374 FILLER_8_3
*3375 FILLER_8_32
*3376 FILLER_8_44
*3377 FILLER_8_56
*3378 FILLER_8_68
*3379 FILLER_8_7
*3380 FILLER_8_80
*3381 FILLER_8_85
*3382 FILLER_8_97
*3383 FILLER_9_105
*3384 FILLER_9_111
*3385 FILLER_9_113
*3386 FILLER_9_125
*3387 FILLER_9_137
*3388 FILLER_9_149
*3389 FILLER_9_161
*3390 FILLER_9_167
*3391 FILLER_9_169
*3392 FILLER_9_181
*3393 FILLER_9_193
*3394 FILLER_9_205
*3395 FILLER_9_217
*3396 FILLER_9_223
*3397 FILLER_9_225
*3398 FILLER_9_237
*3399 FILLER_9_249
*3400 FILLER_9_25
*3401 FILLER_9_276
*3402 FILLER_9_284
*3403 FILLER_9_290
*3404 FILLER_9_294
*3405 FILLER_9_298
*3406 FILLER_9_3
*3407 FILLER_9_50
*3408 FILLER_9_57
*3409 FILLER_9_69
*3410 FILLER_9_81
*3411 FILLER_9_93
*3412 PHY_0
*3413 PHY_1
*3414 PHY_10
*3415 PHY_100
*3416 PHY_101
*3417 PHY_102
*3418 PHY_103
*3419 PHY_104
*3420 PHY_105
*3421 PHY_106
*3422 PHY_107
*3423 PHY_108
*3424 PHY_109
*3425 PHY_11
*3426 PHY_110
*3427 PHY_111
*3428 PHY_112
*3429 PHY_113
*3430 PHY_114
*3431 PHY_115
*3432 PHY_116
*3433 PHY_117
*3434 PHY_118
*3435 PHY_119
*3436 PHY_12
*3437 PHY_120
*3438 PHY_121
*3439 PHY_122
*3440 PHY_123
*3441 PHY_124
*3442 PHY_125
*3443 PHY_126
*3444 PHY_127
*3445 PHY_128
*3446 PHY_129
*3447 PHY_13
*3448 PHY_130
*3449 PHY_131
*3450 PHY_132
*3451 PHY_133
*3452 PHY_134
*3453 PHY_135
*3454 PHY_136
*3455 PHY_137
*3456 PHY_138
*3457 PHY_139
*3458 PHY_14
*3459 PHY_140
*3460 PHY_141
*3461 PHY_142
*3462 PHY_143
*3463 PHY_144
*3464 PHY_145
*3465 PHY_146
*3466 PHY_147
*3467 PHY_148
*3468 PHY_149
*3469 PHY_15
*3470 PHY_150
*3471 PHY_151
*3472 PHY_152
*3473 PHY_153
*3474 PHY_154
*3475 PHY_155
*3476 PHY_156
*3477 PHY_157
*3478 PHY_158
*3479 PHY_159
*3480 PHY_16
*3481 PHY_160
*3482 PHY_161
*3483 PHY_162
*3484 PHY_163
*3485 PHY_164
*3486 PHY_165
*3487 PHY_17
*3488 PHY_18
*3489 PHY_19
*3490 PHY_2
*3491 PHY_20
*3492 PHY_21
*3493 PHY_22
*3494 PHY_23
*3495 PHY_24
*3496 PHY_25
*3497 PHY_26
*3498 PHY_27
*3499 PHY_28
*3500 PHY_29
*3501 PHY_3
*3502 PHY_30
*3503 PHY_31
*3504 PHY_32
*3505 PHY_33
*3506 PHY_34
*3507 PHY_35
*3508 PHY_36
*3509 PHY_37
*3510 PHY_38
*3511 PHY_39
*3512 PHY_4
*3513 PHY_40
*3514 PHY_41
*3515 PHY_42
*3516 PHY_43
*3517 PHY_44
*3518 PHY_45
*3519 PHY_46
*3520 PHY_47
*3521 PHY_48
*3522 PHY_49
*3523 PHY_5
*3524 PHY_50
*3525 PHY_51
*3526 PHY_52
*3527 PHY_53
*3528 PHY_54
*3529 PHY_55
*3530 PHY_56
*3531 PHY_57
*3532 PHY_58
*3533 PHY_59
*3534 PHY_6
*3535 PHY_60
*3536 PHY_61
*3537 PHY_62
*3538 PHY_63
*3539 PHY_64
*3540 PHY_65
*3541 PHY_66
*3542 PHY_67
*3543 PHY_68
*3544 PHY_69
*3545 PHY_7
*3546 PHY_70
*3547 PHY_71
*3548 PHY_72
*3549 PHY_73
*3550 PHY_74
*3551 PHY_75
*3552 PHY_76
*3553 PHY_77
*3554 PHY_78
*3555 PHY_79
*3556 PHY_8
*3557 PHY_80
*3558 PHY_81
*3559 PHY_82
*3560 PHY_83
*3561 PHY_84
*3562 PHY_85
*3563 PHY_86
*3564 PHY_87
*3565 PHY_88
*3566 PHY_89
*3567 PHY_9
*3568 PHY_90
*3569 PHY_91
*3570 PHY_92
*3571 PHY_93
*3572 PHY_94
*3573 PHY_95
*3574 PHY_96
*3575 PHY_97
*3576 PHY_98
*3577 PHY_99
*3578 TAP_166
*3579 TAP_167
*3580 TAP_168
*3581 TAP_169
*3582 TAP_170
*3583 TAP_171
*3584 TAP_172
*3585 TAP_173
*3586 TAP_174
*3587 TAP_175
*3588 TAP_176
*3589 TAP_177
*3590 TAP_178
*3591 TAP_179
*3592 TAP_180
*3593 TAP_181
*3594 TAP_182
*3595 TAP_183
*3596 TAP_184
*3597 TAP_185
*3598 TAP_186
*3599 TAP_187
*3600 TAP_188
*3601 TAP_189
*3602 TAP_190
*3603 TAP_191
*3604 TAP_192
*3605 TAP_193
*3606 TAP_194
*3607 TAP_195
*3608 TAP_196
*3609 TAP_197
*3610 TAP_198
*3611 TAP_199
*3612 TAP_200
*3613 TAP_201
*3614 TAP_202
*3615 TAP_203
*3616 TAP_204
*3617 TAP_205
*3618 TAP_206
*3619 TAP_207
*3620 TAP_208
*3621 TAP_209
*3622 TAP_210
*3623 TAP_211
*3624 TAP_212
*3625 TAP_213
*3626 TAP_214
*3627 TAP_215
*3628 TAP_216
*3629 TAP_217
*3630 TAP_218
*3631 TAP_219
*3632 TAP_220
*3633 TAP_221
*3634 TAP_222
*3635 TAP_223
*3636 TAP_224
*3637 TAP_225
*3638 TAP_226
*3639 TAP_227
*3640 TAP_228
*3641 TAP_229
*3642 TAP_230
*3643 TAP_231
*3644 TAP_232
*3645 TAP_233
*3646 TAP_234
*3647 TAP_235
*3648 TAP_236
*3649 TAP_237
*3650 TAP_238
*3651 TAP_239
*3652 TAP_240
*3653 TAP_241
*3654 TAP_242
*3655 TAP_243
*3656 TAP_244
*3657 TAP_245
*3658 TAP_246
*3659 TAP_247
*3660 TAP_248
*3661 TAP_249
*3662 TAP_250
*3663 TAP_251
*3664 TAP_252
*3665 TAP_253
*3666 TAP_254
*3667 TAP_255
*3668 TAP_256
*3669 TAP_257
*3670 TAP_258
*3671 TAP_259
*3672 TAP_260
*3673 TAP_261
*3674 TAP_262
*3675 TAP_263
*3676 TAP_264
*3677 TAP_265
*3678 TAP_266
*3679 TAP_267
*3680 TAP_268
*3681 TAP_269
*3682 TAP_270
*3683 TAP_271
*3684 TAP_272
*3685 TAP_273
*3686 TAP_274
*3687 TAP_275
*3688 TAP_276
*3689 TAP_277
*3690 TAP_278
*3691 TAP_279
*3692 TAP_280
*3693 TAP_281
*3694 TAP_282
*3695 TAP_283
*3696 TAP_284
*3697 TAP_285
*3698 TAP_286
*3699 TAP_287
*3700 TAP_288
*3701 TAP_289
*3702 TAP_290
*3703 TAP_291
*3704 TAP_292
*3705 TAP_293
*3706 TAP_294
*3707 TAP_295
*3708 TAP_296
*3709 TAP_297
*3710 TAP_298
*3711 TAP_299
*3712 TAP_300
*3713 TAP_301
*3714 TAP_302
*3715 TAP_303
*3716 TAP_304
*3717 TAP_305
*3718 TAP_306
*3719 TAP_307
*3720 TAP_308
*3721 TAP_309
*3722 TAP_310
*3723 TAP_311
*3724 TAP_312
*3725 TAP_313
*3726 TAP_314
*3727 TAP_315
*3728 TAP_316
*3729 TAP_317
*3730 TAP_318
*3731 TAP_319
*3732 TAP_320
*3733 TAP_321
*3734 TAP_322
*3735 TAP_323
*3736 TAP_324
*3737 TAP_325
*3738 TAP_326
*3739 TAP_327
*3740 TAP_328
*3741 TAP_329
*3742 TAP_330
*3743 TAP_331
*3744 TAP_332
*3745 TAP_333
*3746 TAP_334
*3747 TAP_335
*3748 TAP_336
*3749 TAP_337
*3750 TAP_338
*3751 TAP_339
*3752 TAP_340
*3753 TAP_341
*3754 TAP_342
*3755 TAP_343
*3756 TAP_344
*3757 TAP_345
*3758 TAP_346
*3759 TAP_347
*3760 TAP_348
*3761 TAP_349
*3762 TAP_350
*3763 TAP_351
*3764 TAP_352
*3765 TAP_353
*3766 TAP_354
*3767 TAP_355
*3768 TAP_356
*3769 TAP_357
*3770 TAP_358
*3771 TAP_359
*3772 TAP_360
*3773 TAP_361
*3774 TAP_362
*3775 TAP_363
*3776 TAP_364
*3777 TAP_365
*3778 TAP_366
*3779 TAP_367
*3780 TAP_368
*3781 TAP_369
*3782 TAP_370
*3783 TAP_371
*3784 TAP_372
*3785 TAP_373
*3786 TAP_374
*3787 TAP_375
*3788 TAP_376
*3789 TAP_377
*3790 TAP_378
*3791 TAP_379
*3792 TAP_380
*3793 TAP_381
*3794 TAP_382
*3795 TAP_383
*3796 TAP_384
*3797 TAP_385
*3798 TAP_386
*3799 TAP_387
*3800 TAP_388
*3801 TAP_389
*3802 TAP_390
*3803 TAP_391
*3804 TAP_392
*3805 TAP_393
*3806 TAP_394
*3807 TAP_395
*3808 TAP_396
*3809 TAP_397
*3810 TAP_398
*3811 TAP_399
*3812 TAP_400
*3813 TAP_401
*3814 TAP_402
*3815 TAP_403
*3816 TAP_404
*3817 TAP_405
*3818 TAP_406
*3819 TAP_407
*3820 TAP_408
*3821 TAP_409
*3822 TAP_410
*3823 TAP_411
*3824 TAP_412
*3825 TAP_413
*3826 TAP_414
*3827 TAP_415
*3828 TAP_416
*3829 TAP_417
*3830 TAP_418
*3831 TAP_419
*3832 TAP_420
*3833 TAP_421
*3834 TAP_422
*3835 TAP_423
*3836 TAP_424
*3837 TAP_425
*3838 TAP_426
*3839 TAP_427
*3840 TAP_428
*3841 TAP_429
*3842 TAP_430
*3843 TAP_431
*3844 TAP_432
*3845 TAP_433
*3846 TAP_434
*3847 TAP_435
*3848 TAP_436
*3849 TAP_437
*3850 TAP_438
*3851 TAP_439
*3852 TAP_440
*3853 TAP_441
*3854 TAP_442
*3855 TAP_443
*3856 TAP_444
*3857 TAP_445
*3858 TAP_446
*3859 TAP_447
*3860 TAP_448
*3861 TAP_449
*3862 TAP_450
*3863 TAP_451
*3864 TAP_452
*3865 TAP_453
*3866 TAP_454
*3867 TAP_455
*3868 TAP_456
*3869 TAP_457
*3870 TAP_458
*3871 TAP_459
*3872 TAP_460
*3873 TAP_461
*3874 TAP_462
*3875 TAP_463
*3876 TAP_464
*3877 TAP_465
*3878 TAP_466
*3879 TAP_467
*3880 TAP_468
*3881 TAP_469
*3882 TAP_470
*3883 TAP_471
*3884 TAP_472
*3885 TAP_473
*3886 TAP_474
*3887 TAP_475
*3888 TAP_476
*3889 TAP_477
*3890 TAP_478
*3891 TAP_479
*3892 TAP_480
*3893 TAP_481
*3894 TAP_482
*3895 TAP_483
*3896 TAP_484
*3897 TAP_485
*3898 TAP_486
*3899 TAP_487
*3900 TAP_488
*3901 TAP_489
*3902 TAP_490
*3903 TAP_491
*3904 TAP_492
*3905 TAP_493
*3906 TAP_494
*3907 TAP_495
*3908 TAP_496
*3909 TAP_497
*3910 TAP_498
*3911 TAP_499
*3912 TAP_500
*3913 TAP_501
*3914 TAP_502
*3915 TAP_503
*3916 TAP_504
*3917 TAP_505
*3918 TAP_506
*3919 TAP_507
*3920 TAP_508
*3921 TAP_509
*3922 TAP_510
*3923 TAP_511
*3924 TAP_512
*3925 TAP_513
*3926 TAP_514
*3927 TAP_515
*3928 TAP_516
*3929 TAP_517
*3930 TAP_518
*3931 TAP_519
*3932 TAP_520
*3933 TAP_521
*3934 TAP_522
*3935 TAP_523
*3936 TAP_524
*3937 TAP_525
*3938 TAP_526
*3939 TAP_527
*3940 TAP_528
*3941 TAP_529
*3942 TAP_530
*3943 TAP_531
*3944 TAP_532
*3945 TAP_533
*3946 TAP_534
*3947 TAP_535
*3948 TAP_536
*3949 TAP_537
*3950 TAP_538
*3951 TAP_539
*3952 TAP_540
*3953 TAP_541
*3954 TAP_542
*3955 TAP_543
*3956 TAP_544
*3957 TAP_545
*3958 TAP_546
*3959 TAP_547
*3960 TAP_548
*3961 TAP_549
*3962 TAP_550
*3963 TAP_551
*3964 TAP_552
*3965 TAP_553
*3966 TAP_554
*3967 TAP_555
*3968 TAP_556
*3969 TAP_557
*3970 TAP_558
*3971 TAP_559
*3972 TAP_560
*3973 TAP_561
*3974 TAP_562
*3975 TAP_563
*3976 TAP_564
*3977 TAP_565
*3978 TAP_566
*3979 TAP_567
*3980 TAP_568
*3981 TAP_569
*3982 TAP_570
*3983 TAP_571
*3984 TAP_572
*3985 TAP_573
*3986 TAP_574
*3987 TAP_575
*3988 TAP_576
*3989 TAP_577
*3990 TAP_578
*3991 TAP_579
*3992 TAP_580
*3993 TAP_581
*3994 TAP_582
*3995 TAP_583
*3996 TAP_584
*3997 TAP_585
*3998 TAP_586
*3999 TAP_587
*4000 TAP_588
*4001 TAP_589
*4002 TAP_590
*4003 _0528_
*4004 _0529_
*4005 _0530_
*4006 _0531_
*4007 _0532_
*4008 _0533_
*4009 _0534_
*4010 _0535_
*4011 _0536_
*4012 _0537_
*4013 _0538_
*4014 _0539_
*4015 _0540_
*4016 _0541_
*4017 _0542_
*4018 _0543_
*4019 _0544_
*4020 _0545_
*4021 _0546_
*4022 _0547_
*4023 _0548_
*4024 _0549_
*4025 _0550_
*4026 _0551_
*4027 _0552_
*4028 _0553_
*4029 _0554_
*4030 _0555_
*4031 _0556_
*4032 _0557_
*4033 _0558_
*4034 _0559_
*4035 _0560_
*4036 _0561_
*4037 _0562_
*4038 _0563_
*4039 _0564_
*4040 _0565_
*4041 _0566_
*4042 _0567_
*4043 _0568_
*4044 _0569_
*4045 _0570_
*4046 _0571_
*4047 _0572_
*4048 _0573_
*4049 _0574_
*4050 _0575_
*4051 _0576_
*4052 _0577_
*4053 _0578_
*4054 _0579_
*4055 _0580_
*4056 _0581_
*4057 _0582_
*4058 _0583_
*4059 _0584_
*4060 _0585_
*4061 _0586_
*4062 _0587_
*4063 _0588_
*4064 _0589_
*4065 _0590_
*4066 _0591_
*4067 _0592_
*4068 _0593_
*4069 _0594_
*4070 _0595_
*4071 _0596_
*4072 _0597_
*4073 _0598_
*4074 _0599_
*4075 _0600_
*4076 _0601_
*4077 _0602_
*4078 _0603_
*4079 _0604_
*4080 _0605_
*4081 _0606_
*4082 _0607_
*4083 _0608_
*4084 _0609_
*4085 _0610_
*4086 _0611_
*4087 _0612_
*4088 _0613_
*4089 _0614_
*4090 _0615_
*4091 _0616_
*4092 _0617_
*4093 _0618_
*4094 _0619_
*4095 _0620_
*4096 _0621_
*4097 _0622_
*4098 _0623_
*4099 _0624_
*4100 _0625_
*4101 _0626_
*4102 _0627_
*4103 _0628_
*4104 _0629_
*4105 _0630_
*4106 _0631_
*4107 _0632_
*4108 _0633_
*4109 _0634_
*4110 _0635_
*4111 _0636_
*4112 _0637_
*4113 _0638_
*4114 _0639_
*4115 _0640_
*4116 _0641_
*4117 _0642_
*4118 _0643_
*4119 _0644_
*4120 _0645_
*4121 _0646_
*4122 _0647_
*4123 _0648_
*4124 _0649_
*4125 _0650_
*4126 _0651_
*4127 _0652_
*4128 _0653_
*4129 _0654_
*4130 _0655_
*4131 _0656_
*4132 _0657_
*4133 _0658_
*4134 _0659_
*4135 _0660_
*4136 _0661_
*4137 _0662_
*4138 _0663_
*4139 _0664_
*4140 _0665_
*4141 _0666_
*4142 _0667_
*4143 _0668_
*4144 _0669_
*4145 _0670_
*4146 _0671_
*4147 _0672_
*4148 _0673_
*4149 _0674_
*4150 _0675_
*4151 _0676_
*4152 _0677_
*4153 _0678_
*4154 _0679_
*4155 _0680_
*4156 _0681_
*4157 _0682_
*4158 _0683_
*4159 _0684_
*4160 _0685_
*4161 _0686_
*4162 _0687_
*4163 _0688_
*4164 _0689_
*4165 _0690_
*4166 _0691_
*4167 _0692_
*4168 _0693_
*4169 _0694_
*4170 _0695_
*4171 _0696_
*4172 _0697_
*4173 _0698_
*4174 _0699_
*4175 _0700_
*4176 _0701_
*4177 _0702_
*4178 _0703_
*4179 _0704_
*4180 _0705_
*4181 _0706_
*4182 _0707_
*4183 _0708_
*4184 _0709_
*4185 _0710_
*4186 _0711_
*4187 _0712_
*4188 _0713_
*4189 _0714_
*4190 _0715_
*4191 _0716_
*4192 _0717_
*4193 _0718_
*4194 _0719_
*4195 _0720_
*4196 _0721_
*4197 _0722_
*4198 _0723_
*4199 _0724_
*4200 _0725_
*4201 _0726_
*4202 _0727_
*4203 _0728_
*4204 _0729_
*4205 _0730_
*4206 _0731_
*4207 _0732_
*4208 _0733_
*4209 _0734_
*4210 _0735_
*4211 _0736_
*4212 _0737_
*4213 _0738_
*4214 _0739_
*4215 _0740_
*4216 _0741_
*4217 _0742_
*4218 _0743_
*4219 _0744_
*4220 _0745_
*4221 _0746_
*4222 _0747_
*4223 _0748_
*4224 _0749_
*4225 _0750_
*4226 _0751_
*4227 _0752_
*4228 _0753_
*4229 _0754_
*4230 _0755_
*4231 _0756_
*4232 _0757_
*4233 _0758_
*4234 _0759_
*4235 _0760_
*4236 _0761_
*4237 _0762_
*4238 _0763_
*4239 _0764_
*4240 _0765_
*4241 _0766_
*4242 _0767_
*4243 _0768_
*4244 _0769_
*4245 _0770_
*4246 _0771_
*4247 _0772_
*4248 _0773_
*4249 _0774_
*4250 _0775_
*4251 _0776_
*4252 _0777_
*4253 _0778_
*4254 _0779_
*4255 _0780_
*4256 _0781_
*4257 _0782_
*4258 _0783_
*4259 _0784_
*4260 _0785_
*4261 _0786_
*4262 _0787_
*4263 _0788_
*4264 _0789_
*4265 _0790_
*4266 _0791_
*4267 _0792_
*4268 _0793_
*4269 _0794_
*4270 _0795_
*4271 _0796_
*4272 _0797_
*4273 _0798_
*4274 _0799_
*4275 _0800_
*4276 _0801_
*4277 _0802_
*4278 _0803_
*4279 _0804_
*4280 _0805_
*4281 _0806_
*4282 _0807_
*4283 _0808_
*4284 _0809_
*4285 _0810_
*4286 _0811_
*4287 _0812_
*4288 _0813_
*4289 _0814_
*4290 _0815_
*4291 _0816_
*4292 _0817_
*4293 _0818_
*4294 _0819_
*4295 _0820_
*4296 _0821_
*4297 _0822_
*4298 _0823_
*4299 _0824_
*4300 _0825_
*4301 _0826_
*4302 _0827_
*4303 _0828_
*4304 _0829_
*4305 _0830_
*4306 _0831_
*4307 _0832_
*4308 _0833_
*4309 _0834_
*4310 _0835_
*4311 _0836_
*4312 _0837_
*4313 _0838_
*4314 _0839_
*4315 _0840_
*4316 _0841_
*4317 _0842_
*4318 _0843_
*4319 _0844_
*4320 _0845_
*4321 _0846_
*4322 _0847_
*4323 _0848_
*4324 _0849_
*4325 _0850_
*4326 _0851_
*4327 _0852_
*4328 _0853_
*4329 _0854_
*4330 _0855_
*4331 _0856_
*4332 _0857_
*4333 _0858_
*4334 _0859_
*4335 _0860_
*4336 _0861_
*4337 _0862_
*4338 _0863_
*4339 _0864_
*4340 _0865_
*4341 _0866_
*4342 _0867_
*4343 _0868_
*4344 _0869_
*4345 _0870_
*4346 _0871_
*4347 _0872_
*4348 _0873_
*4349 _0874_
*4350 _0875_
*4351 _0876_
*4352 _0877_
*4353 _0878_
*4354 _0879_
*4355 _0880_
*4356 _0881_
*4357 _0882_
*4358 _0883_
*4359 _0884_
*4360 _0885_
*4361 _0886_
*4362 _0887_
*4363 _0888_
*4364 _0889_
*4365 _0890_
*4366 _0891_
*4367 _0892_
*4368 _0893_
*4369 _0894_
*4370 _0895_
*4371 _0896_
*4372 _0897_
*4373 _0898_
*4374 _0899_
*4375 _0900_
*4376 _0901_
*4377 _0902_
*4378 _0903_
*4379 _0904_
*4380 _0905_
*4381 _0906_
*4382 _0907_
*4383 _0908_
*4384 _0909_
*4385 _0910_
*4386 _0911_
*4387 _0912_
*4388 _0913_
*4389 _0914_
*4390 _0915_
*4391 _0916_
*4392 _0917_
*4393 _0918_
*4394 _0919_
*4395 _0920_
*4396 _0921_
*4397 _0922_
*4398 _0923_
*4399 _0924_
*4400 _0925_
*4401 _0926_
*4402 _0927_
*4403 _0928_
*4404 _0929_
*4405 _0930_
*4406 _0931_
*4407 _0932_
*4408 _0933_
*4409 _0934_
*4410 _0935_
*4411 _0936_
*4412 _0937_
*4413 _0938_
*4414 _0939_
*4415 _0940_
*4416 _0941_
*4417 _0942_
*4418 _0943_
*4419 _0944_
*4420 _0945_
*4421 _0946_
*4422 _0947_
*4423 _0948_
*4424 _0949_
*4425 _0950_
*4426 _0951_
*4427 _0952_
*4428 _0953_
*4429 _0954_
*4430 _0955_
*4431 _0956_
*4432 _0957_
*4433 _0958_
*4434 _0959_
*4435 _0960_
*4436 _0961_
*4437 _0962_
*4438 _0963_
*4439 _0964_
*4440 _0965_
*4441 _0966_
*4442 _0967_
*4443 _0968_
*4444 _0969_
*4445 _0970_
*4446 _0971_
*4447 _0972_
*4448 _0973_
*4449 _0974_
*4450 _0975_
*4451 _0976_
*4452 _0977_
*4453 _0978_
*4454 _0979_
*4455 _0980_
*4456 _0981_
*4457 _0982_
*4458 _0983_
*4459 _0984_
*4460 _0985_
*4461 _0986_
*4462 _0987_
*4463 _0988_
*4464 _0989_
*4465 _0990_
*4466 _0991_
*4467 _0992_
*4468 _0993_
*4469 _0994_
*4470 _0995_
*4471 _0996_
*4472 _0997_
*4473 _0998_
*4474 _0999_
*4475 _1000_
*4476 _1001_
*4477 _1002_
*4478 _1003_
*4479 _1004_
*4480 _1005_
*4481 _1006_
*4482 _1007_
*4483 _1008_
*4484 _1009_
*4485 _1010_
*4486 _1011_
*4487 _1012_
*4488 _1013_
*4489 _1014_
*4490 _1015_
*4491 _1016_
*4492 _1017_
*4493 _1018__17
*4494 _1019__18
*4495 _1020__19
*4496 _1021__20
*4497 _1022__21
*4498 _1023__22
*4499 _1024__23
*4500 _1025__24
*4501 _1026__25
*4502 _1027__26
*4503 _1028__27
*4504 _1029__28
*4505 _1030__29
*4506 _1031__30
*4507 _1032__31
*4508 _1033__32
*4509 _1034__33
*4510 _1035__34
*4511 _1036__35
*4512 _1037__36
*4513 _1038__37
*4514 _1039__38
*4515 _1040__39
*4516 _1041__40
*4517 _1042__41
*4518 _1043__42
*4519 _1044__43
*4520 _1045__44
*4521 _1046__45
*4522 _1047__46
*4523 _1048__47
*4524 _1049__48
*4525 _1050__49
*4526 _1051__50
*4527 _1052__51
*4528 _1053__52
*4529 _1054__53
*4530 _1055__54
*4531 _1056__55
*4532 _1057__56
*4533 _1058__57
*4534 _1059__58
*4535 _1060__59
*4536 _1061__60
*4537 _1062__61
*4538 _1063__62
*4539 _1064__63
*4540 _1065__64
*4541 _1066__65
*4542 _1067__66
*4543 _1068__67
*4544 _1069__68
*4545 _1070__69
*4546 _1071__70
*4547 _1072__71
*4548 _1073__72
*4549 _1074__73
*4550 _1075__74
*4551 _1076__75
*4552 _1077__76
*4553 _1078__77
*4554 _1079__78
*4555 _1080__79
*4556 _1081__80
*4557 _1082__81
*4558 _1083__82
*4559 _1084__83
*4560 _1085__84
*4561 _1086__85
*4562 _1087__86
*4563 _1088__87
*4564 _1089__88
*4565 _1090__89
*4566 _1091__90
*4567 _1092__91
*4568 _1093__92
*4569 _1094__93
*4570 _1095__94
*4571 _1096__95
*4572 _1097__96
*4573 _1098__97
*4574 _1099__98
*4575 _1100__99
*4576 _1101__100
*4577 _1102__101
*4578 _1103__102
*4579 _1104__103
*4580 _1105__104
*4581 _1106__105
*4582 _1107__106
*4583 _1108__107
*4584 _1109__108
*4585 _1110__109
*4586 _1111__110
*4587 _1112__111
*4588 _1113__112
*4589 _1114__113
*4590 _1115__114
*4591 _1116__115
*4592 _1117__116
*4593 _1118_
*4594 _1119_
*4595 _1120_
*4596 _1121_
*4597 _1122_
*4598 _1123_
*4599 _1124_
*4600 _1125_
*4601 _1126_
*4602 _1127_
*4603 _1128_
*4604 _1129_
*4605 _1130_
*4606 _1131_
*4607 _1132_
*4608 _1133_
*4609 _1134_
*4610 _1135_
*4611 _1136_
*4612 _1137_
*4613 _1138_
*4614 _1139_
*4615 _1140_
*4616 _1141_
*4617 _1142_
*4618 _1143_
*4619 _1144_
*4620 _1145_
*4621 _1146_
*4622 _1147_
*4623 _1148_
*4624 _1149_
*4625 _1150_
*4626 _1151_
*4627 _1152_
*4628 _1153_
*4629 _1154_
*4630 _1155_
*4631 _1156_
*4632 _1157_
*4633 _1158_
*4634 _1159_
*4635 _1160_
*4636 _1161_
*4637 _1162_
*4638 _1163_
*4639 _1164_
*4640 _1165_
*4641 _1166_
*4642 _1167_
*4643 _1168_
*4644 _1169_
*4645 _1170_
*4646 _1171_
*4647 _1172_
*4648 _1173_
*4649 _1174_
*4650 _1175_
*4651 _1176_
*4652 _1177_
*4653 _1178_
*4654 _1179_
*4655 _1180_
*4656 _1181_
*4657 _1182_
*4658 _1183_
*4659 _1184_
*4660 _1185_
*4661 _1186_
*4662 _1187_
*4663 _1188_
*4664 _1189_
*4665 _1190_
*4666 _1191_
*4667 _1192_
*4668 _1193_
*4669 _1194_
*4670 _1195_
*4671 _1196_
*4672 _1197_
*4673 _1198_
*4674 _1199_
*4675 _1200_
*4676 _1201_
*4677 _1202_
*4678 _1203_
*4679 _1204_
*4680 _1205_
*4681 _1206_
*4682 _1207_
*4683 _1208_
*4684 _1209_
*4685 _1210_
*4686 _1211_
*4687 _1212_
*4688 _1213_
*4689 _1214_
*4690 _1215_
*4691 _1216_
*4692 _1217_
*4693 _1218_
*4694 _1219_
*4695 _1220_
*4696 _1221_
*4697 _1222_
*4698 _1223_
*4699 _1224_
*4700 _1225_
*4701 clkbuf_0_wb_clk_i
*4702 clkbuf_2_0_0_wb_clk_i
*4703 clkbuf_2_1_0_wb_clk_i
*4704 clkbuf_2_2_0_wb_clk_i
*4705 clkbuf_2_3_0_wb_clk_i
*4706 hold1
*4707 hold2
*4708 input1
*4709 input10
*4710 input11
*4711 input12
*4712 input13
*4713 input14
*4714 input15
*4715 input16
*4716 input2
*4717 input3
*4718 input4
*4719 input5
*4720 input6
*4721 input7
*4722 input8
*4723 input9
*PORTS
active I
io_in[0] I
io_in[10] I
io_in[11] I
io_in[12] I
io_in[13] I
io_in[14] I
io_in[15] I
io_in[16] I
io_in[17] I
io_in[18] I
io_in[19] I
io_in[1] I
io_in[20] I
io_in[21] I
io_in[22] I
io_in[23] I
io_in[24] I
io_in[25] I
io_in[26] I
io_in[27] I
io_in[28] I
io_in[29] I
io_in[2] I
io_in[30] I
io_in[31] I
io_in[32] I
io_in[33] I
io_in[34] I
io_in[35] I
io_in[36] I
io_in[37] I
io_in[3] I
io_in[4] I
io_in[5] I
io_in[6] I
io_in[7] I
io_in[8] I
io_in[9] I
io_oeb[0] O
io_oeb[10] O
io_oeb[11] O
io_oeb[12] O
io_oeb[13] O
io_oeb[14] O
io_oeb[15] O
io_oeb[16] O
io_oeb[17] O
io_oeb[18] O
io_oeb[19] O
io_oeb[1] O
io_oeb[20] O
io_oeb[21] O
io_oeb[22] O
io_oeb[23] O
io_oeb[24] O
io_oeb[25] O
io_oeb[26] O
io_oeb[27] O
io_oeb[28] O
io_oeb[29] O
io_oeb[2] O
io_oeb[30] O
io_oeb[31] O
io_oeb[32] O
io_oeb[33] O
io_oeb[34] O
io_oeb[35] O
io_oeb[36] O
io_oeb[37] O
io_oeb[3] O
io_oeb[4] O
io_oeb[5] O
io_oeb[6] O
io_oeb[7] O
io_oeb[8] O
io_oeb[9] O
io_out[0] O
io_out[10] O
io_out[11] O
io_out[12] O
io_out[13] O
io_out[14] O
io_out[15] O
io_out[16] O
io_out[17] O
io_out[18] O
io_out[19] O
io_out[1] O
io_out[20] O
io_out[21] O
io_out[22] O
io_out[23] O
io_out[24] O
io_out[25] O
io_out[26] O
io_out[27] O
io_out[28] O
io_out[29] O
io_out[2] O
io_out[30] O
io_out[31] O
io_out[32] O
io_out[33] O
io_out[34] O
io_out[35] O
io_out[36] O
io_out[37] O
io_out[3] O
io_out[4] O
io_out[5] O
io_out[6] O
io_out[7] O
io_out[8] O
io_out[9] O
la1_data_in[0] I
la1_data_in[10] I
la1_data_in[11] I
la1_data_in[12] I
la1_data_in[13] I
la1_data_in[14] I
la1_data_in[15] I
la1_data_in[16] I
la1_data_in[17] I
la1_data_in[18] I
la1_data_in[19] I
la1_data_in[1] I
la1_data_in[20] I
la1_data_in[21] I
la1_data_in[22] I
la1_data_in[23] I
la1_data_in[24] I
la1_data_in[25] I
la1_data_in[26] I
la1_data_in[27] I
la1_data_in[28] I
la1_data_in[29] I
la1_data_in[2] I
la1_data_in[30] I
la1_data_in[31] I
la1_data_in[3] I
la1_data_in[4] I
la1_data_in[5] I
la1_data_in[6] I
la1_data_in[7] I
la1_data_in[8] I
la1_data_in[9] I
la1_data_out[0] O
la1_data_out[10] O
la1_data_out[11] O
la1_data_out[12] O
la1_data_out[13] O
la1_data_out[14] O
la1_data_out[15] O
la1_data_out[16] O
la1_data_out[17] O
la1_data_out[18] O
la1_data_out[19] O
la1_data_out[1] O
la1_data_out[20] O
la1_data_out[21] O
la1_data_out[22] O
la1_data_out[23] O
la1_data_out[24] O
la1_data_out[25] O
la1_data_out[26] O
la1_data_out[27] O
la1_data_out[28] O
la1_data_out[29] O
la1_data_out[2] O
la1_data_out[30] O
la1_data_out[31] O
la1_data_out[3] O
la1_data_out[4] O
la1_data_out[5] O
la1_data_out[6] O
la1_data_out[7] O
la1_data_out[8] O
la1_data_out[9] O
la1_oenb[0] I
la1_oenb[10] I
la1_oenb[11] I
la1_oenb[12] I
la1_oenb[13] I
la1_oenb[14] I
la1_oenb[15] I
la1_oenb[16] I
la1_oenb[17] I
la1_oenb[18] I
la1_oenb[19] I
la1_oenb[1] I
la1_oenb[20] I
la1_oenb[21] I
la1_oenb[22] I
la1_oenb[23] I
la1_oenb[24] I
la1_oenb[25] I
la1_oenb[26] I
la1_oenb[27] I
la1_oenb[28] I
la1_oenb[29] I
la1_oenb[2] I
la1_oenb[30] I
la1_oenb[31] I
la1_oenb[3] I
la1_oenb[4] I
la1_oenb[5] I
la1_oenb[6] I
la1_oenb[7] I
la1_oenb[8] I
la1_oenb[9] I
wb_clk_i I
*D_NET *1 0.000616436
*CONN
*P active I
*I *4708:A I *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 active 0.000220221
2 *4708:A 0.000220221
3 *4708:A io_out[32] 6.61722e-05
4 *4708:A la1_data_out[1] 0.000103659
5 *4708:A *4133:A 3.5534e-06
6 *4708:A *287:12 2.60879e-06
*RES
1 active *4708:A 18.5541
*END
*D_NET *38 0.0017068
*CONN
*P io_in[8] I
*I *4716:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 io_in[8] 0.000679613
2 *4716:A 0.000679613
3 *4716:A io_oeb[29] 0.000129882
4 *4716:A *4455:D 5.25197e-05
5 *4716:A *83:10 0
6 *4716:A *278:43 0.000165169
*RES
1 io_in[8] *4716:A 22.1165
*END
*D_NET *40 0.00216587
*CONN
*P io_oeb[0] O
*I *4663:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[0] 0.00100094
2 *4663:Z 0.00100094
3 io_oeb[0] io_out[24] 0
4 io_oeb[0] *4649:A 0.000163997
5 io_oeb[0] *4663:A 0
6 io_oeb[0] *4663:TE_B 0
7 io_oeb[0] *546:8 0
8 io_oeb[0] *795:10 0
*RES
1 *4663:Z io_oeb[0] 38.6154
*END
*D_NET *41 0.000880681
*CONN
*P io_oeb[10] O
*I *4673:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[10] 0.000348697
2 *4673:Z 0.000348697
3 io_oeb[10] io_oeb[37] 8.18488e-05
4 io_oeb[10] io_out[9] 0
5 io_oeb[10] *286:16 3.13394e-05
6 io_oeb[10] *292:12 7.00991e-05
*RES
1 *4673:Z io_oeb[10] 19.4611
*END
*D_NET *42 0.00253057
*CONN
*P io_oeb[11] O
*I *4674:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[11] 0.00109785
2 *4674:Z 0.00109785
3 io_oeb[11] io_out[10] 5.18333e-05
4 io_oeb[11] io_out[4] 0
5 io_oeb[11] *83:10 0.000139234
6 io_oeb[11] *273:38 0.0001438
*RES
1 *4674:Z io_oeb[11] 22.3896
*END
*D_NET *43 0.00148503
*CONN
*P io_oeb[12] O
*I *4675:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[12] 0.000397463
2 *4675:Z 0.000397463
3 io_oeb[12] io_oeb[13] 7.80616e-05
4 io_oeb[12] *286:48 0.000303736
5 io_oeb[12] *755:12 0.000308302
*RES
1 *4675:Z io_oeb[12] 23.4606
*END
*D_NET *44 0.00225535
*CONN
*P io_oeb[13] O
*I *4676:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[13] 0.000934138
2 *4676:Z 0.000934138
3 io_oeb[13] la1_data_out[10] 0
4 io_oeb[13] la1_data_out[30] 7.80616e-05
5 io_oeb[13] *4202:A1 0.000113199
6 io_oeb[13] *83:10 0.000117754
7 io_oeb[12] io_oeb[13] 7.80616e-05
*RES
1 *4676:Z io_oeb[13] 21.8214
*END
*D_NET *45 0.0109075
*CONN
*P io_oeb[14] O
*I *4677:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[14] 0.000771352
2 *4677:Z 0.000137191
3 *45:8 0.00494407
4 *45:7 0.00430991
5 io_oeb[14] io_out[11] 0
6 io_oeb[14] io_out[26] 6.61722e-05
7 io_oeb[14] io_out[30] 0
8 io_oeb[14] la1_data_out[25] 0.000146509
9 *45:8 io_oeb[22] 2.82171e-06
10 *45:8 io_oeb[32] 0
11 *45:8 io_out[12] 6.69229e-05
12 *45:8 io_out[30] 0
13 *45:8 io_out[9] 0
14 *45:8 la1_data_out[15] 0
15 *45:8 la1_data_out[20] 4.20184e-06
16 *45:8 *4059:A 1.70638e-05
17 *45:8 *4608:A 0.000179271
18 *45:8 *4608:TE_B 0.000101118
19 *45:8 *4632:A 0
20 *45:8 *4632:TE_B 0
21 *45:8 *4685:A 0.000130777
22 *45:8 *4685:TE_B 3.01634e-05
23 *45:8 *54:10 0
24 *45:8 *154:8 0
25 *45:8 *284:24 0
26 *45:8 *287:26 0
*RES
1 *4677:Z *45:7 16.691
2 *45:7 *45:8 106.268
3 *45:8 io_oeb[14] 5.95615
*END
*D_NET *46 0.00196917
*CONN
*P io_oeb[15] O
*I *4678:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[15] 0.000898946
2 *4678:Z 0.000898946
3 io_oeb[15] io_oeb[7] 0
4 io_oeb[15] *4678:A 0
5 io_oeb[15] *4678:TE_B 0.000171273
*RES
1 *4678:Z io_oeb[15] 37.7764
*END
*D_NET *47 0.0018725
*CONN
*P io_oeb[16] O
*I *4679:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[16] 0.000661408
2 *4679:Z 0.000661408
3 io_oeb[16] io_out[29] 0
4 io_oeb[16] *270:49 0.000378178
5 io_oeb[16] *282:35 0.000171508
*RES
1 *4679:Z io_oeb[16] 25.2418
*END
*D_NET *48 0.00164666
*CONN
*P io_oeb[17] O
*I *4680:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[17] 0.000768263
2 *4680:Z 0.000768263
3 io_oeb[17] *292:27 0.000110136
*RES
1 *4680:Z io_oeb[17] 33.7632
*END
*D_NET *49 0.00185614
*CONN
*P io_oeb[18] O
*I *4681:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[18] 0.000928072
2 *4681:Z 0.000928072
3 io_oeb[18] *4650:A 0
4 io_oeb[18] *4650:TE_B 0
5 io_oeb[18] *4681:A 0
6 io_oeb[18] *4681:TE_B 0
7 io_oeb[18] *289:6 0
*RES
1 *4681:Z io_oeb[18] 37.7116
*END
*D_NET *50 0.00287504
*CONN
*P io_oeb[19] O
*I *4682:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[19] 0.00122909
2 *4682:Z 0.00122909
3 io_oeb[19] io_out[36] 0.000309485
4 io_oeb[19] *4678:A 0
5 io_oeb[19] *4678:TE_B 1.09738e-05
6 io_oeb[19] *4682:A 9.63981e-05
7 io_oeb[19] *107:11 0
*RES
1 *4682:Z io_oeb[19] 47.4045
*END
*D_NET *51 0.00197631
*CONN
*P io_oeb[1] O
*I *4664:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[1] 0.000909293
2 *4664:Z 0.000909293
3 io_oeb[1] io_oeb[28] 4.51176e-05
4 io_oeb[1] io_oeb[31] 0
5 io_oeb[1] io_out[13] 2.86855e-05
6 io_oeb[1] *4088:A 0
7 io_oeb[1] *4664:TE_B 0
8 io_oeb[1] *4721:A 0
9 io_oeb[1] *282:36 8.39252e-05
*RES
1 *4664:Z io_oeb[1] 31.8859
*END
*D_NET *52 0.00128813
*CONN
*P io_oeb[20] O
*I *4683:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[20] 0.000484413
2 *4683:Z 0.000484413
3 io_oeb[20] io_out[23] 0
4 io_oeb[20] la1_data_out[6] 0.000122308
5 io_oeb[20] *4640:A 0.000100851
6 io_oeb[20] *281:36 9.61451e-05
*RES
1 *4683:Z io_oeb[20] 20.2588
*END
*D_NET *53 0.00138642
*CONN
*P io_oeb[21] O
*I *4684:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[21] 0.000660124
2 *4684:Z 0.000660124
3 io_oeb[21] io_oeb[30] 6.61722e-05
4 io_oeb[21] *284:43 0
5 io_oeb[21] *287:19 0
*RES
1 *4684:Z io_oeb[21] 22.641
*END
*D_NET *54 0.00355074
*CONN
*P io_oeb[22] O
*I *4685:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[22] 0.000616472
2 *4685:Z 0.00107932
3 *54:10 0.00169579
4 io_oeb[22] io_oeb[8] 0
5 io_oeb[22] io_out[11] 0
6 io_oeb[22] la1_data_out[15] 0
7 io_oeb[22] la1_data_out[29] 0
8 io_oeb[22] *4622:A 0.000130777
9 io_oeb[22] *4622:TE_B 2.55493e-05
10 *54:10 *4685:A 0
11 *45:8 io_oeb[22] 2.82171e-06
12 *45:8 *54:10 0
*RES
1 *4685:Z *54:10 39.9617
2 *54:10 io_oeb[22] 16.6956
*END
*D_NET *55 0.00165147
*CONN
*P io_oeb[23] O
*I *4686:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[23] 0.000825736
2 *4686:Z 0.000825736
3 io_oeb[23] io_oeb[24] 0
4 io_oeb[23] *4686:A 0
*RES
1 *4686:Z io_oeb[23] 35.269
*END
*D_NET *56 0.00228206
*CONN
*P io_oeb[24] O
*I *4687:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[24] 0.000975727
2 *4687:Z 0.000975727
3 io_oeb[24] la1_data_out[14] 0
4 io_oeb[24] *4687:A 0.00033061
5 io_oeb[24] *4687:TE_B 0
6 io_oeb[23] io_oeb[24] 0
*RES
1 *4687:Z io_oeb[24] 39.325
*END
*D_NET *57 0.00214739
*CONN
*P io_oeb[25] O
*I *4688:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[25] 0.000907259
2 *4688:Z 0.000907259
3 io_oeb[25] io_oeb[33] 0.000210488
4 io_oeb[25] io_out[18] 0.000122387
5 io_oeb[25] *638:15 0
*RES
1 *4688:Z io_oeb[25] 21.1439
*END
*D_NET *58 0.00234807
*CONN
*P io_oeb[26] O
*I *4689:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[26] 0.000902321
2 *4689:Z 0.000902321
3 io_oeb[26] io_out[14] 0.000235511
4 io_oeb[26] io_out[35] 0.000116715
5 io_oeb[26] *83:10 0.000191204
*RES
1 *4689:Z io_oeb[26] 23.2201
*END
*D_NET *59 0.0019922
*CONN
*P io_oeb[27] O
*I *4690:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[27] 0.00081229
2 *4690:Z 0.00081229
3 io_oeb[27] *4690:A 5.69404e-05
4 io_oeb[27] *4690:TE_B 0.000310676
*RES
1 *4690:Z io_oeb[27] 36.6672
*END
*D_NET *60 0.00174365
*CONN
*P io_oeb[28] O
*I *4691:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[28] 0.00072528
2 *4691:Z 0.00072528
3 io_oeb[28] io_oeb[31] 0.000128195
4 io_oeb[28] *4664:A 0
5 io_oeb[28] *275:24 0
6 io_oeb[28] *282:36 0.000119781
7 io_oeb[1] io_oeb[28] 4.51176e-05
*RES
1 *4691:Z io_oeb[28] 26.1488
*END
*D_NET *61 0.00105231
*CONN
*P io_oeb[29] O
*I *4692:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[29] 0.000413757
2 *4692:Z 0.000413757
3 io_oeb[29] *274:10 4.51176e-05
4 io_oeb[29] *275:66 4.97938e-05
5 *4716:A io_oeb[29] 0.000129882
*RES
1 *4692:Z io_oeb[29] 19.4283
*END
*D_NET *62 0.000982634
*CONN
*P io_oeb[2] O
*I *4665:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[2] 0.000491317
2 *4665:Z 0.000491317
3 io_oeb[2] io_out[20] 0
4 io_oeb[2] *4710:A 0
*RES
1 *4665:Z io_oeb[2] 27.3303
*END
*D_NET *63 0.000686674
*CONN
*P io_oeb[30] O
*I *4693:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[30] 0.000280818
2 *4693:Z 0.000280818
3 io_oeb[30] *4611:A 5.88662e-05
4 io_oeb[30] *4693:A 0
5 io_oeb[21] io_oeb[30] 6.61722e-05
*RES
1 *4693:Z io_oeb[30] 21.4937
*END
*D_NET *64 0.00248445
*CONN
*P io_oeb[31] O
*I *4694:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[31] 0.00115159
2 *4694:Z 0.00115159
3 io_oeb[31] io_out[13] 2.55913e-05
4 io_oeb[31] io_out[22] 0
5 io_oeb[31] *4694:TE_B 2.74862e-05
6 io_oeb[31] *80:6 0
7 io_oeb[31] *278:93 0
8 io_oeb[1] io_oeb[31] 0
9 io_oeb[28] io_oeb[31] 0.000128195
*RES
1 *4694:Z io_oeb[31] 21.9744
*END
*D_NET *65 0.0015936
*CONN
*P io_oeb[32] O
*I *4695:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[32] 0.000692075
2 *4695:Z 0.000692075
3 io_oeb[32] io_out[19] 8.22664e-05
4 io_oeb[32] *4695:TE_B 0.000127179
5 io_oeb[32] *4713:A 0
6 io_oeb[32] *282:69 0
7 *45:8 io_oeb[32] 0
*RES
1 *4695:Z io_oeb[32] 22.794
*END
*D_NET *66 0.0030868
*CONN
*P io_oeb[33] O
*I *4696:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[33] 0.00133905
2 *4696:Z 0.00133905
3 io_oeb[33] io_oeb[4] 0
4 io_oeb[33] *4688:A 7.86851e-05
5 io_oeb[33] *4688:TE_B 0.000119538
6 io_oeb[33] *80:6 0
7 io_oeb[33] *275:24 0
8 io_oeb[33] *638:15 0
9 io_oeb[25] io_oeb[33] 0.000210488
*RES
1 *4696:Z io_oeb[33] 29.7112
*END
*D_NET *67 0.00361892
*CONN
*P io_oeb[34] O
*I *4697:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[34] 0.00161115
2 *4697:Z 0.00161115
3 io_oeb[34] *4109:A 0.00011818
4 io_oeb[34] *4682:TE_B 0.000122098
5 io_oeb[34] *4697:A 0.000100702
6 io_oeb[34] *4697:TE_B 5.56461e-05
*RES
1 *4697:Z io_oeb[34] 44.0915
*END
*D_NET *68 0.0011263
*CONN
*P io_oeb[35] O
*I *4698:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[35] 0.000492395
2 *4698:Z 0.000492395
3 io_oeb[35] *4713:A 0.000133645
4 io_oeb[35] *284:24 7.86825e-06
*RES
1 *4698:Z io_oeb[35] 19.319
*END
*D_NET *69 0.000729933
*CONN
*P io_oeb[36] O
*I *4699:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[36] 0.000289405
2 *4699:Z 0.000289405
3 io_oeb[36] la1_data_out[20] 8.66275e-05
4 io_oeb[36] *292:18 4.51176e-05
5 io_oeb[36] *536:10 1.93781e-05
*RES
1 *4699:Z io_oeb[36] 18.9694
*END
*D_NET *70 0.000777109
*CONN
*P io_oeb[37] O
*I *4700:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[37] 0.000315382
2 *4700:Z 0.000315382
3 io_oeb[37] io_out[7] 0
4 io_oeb[37] *286:16 4.51176e-05
5 io_oeb[37] *292:12 1.93781e-05
6 io_oeb[10] io_oeb[37] 8.18488e-05
*RES
1 *4700:Z io_oeb[37] 18.9694
*END
*D_NET *71 0.00104206
*CONN
*P io_oeb[3] O
*I *4666:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[3] 0.000521031
2 *4666:Z 0.000521031
3 io_oeb[3] *795:10 0
*RES
1 *4666:Z io_oeb[3] 27.3303
*END
*D_NET *72 0.00229455
*CONN
*P io_oeb[4] O
*I *4667:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[4] 0.00107191
2 *4667:Z 0.00107191
3 io_oeb[4] io_out[29] 0.000118623
4 io_oeb[4] *4667:TE_B 3.21112e-05
5 io_oeb[4] *280:16 0
6 io_oeb[33] io_oeb[4] 0
*RES
1 *4667:Z io_oeb[4] 21.9744
*END
*D_NET *73 0.00157591
*CONN
*P io_oeb[5] O
*I *4668:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[5] 0.000647796
2 *4668:Z 0.000647796
3 io_oeb[5] *4709:A 6.30699e-05
4 io_oeb[5] *278:32 0.00021725
5 io_oeb[5] *284:43 0
*RES
1 *4668:Z io_oeb[5] 22.641
*END
*D_NET *74 0.00256205
*CONN
*P io_oeb[6] O
*I *4669:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[6] 0.00110464
2 *4669:Z 0.00110464
3 io_oeb[6] la1_data_out[16] 0.000110191
4 io_oeb[6] la1_data_out[24] 0.000107828
5 io_oeb[6] *83:10 6.50176e-05
6 io_oeb[6] *273:38 6.97239e-05
*RES
1 *4669:Z io_oeb[6] 21.1439
*END
*D_NET *75 0.00320379
*CONN
*P io_oeb[7] O
*I *4670:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[7] 0.00080758
2 *4670:Z 0.000735233
3 *75:12 0.00154281
4 io_oeb[7] *4670:A 0
5 *75:12 *4670:TE_B 0.000118166
6 io_oeb[15] io_oeb[7] 0
*RES
1 *4670:Z *75:12 32.3038
2 *75:12 io_oeb[7] 23.0465
*END
*D_NET *76 0.00245525
*CONN
*P io_oeb[8] O
*I *4671:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[8] 0.0005443
2 *4671:Z 0.0005443
3 io_oeb[8] la1_data_out[15] 0.000933952
4 io_oeb[8] la1_data_out[5] 0
5 io_oeb[8] *4671:A 0
6 io_oeb[8] *175:11 0.000432698
7 io_oeb[22] io_oeb[8] 0
*RES
1 *4671:Z io_oeb[8] 34.6978
*END
*D_NET *77 0.00145898
*CONN
*P io_oeb[9] O
*I *4672:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_oeb[9] 0.00067284
2 *4672:Z 0.00067284
3 io_oeb[9] *4723:A 0
4 io_oeb[9] *292:27 0.000113302
*RES
1 *4672:Z io_oeb[9] 31.936
*END
*D_NET *78 0.0024315
*CONN
*P io_out[0] O
*I *4625:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[0] 0.00119546
2 *4625:Z 0.00119546
3 io_out[0] io_out[37] 0
4 io_out[0] la1_data_out[12] 4.0586e-05
5 io_out[0] la1_data_out[31] 0
*RES
1 *4625:Z io_out[0] 26.8481
*END
*D_NET *79 0.00806932
*CONN
*P io_out[10] O
*I *4635:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[10] 0.000141292
2 *4635:Z 0.000154628
3 *79:8 0.00127437
4 *79:7 0.00128771
5 io_out[10] io_out[16] 4.43278e-05
6 *79:8 io_out[16] 0.00059933
7 *79:8 *272:38 0.00133614
8 *79:8 *272:45 1.29394e-05
9 *79:8 *288:65 0.000333644
10 *79:8 *633:8 0.0028331
11 io_oeb[11] io_out[10] 5.18333e-05
*RES
1 *4635:Z *79:7 16.691
2 *79:7 *79:8 61.0054
3 *79:8 io_out[10] 3.9674
*END
*D_NET *80 0.020603
*CONN
*P io_out[11] O
*I *4636:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[11] 0.000582484
2 *4636:Z 0
3 *80:9 0.00506732
4 *80:8 0.00448484
5 *80:6 0.00161458
6 *80:5 0.00161458
7 io_out[11] la1_data_out[15] 0.000377666
8 io_out[11] la1_data_out[25] 0.000171138
9 *80:6 io_out[22] 6.31665e-05
10 *80:6 *4065:A 6.0002e-05
11 *80:6 *4637:TE_B 0.000127179
12 *80:6 *4667:TE_B 0
13 *80:6 *4694:TE_B 0
14 *80:6 *279:30 0
15 *80:6 *279:40 0.000352671
16 *80:6 *280:16 9.35753e-06
17 *80:6 *280:18 1.03986e-05
18 *80:6 *638:15 0.000312966
19 *80:9 *4593:TE_B 6.50727e-05
20 *80:9 *4622:A 6.92705e-05
21 *80:9 *4627:A 6.92705e-05
22 *80:9 *4718:A 7.48797e-05
23 *80:9 *4722:A 0.000147325
24 *80:9 *269:21 0.00247949
25 *80:9 *269:28 5.07314e-05
26 *80:9 *795:9 0.00279863
27 io_oeb[14] io_out[11] 0
28 io_oeb[22] io_out[11] 0
29 io_oeb[31] *80:6 0
30 io_oeb[33] *80:6 0
*RES
1 *4636:Z *80:5 13.7491
2 *80:5 *80:6 46.264
3 *80:6 *80:8 4.5
4 *80:8 *80:9 132.164
5 *80:9 io_out[11] 13.0968
*END
*D_NET *81 0.0156078
*CONN
*P io_out[12] O
*I *4637:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[12] 0.000817682
2 *4637:Z 0.000370063
3 *81:9 0.00600173
4 *81:8 0.00555411
5 io_out[12] io_out[30] 0
6 io_out[12] la1_data_out[3] 0
7 io_out[12] *154:8 0.000106191
8 *81:8 *4696:A 0.000173465
9 *81:8 *279:30 0.000940185
10 *81:8 *279:40 8.86128e-06
11 *81:9 *269:29 0.00156862
12 *45:8 io_out[12] 6.69229e-05
*RES
1 *4637:Z *81:8 34.615
2 *81:8 *81:9 129.391
3 *81:9 io_out[12] 14.3426
*END
*D_NET *82 0.00389034
*CONN
*P io_out[13] O
*I *4638:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[13] 0.00167076
2 *4638:Z 0.000247274
3 *82:7 0.00191803
4 io_out[13] *272:54 0
5 io_out[13] *289:71 0
6 io_out[13] *633:8 0
7 io_oeb[1] io_out[13] 2.86855e-05
8 io_oeb[31] io_out[13] 2.55913e-05
*RES
1 *4638:Z *82:7 18.9094
2 *82:7 io_out[13] 45.15
*END
*D_NET *83 0.0162429
*CONN
*P io_out[14] O
*I *4639:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[14] 0.000780056
2 *4639:Z 0.000203757
3 *83:10 0.00557399
4 *83:9 0.00499769
5 io_out[14] io_out[34] 8.93119e-05
6 *83:9 *282:21 0.000107101
7 *83:10 *4202:A1 0.000231897
8 *83:10 *4437:B 0.000246684
9 *83:10 *4455:D 0
10 *83:10 *4456:D 8.28236e-05
11 *83:10 *4601:A 3.90891e-05
12 *83:10 *4601:TE_B 0
13 *83:10 *4610:A 3.90891e-05
14 *83:10 *4623:A 5.69404e-05
15 *83:10 *4623:TE_B 0
16 *83:10 *4639:TE_B 0
17 *83:10 *4669:A 0
18 *83:10 *4674:A 0.000143289
19 *83:10 *4689:A 0
20 *83:10 *176:8 0.000473487
21 *83:10 *271:8 0
22 *83:10 *271:12 0
23 *83:10 *273:38 0.00189852
24 *83:10 *275:22 0
25 *83:10 *275:24 0
26 *83:10 *278:43 0
27 *83:10 *618:11 0.000311623
28 *83:10 *660:10 0.000179286
29 *83:10 *685:8 3.95141e-05
30 *83:10 *710:46 0
31 *83:10 *710:54 0
32 io_oeb[11] *83:10 0.000139234
33 io_oeb[13] *83:10 0.000117754
34 io_oeb[26] io_out[14] 0.000235511
35 io_oeb[26] *83:10 0.000191204
36 io_oeb[6] *83:10 6.50176e-05
37 *4716:A *83:10 0
*RES
1 *4639:Z *83:9 18.9335
2 *83:9 *83:10 149.454
3 *83:10 io_out[14] 6.10913
*END
*D_NET *84 0.019467
*CONN
*P io_out[15] O
*I *4640:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[15] 0.00246176
2 *4640:Z 0.000903098
3 *84:17 0.004002
4 *84:11 0.00550761
5 *84:9 0.00487046
6 io_out[15] io_out[21] 0
7 io_out[15] io_out[3] 0
8 io_out[15] *284:43 0.000231057
9 *84:9 *4241:A 7.48633e-05
10 *84:9 *4243:A2 0.000162663
11 *84:9 *4243:B2 1.8814e-05
12 *84:9 *4245:B1 0.000163428
13 *84:9 *384:27 0.000266846
14 *84:9 *387:8 0.00011818
15 *84:11 *4230:B 0.000233678
16 *84:11 *4231:A 8.5044e-05
17 *84:11 *4243:C1 7.58388e-05
18 *84:11 *4317:A0 3.28416e-06
19 *84:11 *4317:S 2.65667e-05
20 *84:11 *4319:A 3.83429e-05
21 *84:11 *4460:D 6.50586e-05
22 *84:11 *379:8 0.000158357
*RES
1 *4640:Z *84:9 31.9276
2 *84:9 *84:11 58.4022
3 *84:11 *84:17 34.6024
4 *84:17 io_out[15] 40.3549
*END
*D_NET *85 0.00217622
*CONN
*P io_out[16] O
*I *4641:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[16] 0.000446797
2 *4641:Z 0.000446797
3 io_out[16] la1_data_out[19] 4.43278e-05
4 io_out[16] *272:38 0.000594643
5 io_out[10] io_out[16] 4.43278e-05
6 *79:8 io_out[16] 0.00059933
*RES
1 *4641:Z io_out[16] 31.4811
*END
*D_NET *86 0.0112926
*CONN
*P io_out[17] O
*I *4642:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[17] 0.000953942
2 *4642:Z 0
3 *86:6 0.00329919
4 *86:5 0.00234525
5 io_out[17] io_out[6] 0.000143031
6 io_out[17] la1_data_out[31] 0
7 io_out[17] la1_data_out[9] 0
8 io_out[17] *4624:A 5.04829e-06
9 io_out[17] *4631:TE_B 0
10 *86:6 io_out[34] 0.000463407
11 *86:6 la1_data_out[12] 0
12 *86:6 la1_data_out[17] 0
13 *86:6 la1_data_out[8] 0.00027298
14 *86:6 la1_data_out[9] 0.00108757
15 *86:6 *4030:A 0
16 *86:6 *4083:A 0
17 *86:6 *4624:A 0.000317713
18 *86:6 *4629:A 0.00116396
19 *86:6 *4659:TE_B 4.87399e-05
20 *86:6 *4660:A 0.000120842
21 *86:6 *4660:TE_B 0.000101133
22 *86:6 *4692:A 0.000116778
23 *86:6 *4692:TE_B 0.000122083
24 *86:6 *271:26 0
25 *86:6 *272:10 0.000308956
26 *86:6 *272:23 0.000315819
27 *86:6 *272:38 0
28 *86:6 *274:21 0
29 *86:6 *274:43 0.000106165
30 *86:6 *275:66 0
31 *86:6 *284:59 0
*RES
1 *4642:Z *86:5 13.7491
2 *86:5 *86:6 85.2977
3 *86:6 io_out[17] 30.0964
*END
*D_NET *87 0.00131966
*CONN
*P io_out[18] O
*I *4643:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[18] 0.000473126
2 *4643:Z 0.000473126
3 io_out[18] la1_data_out[4] 0.000111774
4 io_out[18] *270:37 0.000139245
5 io_out[18] *275:24 0
6 io_oeb[25] io_out[18] 0.000122387
*RES
1 *4643:Z io_out[18] 21.0893
*END
*D_NET *88 0.000843701
*CONN
*P io_out[19] O
*I *4644:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[19] 0.000262536
2 *4644:Z 0.000262536
3 io_out[19] *4713:A 9.61642e-05
4 io_out[19] *286:12 7.00991e-05
5 io_out[19] *292:8 7.00991e-05
6 io_oeb[32] io_out[19] 8.22664e-05
*RES
1 *4644:Z io_out[19] 19.3846
*END
*D_NET *89 0.00170817
*CONN
*P io_out[1] O
*I *4626:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[1] 0.000746055
2 *4626:Z 0.000746055
3 io_out[1] *4626:A 0.000182869
4 io_out[1] *4626:TE_B 3.31882e-05
*RES
1 *4626:Z io_out[1] 34.4488
*END
*D_NET *90 0.00159421
*CONN
*P io_out[20] O
*I *4645:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[20] 0.000722108
2 *4645:Z 0.000722108
3 io_out[20] *4645:A 0
4 io_out[20] *4665:A 0
5 io_out[20] *4665:TE_B 0.000149994
6 io_oeb[2] io_out[20] 0
*RES
1 *4645:Z io_out[20] 33.9744
*END
*D_NET *91 0.000760484
*CONN
*P io_out[21] O
*I *4646:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[21] 0.000345205
2 *4646:Z 0.000345205
3 io_out[21] *292:8 7.00732e-05
4 io_out[21] *536:16 0
5 io_out[15] io_out[21] 0
*RES
1 *4646:Z io_out[21] 19.3846
*END
*D_NET *92 0.00105606
*CONN
*P io_out[22] O
*I *4647:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[22] 0.000496447
2 *4647:Z 0.000496447
3 io_oeb[31] io_out[22] 0
4 *80:6 io_out[22] 6.31665e-05
*RES
1 *4647:Z io_out[22] 27.3303
*END
*D_NET *93 0.00115462
*CONN
*P io_out[23] O
*I *4648:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[23] 0.000494608
2 *4648:Z 0.000494608
3 io_out[23] *4640:A 2.37478e-05
4 io_out[23] *214:10 0.00012145
5 io_out[23] *281:36 2.02035e-05
6 io_oeb[20] io_out[23] 0
*RES
1 *4648:Z io_out[23] 19.013
*END
*D_NET *94 0.00142876
*CONN
*P io_out[24] O
*I *4649:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[24] 0.000714382
2 *4649:Z 0.000714382
3 io_out[24] *269:8 0
4 io_oeb[0] io_out[24] 0
*RES
1 *4649:Z io_out[24] 31.0676
*END
*D_NET *95 0.00128868
*CONN
*P io_out[25] O
*I *4650:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[25] 0.000644341
2 *4650:Z 0.000644341
*RES
1 *4650:Z io_out[25] 31.0676
*END
*D_NET *96 0.00058643
*CONN
*P io_out[26] O
*I *4651:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[26] 0.000228594
2 *4651:Z 0.000228594
3 io_out[26] io_out[30] 6.30699e-05
4 io_out[26] *292:18 0
5 io_oeb[14] io_out[26] 6.61722e-05
*RES
1 *4651:Z io_out[26] 20.2479
*END
*D_NET *97 0.00153181
*CONN
*P io_out[27] O
*I *4652:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[27] 0.000765906
2 *4652:Z 0.000765906
3 io_out[27] *4665:A 0
*RES
1 *4652:Z io_out[27] 33.9744
*END
*D_NET *98 0.00163639
*CONN
*P io_out[28] O
*I *4653:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[28] 0.000818194
2 *4653:Z 0.000818194
3 io_out[28] *4131:A 0
4 io_out[28] *4633:A 0
5 io_out[28] *4653:TE_B 0
*RES
1 *4653:Z io_out[28] 33.9744
*END
*D_NET *99 0.00124802
*CONN
*P io_out[29] O
*I *4654:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[29] 0.000516627
2 *4654:Z 0.000516627
3 io_out[29] *270:49 9.61451e-05
4 io_out[29] *281:24 0
5 io_oeb[16] io_out[29] 0
6 io_oeb[4] io_out[29] 0.000118623
*RES
1 *4654:Z io_out[29] 20.2588
*END
*D_NET *100 0.00143951
*CONN
*P io_out[2] O
*I *4627:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[2] 0.000666423
2 *4627:Z 0.000666423
3 io_out[2] la1_data_out[0] 0
4 io_out[2] *4627:A 0
5 io_out[2] *4627:TE_B 0
6 io_out[2] *269:28 0.000106665
*RES
1 *4627:Z io_out[2] 31.9714
*END
*D_NET *101 0.00220129
*CONN
*P io_out[30] O
*I *4655:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[30] 0.00103587
2 *4655:Z 0.00103587
3 io_out[30] *154:8 6.64907e-05
4 io_oeb[14] io_out[30] 0
5 io_out[12] io_out[30] 0
6 io_out[26] io_out[30] 6.30699e-05
7 *45:8 io_out[30] 0
*RES
1 *4655:Z io_out[30] 27.4325
*END
*D_NET *102 0.000759129
*CONN
*P io_out[31] O
*I *4656:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[31] 0.000312734
2 *4656:Z 0.000312734
3 io_out[31] io_out[9] 9.67955e-05
4 io_out[31] *4656:TE_B 1.66626e-05
5 io_out[31] *292:12 2.02035e-05
*RES
1 *4656:Z io_out[31] 18.6306
*END
*D_NET *103 0.000498182
*CONN
*P io_out[32] O
*I *4657:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[32] 0.000216005
2 *4657:Z 0.000216005
3 io_out[32] la1_data_out[27] 0
4 io_out[32] *287:12 0
5 *4708:A io_out[32] 6.61722e-05
*RES
1 *4657:Z io_out[32] 19.4174
*END
*D_NET *104 0.000776924
*CONN
*P io_out[33] O
*I *4658:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[33] 0.000262038
2 *4658:Z 0.000262038
3 io_out[33] *4717:A 7.80453e-05
4 io_out[33] *4719:A 8.11477e-05
5 io_out[33] *286:48 7.00732e-05
6 io_out[33] *289:56 1.64943e-05
7 io_out[33] *751:11 7.08723e-06
*RES
1 *4658:Z io_out[33] 19.3081
*END
*D_NET *105 0.00175769
*CONN
*P io_out[34] O
*I *4659:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[34] 0.000375681
2 *4659:Z 0.000375681
3 io_out[34] *272:23 0.000453608
4 io_out[14] io_out[34] 8.93119e-05
5 *86:6 io_out[34] 0.000463407
*RES
1 *4659:Z io_out[34] 25.9522
*END
*D_NET *106 0.00123651
*CONN
*P io_out[35] O
*I *4660:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[35] 0.000431842
2 *4660:Z 0.000431842
3 io_out[35] la1_data_out[8] 8.93119e-05
4 io_out[35] *4083:A 4.90442e-05
5 io_out[35] *275:66 0.000117754
6 io_oeb[26] io_out[35] 0.000116715
*RES
1 *4660:Z io_out[35] 20.6741
*END
*D_NET *107 0.00353237
*CONN
*P io_out[36] O
*I *4661:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[36] 0.000406002
2 *4661:Z 0.000981524
3 *107:11 0.00138753
4 *107:11 la1_data_out[13] 7.30059e-05
5 *107:11 la1_data_out[18] 0.000289617
6 *107:11 *4661:A 5.00217e-05
7 *107:11 *4661:TE_B 8.62625e-06
8 *107:11 *4678:TE_B 0
9 *107:11 *4682:A 2.65667e-05
10 *107:11 *4697:A 0
11 io_oeb[19] io_out[36] 0.000309485
12 io_oeb[19] *107:11 0
*RES
1 *4661:Z *107:11 48.2856
2 *107:11 io_out[36] 14.8269
*END
*D_NET *108 0.00267361
*CONN
*P io_out[37] O
*I *4662:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[37] 0.00124097
2 *4662:Z 0.00124097
3 io_out[37] la1_data_out[12] 4.04697e-05
4 io_out[37] la1_data_out[21] 0
5 io_out[37] la1_data_out[31] 4.41388e-05
6 io_out[37] *4087:A 8.01597e-05
7 io_out[37] *4662:A 0
8 io_out[37] *4662:TE_B 2.68928e-05
9 io_out[37] *278:43 0
10 io_out[0] io_out[37] 0
*RES
1 *4662:Z io_out[37] 25.7117
*END
*D_NET *109 0.000634016
*CONN
*P io_out[3] O
*I *4628:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[3] 0.000301681
2 *4628:Z 0.000301681
3 io_out[3] la1_data_out[1] 0
4 io_out[3] *4133:A 1.33977e-05
5 io_out[3] *292:8 5.22654e-06
6 io_out[3] *536:16 1.20291e-05
7 io_out[15] io_out[3] 0
*RES
1 *4628:Z io_out[3] 18.8929
*END
*D_NET *110 0.00106145
*CONN
*P io_out[4] O
*I *4629:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[4] 0.000514591
2 *4629:Z 0.000514591
3 io_out[4] *274:10 2.02035e-05
4 io_out[4] *276:18 4.88715e-07
5 io_out[4] *291:44 1.1573e-05
6 io_oeb[11] io_out[4] 0
*RES
1 *4629:Z io_out[4] 19.013
*END
*D_NET *111 0.00127281
*CONN
*P io_out[5] O
*I *4630:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[5] 0.000636406
2 *4630:Z 0.000636406
3 io_out[5] *4630:A 0
4 io_out[5] *4630:TE_B 0
*RES
1 *4630:Z io_out[5] 31.0676
*END
*D_NET *112 0.00259496
*CONN
*P io_out[6] O
*I *4631:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[6] 0.00102603
2 *4631:Z 0.00102603
3 io_out[6] la1_data_out[9] 0
4 io_out[6] *4624:A 6.50586e-05
5 io_out[6] *4631:A 0.000334808
6 io_out[17] io_out[6] 0.000143031
*RES
1 *4631:Z io_out[6] 41.9188
*END
*D_NET *113 0.0015182
*CONN
*P io_out[7] O
*I *4632:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[7] 0.000693696
2 *4632:Z 0.000693696
3 io_out[7] *284:24 0.000130809
4 io_out[7] *287:42 0
5 io_out[7] *292:12 0
6 io_oeb[37] io_out[7] 0
*RES
1 *4632:Z io_out[7] 23.8868
*END
*D_NET *114 0.00111165
*CONN
*P io_out[8] O
*I *4633:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[8] 0.000555827
2 *4633:Z 0.000555827
*RES
1 *4633:Z io_out[8] 28.2341
*END
*D_NET *115 0.013863
*CONN
*P io_out[9] O
*I *4634:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 io_out[9] 0.00068644
2 *4634:Z 0.000647023
3 *115:9 0.00574125
4 *115:7 0.00570183
5 io_out[9] *284:24 0
6 *115:7 *4450:CLK 0.000254178
7 *115:7 *4450:D 7.02539e-05
8 *115:9 *4159:A 0.000326398
9 *115:9 *4450:CLK 4.66108e-05
10 *115:9 *4469:CLK 0.000292214
11 io_oeb[10] io_out[9] 0
12 io_out[31] io_out[9] 9.67955e-05
13 *45:8 io_out[9] 0
*RES
1 *4634:Z *115:7 25.2483
2 *115:7 *115:9 113.031
3 *115:9 io_out[9] 11.3593
*END
*D_NET *116 0.000867667
*CONN
*P la1_data_in[0] I
*I *4717:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 la1_data_in[0] 0.000356722
2 *4717:A 0.000356722
3 *4717:A *4719:A 0
4 *4717:A *286:48 3.63512e-05
5 *4717:A *289:56 3.98267e-05
6 io_out[33] *4717:A 7.80453e-05
*RES
1 la1_data_in[0] *4717:A 19.7999
*END
*D_NET *117 0.000940378
*CONN
*P la1_data_in[10] I
*I *4718:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[10] 0.000432749
2 *4718:A 0.000432749
3 *4718:A la1_data_out[11] 0
4 *4718:A la1_data_out[2] 0
5 *80:9 *4718:A 7.48797e-05
*RES
1 la1_data_in[10] *4718:A 25.8409
*END
*D_NET *118 0.00358237
*CONN
*P la1_data_in[11] I
*I *4719:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[11] 0.00153101
2 *4719:A 0.00153101
3 *4719:A la1_data_out[26] 0.00011393
4 *4719:A *4059:A 3.87387e-05
5 *4719:A *4199:A0 4.61962e-05
6 *4719:A *660:27 0.000161572
7 *4719:A *683:18 5.21927e-05
8 *4719:A *751:18 2.65667e-05
9 io_out[33] *4719:A 8.11477e-05
10 *4717:A *4719:A 0
*RES
1 la1_data_in[11] *4719:A 24.4462
*END
*D_NET *119 0.000562355
*CONN
*P la1_data_in[12] I
*I *4720:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[12] 0.000233105
2 *4720:A 0.000233105
3 *4720:A *536:16 9.61451e-05
*RES
1 la1_data_in[12] *4720:A 19.4174
*END
*D_NET *120 0.000832551
*CONN
*P la1_data_in[13] I
*I *4721:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 la1_data_in[13] 0.000409077
2 *4721:A 0.000409077
3 *4721:A *795:9 1.43983e-05
4 io_oeb[1] *4721:A 0
*RES
1 la1_data_in[13] *4721:A 24.7317
*END
*D_NET *127 0.00111008
*CONN
*P la1_data_in[1] I
*I *4722:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 la1_data_in[1] 0.000477066
2 *4722:A 0.000477066
3 *4722:A la1_data_out[2] 0
4 *4722:A *269:20 8.62625e-06
5 *80:9 *4722:A 0.000147325
*RES
1 la1_data_in[1] *4722:A 27.5047
*END
*D_NET *138 0.00109816
*CONN
*P la1_data_in[2] I
*I *4723:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 la1_data_in[2] 0.000436884
2 *4723:A 0.000436884
3 *4723:A la1_data_out[23] 0
4 *4723:A *269:21 0.000224395
5 io_oeb[9] *4723:A 0
*RES
1 la1_data_in[2] *4723:A 25.9803
*END
*D_NET *141 0.00112097
*CONN
*P la1_data_in[3] I
*I *4709:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[3] 0.000334252
2 *4709:A 0.000334252
3 *4709:A *536:16 0.000389394
4 io_oeb[5] *4709:A 6.30699e-05
*RES
1 la1_data_in[3] *4709:A 24.4004
*END
*D_NET *142 0.00180333
*CONN
*P la1_data_in[4] I
*I *4710:A I *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 la1_data_in[4] 0.000901663
2 *4710:A 0.000901663
3 io_oeb[2] *4710:A 0
*RES
1 la1_data_in[4] *4710:A 34.4516
*END
*D_NET *143 0.00207846
*CONN
*P la1_data_in[5] I
*I *4711:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[5] 0.000612401
2 *4711:A 0.000612401
3 *4711:A la1_data_out[19] 0.000110178
4 *4711:A *4125:A 0.000308302
5 *4711:A *4640:A 0.000303736
6 *4711:A *214:10 0.000131447
*RES
1 la1_data_in[5] *4711:A 24.1491
*END
*D_NET *144 0.00130978
*CONN
*P la1_data_in[6] I
*I *4712:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 la1_data_in[6] 0.000654889
2 *4712:A 0.000654889
3 *4712:A *4714:A 0
*RES
1 la1_data_in[6] *4712:A 31.4346
*END
*D_NET *145 0.00111122
*CONN
*P la1_data_in[7] I
*I *4713:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[7] 0.000439107
2 *4713:A 0.000439107
3 *4713:A *282:69 3.20069e-06
4 *4713:A *284:24 0
5 *4713:A *292:8 0
6 io_oeb[32] *4713:A 0
7 io_oeb[35] *4713:A 0.000133645
8 io_out[19] *4713:A 9.61642e-05
*RES
1 la1_data_in[7] *4713:A 20.6741
*END
*D_NET *146 0.0016104
*CONN
*P la1_data_in[8] I
*I *4714:A I *D sky130_fd_sc_hd__buf_2
*CAP
1 la1_data_in[8] 0.000799839
2 *4714:A 0.000799839
3 *4714:A *733:6 1.07248e-05
4 *4712:A *4714:A 0
*RES
1 la1_data_in[8] *4714:A 34.1409
*END
*D_NET *147 0.000464266
*CONN
*P la1_data_in[9] I
*I *4715:A I *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 la1_data_in[9] 0.000209574
2 *4715:A 0.000209574
3 *4715:A *536:16 4.51176e-05
*RES
1 la1_data_in[9] *4715:A 18.5869
*END
*D_NET *148 0.00136602
*CONN
*P la1_data_out[0] O
*I *4593:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[0] 0.000593007
2 *4593:Z 0.000593007
3 la1_data_out[0] *4593:TE_B 9.75356e-05
4 la1_data_out[0] *269:28 4.90965e-05
5 la1_data_out[0] *292:27 3.33771e-05
6 io_out[2] la1_data_out[0] 0
*RES
1 *4593:Z la1_data_out[0] 29.881
*END
*D_NET *149 0.00176553
*CONN
*P la1_data_out[10] O
*I *4603:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[10] 0.000655379
2 *4603:Z 0.000655379
3 la1_data_out[10] la1_data_out[30] 8.1164e-05
4 la1_data_out[10] *4603:A 0.000130777
5 la1_data_out[10] *278:93 2.09449e-06
6 la1_data_out[10] *281:11 7.11078e-05
7 la1_data_out[10] *677:8 0.000169625
8 io_oeb[13] la1_data_out[10] 0
*RES
1 *4603:Z la1_data_out[10] 24.9796
*END
*D_NET *150 0.00166084
*CONN
*P la1_data_out[11] O
*I *4604:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[11] 0.000828645
2 *4604:Z 0.000828645
3 la1_data_out[11] *291:12 3.5534e-06
4 la1_data_out[11] *762:8 0
5 *4718:A la1_data_out[11] 0
*RES
1 *4604:Z la1_data_out[11] 33.9744
*END
*D_NET *151 0.00277746
*CONN
*P la1_data_out[12] O
*I *4605:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[12] 0.0013482
2 *4605:Z 0.0013482
3 la1_data_out[12] *4624:A 0
4 la1_data_out[12] *272:23 0
5 io_out[0] la1_data_out[12] 4.0586e-05
6 io_out[37] la1_data_out[12] 4.04697e-05
7 *86:6 la1_data_out[12] 0
*RES
1 *4605:Z la1_data_out[12] 47.7388
*END
*D_NET *152 0.00152429
*CONN
*P la1_data_out[13] O
*I *4606:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[13] 0.000570616
2 *4606:Z 0.000570616
3 la1_data_out[13] la1_data_out[18] 0.00017928
4 la1_data_out[13] *4661:A 0.000130777
5 la1_data_out[13] *287:19 0
6 *107:11 la1_data_out[13] 7.30059e-05
*RES
1 *4606:Z la1_data_out[13] 21.887
*END
*D_NET *153 0.00293556
*CONN
*P la1_data_out[14] O
*I *4607:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[14] 0.000535327
2 *4607:Z 0.000932454
3 *153:11 0.00146778
4 *153:11 *4687:TE_B 0
5 *153:11 *4690:TE_B 0
6 io_oeb[24] la1_data_out[14] 0
*RES
1 *4607:Z *153:11 40.6773
2 *153:11 la1_data_out[14] 14.8269
*END
*D_NET *154 0.00637427
*CONN
*P la1_data_out[15] O
*I *4608:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[15] 0.000971064
2 *4608:Z 0.00122134
3 *154:8 0.00219241
4 la1_data_out[15] *175:11 1.3813e-05
5 *154:8 la1_data_out[20] 7.13655e-06
6 *154:8 la1_data_out[3] 0
7 *154:8 *4598:TE_B 0
8 *154:8 *4613:TE_B 0.000119538
9 *154:8 *175:11 0.000355313
10 *154:8 *284:24 9.35753e-06
11 *154:8 *287:52 0
12 io_oeb[22] la1_data_out[15] 0
13 io_oeb[8] la1_data_out[15] 0.000933952
14 io_out[11] la1_data_out[15] 0.000377666
15 io_out[12] *154:8 0.000106191
16 io_out[30] *154:8 6.64907e-05
17 *45:8 la1_data_out[15] 0
18 *45:8 *154:8 0
*RES
1 *4608:Z *154:8 47.2502
2 *154:8 la1_data_out[15] 22.4313
*END
*D_NET *155 0.00145237
*CONN
*P la1_data_out[16] O
*I *4609:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[16] 0.000527794
2 *4609:Z 0.000527794
3 la1_data_out[16] *4067:A 8.81102e-05
4 la1_data_out[16] *277:8 5.92342e-05
5 la1_data_out[16] *281:36 0.000139245
6 io_oeb[6] la1_data_out[16] 0.000110191
*RES
1 *4609:Z la1_data_out[16] 21.0893
*END
*D_NET *156 0.0011579
*CONN
*P la1_data_out[17] O
*I *4610:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[17] 0.00055491
2 *4610:Z 0.00055491
3 la1_data_out[17] la1_data_out[8] 4.80833e-05
4 la1_data_out[17] *272:23 0
5 *86:6 la1_data_out[17] 0
*RES
1 *4610:Z la1_data_out[17] 28.1591
*END
*D_NET *157 0.00378048
*CONN
*P la1_data_out[18] O
*I *4611:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[18] 0.00133153
2 *4611:Z 0.00133153
3 la1_data_out[18] *4661:TE_B 5.19081e-05
4 la1_data_out[18] *4684:TE_B 0
5 la1_data_out[18] *284:43 0.000596615
6 la1_data_out[13] la1_data_out[18] 0.00017928
7 *107:11 la1_data_out[18] 0.000289617
*RES
1 *4611:Z la1_data_out[18] 43.3708
*END
*D_NET *158 0.00110944
*CONN
*P la1_data_out[19] O
*I *4612:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[19] 0.000446267
2 *4612:Z 0.000446267
3 la1_data_out[19] *4032:A 1.26032e-05
4 la1_data_out[19] *4640:A 4.97938e-05
5 io_out[16] la1_data_out[19] 4.43278e-05
6 *4711:A la1_data_out[19] 0.000110178
*RES
1 *4612:Z la1_data_out[19] 19.4283
*END
*D_NET *159 0.0016965
*CONN
*P la1_data_out[1] O
*I *4594:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[1] 0.000796419
2 *4594:Z 0.000796419
3 la1_data_out[1] *284:43 0
4 la1_data_out[1] *710:8 0
5 io_out[3] la1_data_out[1] 0
6 *4708:A la1_data_out[1] 0.000103659
*RES
1 *4594:Z la1_data_out[1] 25.1325
*END
*D_NET *160 0.00167772
*CONN
*P la1_data_out[20] O
*I *4613:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[20] 0.000705868
2 *4613:Z 0.000705868
3 la1_data_out[20] la1_data_out[3] 0.000168022
4 io_oeb[36] la1_data_out[20] 8.66275e-05
5 *45:8 la1_data_out[20] 4.20184e-06
6 *154:8 la1_data_out[20] 7.13655e-06
*RES
1 *4613:Z la1_data_out[20] 20.8431
*END
*D_NET *161 0.000986733
*CONN
*P la1_data_out[21] O
*I *4614:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[21] 0.000482017
2 *4614:Z 0.000482017
3 la1_data_out[21] *275:77 2.26985e-05
4 io_out[37] la1_data_out[21] 0
*RES
1 *4614:Z la1_data_out[21] 19.013
*END
*D_NET *162 0.00300033
*CONN
*P la1_data_out[22] O
*I *4615:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[22] 0.000918749
2 *4615:Z 0.000918749
3 la1_data_out[22] *4124:A 0.00080051
4 la1_data_out[22] *4615:A 0
5 la1_data_out[22] *4615:TE_B 3.67528e-06
6 la1_data_out[22] *4690:A 0
7 la1_data_out[22] *289:17 0.000358647
*RES
1 *4615:Z la1_data_out[22] 44.1965
*END
*D_NET *163 0.00139553
*CONN
*P la1_data_out[23] O
*I *4616:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[23] 0.000618588
2 *4616:Z 0.000618588
3 la1_data_out[23] *817:6 0.000158358
4 *4723:A la1_data_out[23] 0
*RES
1 *4616:Z la1_data_out[23] 31.0676
*END
*D_NET *164 0.00112415
*CONN
*P la1_data_out[24] O
*I *4617:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[24] 0.000485604
2 *4617:Z 0.000485604
3 la1_data_out[24] la1_data_out[6] 0
4 la1_data_out[24] *281:36 4.51176e-05
5 la1_data_out[24] *677:8 0
6 io_oeb[6] la1_data_out[24] 0.000107828
*RES
1 *4617:Z la1_data_out[24] 19.4283
*END
*D_NET *165 0.00143155
*CONN
*P la1_data_out[25] O
*I *4618:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[25] 0.000521985
2 *4618:Z 0.000521985
3 la1_data_out[25] la1_data_out[5] 4.69495e-06
4 la1_data_out[25] *4671:A 6.52404e-05
5 io_oeb[14] la1_data_out[25] 0.000146509
6 io_out[11] la1_data_out[25] 0.000171138
*RES
1 *4618:Z la1_data_out[25] 20.1495
*END
*D_NET *166 0.00120176
*CONN
*P la1_data_out[26] O
*I *4619:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[26] 0.000489724
2 *4619:Z 0.000489724
3 la1_data_out[26] *270:49 4.51176e-05
4 la1_data_out[26] *276:18 3.89951e-05
5 la1_data_out[26] *282:35 2.42661e-05
6 *4719:A la1_data_out[26] 0.00011393
*RES
1 *4619:Z la1_data_out[26] 19.4283
*END
*D_NET *167 0.00124874
*CONN
*P la1_data_out[27] O
*I *4620:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[27] 0.00062437
2 *4620:Z 0.00062437
3 la1_data_out[27] *284:43 0
4 la1_data_out[27] *287:19 0
5 io_out[32] la1_data_out[27] 0
*RES
1 *4620:Z la1_data_out[27] 21.8105
*END
*D_NET *168 0.000724595
*CONN
*P la1_data_out[28] O
*I *4621:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[28] 0.000331894
2 *4621:Z 0.000331894
3 la1_data_out[28] la1_data_out[4] 0
4 la1_data_out[28] *272:54 1.20478e-05
5 la1_data_out[28] *289:71 4.87595e-05
*RES
1 *4621:Z la1_data_out[28] 18.8929
*END
*D_NET *169 0.00102836
*CONN
*P la1_data_out[29] O
*I *4622:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[29] 0.000514181
2 *4622:Z 0.000514181
3 la1_data_out[29] *4622:A 0
4 la1_data_out[29] *4627:A 0
5 io_oeb[22] la1_data_out[29] 0
*RES
1 *4622:Z la1_data_out[29] 27.3303
*END
*D_NET *170 0.00133937
*CONN
*P la1_data_out[2] O
*I *4595:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[2] 0.000586784
2 *4595:Z 0.000586784
3 la1_data_out[2] *4009:A 0
4 la1_data_out[2] *269:13 9.14834e-05
5 la1_data_out[2] *269:20 0
6 la1_data_out[2] *806:8 5.59712e-05
7 la1_data_out[2] *806:10 1.83477e-05
8 *4718:A la1_data_out[2] 0
9 *4722:A la1_data_out[2] 0
*RES
1 *4595:Z la1_data_out[2] 29.881
*END
*D_NET *171 0.00106843
*CONN
*P la1_data_out[30] O
*I *4623:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[30] 0.000303008
2 *4623:Z 0.000303008
3 la1_data_out[30] *286:48 0.00021725
4 la1_data_out[30] *289:56 6.21908e-05
5 la1_data_out[30] *755:12 2.37478e-05
6 io_oeb[13] la1_data_out[30] 7.80616e-05
7 la1_data_out[10] la1_data_out[30] 8.1164e-05
*RES
1 *4623:Z la1_data_out[30] 21.7996
*END
*D_NET *172 0.0032769
*CONN
*P la1_data_out[31] O
*I *4624:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[31] 0.00146368
2 *4624:Z 0.00146368
3 la1_data_out[31] *4058:A 0
4 la1_data_out[31] *4631:TE_B 0.000104731
5 la1_data_out[31] *4662:A 2.68516e-05
6 la1_data_out[31] *278:54 5.56461e-05
7 la1_data_out[31] *279:75 0.00011818
8 io_out[0] la1_data_out[31] 0
9 io_out[17] la1_data_out[31] 0
10 io_out[37] la1_data_out[31] 4.41388e-05
*RES
1 *4624:Z la1_data_out[31] 39.7095
*END
*D_NET *173 0.00129786
*CONN
*P la1_data_out[3] O
*I *4596:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[3] 0.000516858
2 *4596:Z 0.000516858
3 la1_data_out[3] *287:52 9.61192e-05
4 io_out[12] la1_data_out[3] 0
5 la1_data_out[20] la1_data_out[3] 0.000168022
6 *154:8 la1_data_out[3] 0
*RES
1 *4596:Z la1_data_out[3] 20.6413
*END
*D_NET *174 0.00113623
*CONN
*P la1_data_out[4] O
*I *4597:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[4] 0.000502125
2 *4597:Z 0.000502125
3 la1_data_out[4] *270:37 2.02035e-05
4 la1_data_out[4] *275:24 0
5 io_out[18] la1_data_out[4] 0.000111774
6 la1_data_out[28] la1_data_out[4] 0
*RES
1 *4597:Z la1_data_out[4] 19.013
*END
*D_NET *175 0.00329944
*CONN
*P la1_data_out[5] O
*I *4598:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[5] 0.000502597
2 *4598:Z 0.000583977
3 *175:11 0.00108657
4 la1_data_out[5] *4671:A 1.26559e-05
5 *175:11 *4651:A 0
6 *175:11 *4651:TE_B 7.55529e-05
7 *175:11 *4671:A 8.29304e-05
8 *175:11 *4671:TE_B 0.000127179
9 *175:11 *287:52 0
10 *175:11 *287:62 0
11 *175:11 *292:25 9.19886e-06
12 *175:11 *292:27 1.22567e-05
13 io_oeb[8] la1_data_out[5] 0
14 io_oeb[8] *175:11 0.000432698
15 la1_data_out[15] *175:11 1.3813e-05
16 la1_data_out[25] la1_data_out[5] 4.69495e-06
17 *154:8 *175:11 0.000355313
*RES
1 *4598:Z *175:11 40.5351
2 *175:11 la1_data_out[5] 12.7507
*END
*D_NET *176 0.0100449
*CONN
*P la1_data_out[6] O
*I *4599:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[6] 0.00104881
2 *4599:Z 6.9235e-05
3 *176:8 0.00248399
4 *176:7 0.00150442
5 *176:7 *4223:B1 0.000200035
6 *176:7 *367:7 0.000127271
7 *176:8 *4205:A0 0.000118253
8 *176:8 *4214:B 1.07248e-05
9 *176:8 *4224:B1 0.000210992
10 *176:8 *4299:A0 5.19205e-05
11 *176:8 *4639:A 0
12 *176:8 *4639:TE_B 0
13 *176:8 *273:38 0.00122361
14 *176:8 *279:10 0
15 *176:8 *279:16 0
16 *176:8 *356:6 0
17 *176:8 *359:8 6.14701e-05
18 *176:8 *618:11 0.000137316
19 *176:8 *660:14 0.00220106
20 io_oeb[20] la1_data_out[6] 0.000122308
21 la1_data_out[24] la1_data_out[6] 0
22 *83:10 *176:8 0.000473487
*RES
1 *4599:Z *176:7 17.2456
2 *176:7 *176:8 61.4207
3 *176:8 la1_data_out[6] 6.3386
*END
*D_NET *177 0.00472673
*CONN
*P la1_data_out[7] O
*I *4600:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[7] 0.00055336
2 *4600:Z 0.00129333
3 *177:9 0.00184669
4 *177:9 *4600:TE_B 2.95065e-05
5 *177:9 *4671:A 2.73581e-05
6 *177:9 *287:52 0.000217845
7 *177:9 *287:62 0.00037492
8 *177:9 *292:18 0
9 *177:9 *292:25 0.000383717
*RES
1 *4600:Z *177:9 48.9219
2 *177:9 la1_data_out[7] 19.3269
*END
*D_NET *178 0.00123168
*CONN
*P la1_data_out[8] O
*I *4601:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[8] 0.00027652
2 *4601:Z 0.00027652
3 la1_data_out[8] *272:23 0.000268263
4 io_out[35] la1_data_out[8] 8.93119e-05
5 la1_data_out[17] la1_data_out[8] 4.80833e-05
6 *86:6 la1_data_out[8] 0.00027298
*RES
1 *4601:Z la1_data_out[8] 22.6301
*END
*D_NET *179 0.00369078
*CONN
*P la1_data_out[9] O
*I *4602:Z O *D sky130_fd_sc_hd__ebufn_8
*CAP
1 la1_data_out[9] 0.00103692
2 *4602:Z 0.00103692
3 la1_data_out[9] *4614:A 0.000505419
4 la1_data_out[9] *4614:TE_B 0
5 la1_data_out[9] *4624:A 2.39535e-05
6 la1_data_out[9] *275:66 0
7 la1_data_out[9] *275:77 0
8 io_out[17] la1_data_out[9] 0
9 io_out[6] la1_data_out[9] 0
10 *86:6 la1_data_out[9] 0.00108757
*RES
1 *4602:Z la1_data_out[9] 49.1189
*END
*D_NET *214 0.00826274
*CONN
*P wb_clk_i I
*I *4701:A I *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 wb_clk_i 0.00199548
2 *4701:A 0.000757769
3 *214:10 0.00275325
4 *4701:A *286:35 0.000894704
5 *214:10 *4289:A 0.000122083
6 *214:10 *4478:D 0.000170577
7 *214:10 *275:16 0.00121391
8 *214:10 *293:53 0.000102067
9 *214:10 *660:14 0
10 io_out[23] *214:10 0.00012145
11 *4711:A *214:10 0.000131447
*RES
1 wb_clk_i *214:10 39.2358
2 *214:10 *4701:A 32.1567
*END
*D_NET *215 0.000252388
*CONN
*I *4445:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4231:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4445:D 5.04415e-05
2 *4231:X 5.04415e-05
3 *4445:D *268:8 0.000104731
4 *4445:D *289:30 4.27148e-05
5 *4445:D *646:94 4.05943e-06
*RES
1 *4231:X *4445:D 29.5533
*END
*D_NET *216 0.00115547
*CONN
*I *4444:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4198:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4444:D 0.000305193
2 *4198:X 0.000305193
3 *4444:D *4198:A 0.000106966
4 *4444:D *349:8 0.000146174
5 *4444:D *349:10 5.53934e-05
6 *4444:D *350:18 0.000170039
7 *4444:D *643:8 6.6516e-05
*RES
1 *4198:X *4444:D 37.8612
*END
*D_NET *217 0.000775861
*CONN
*I *4446:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4191:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4446:D 0.000149943
2 *4191:Y 0.000149943
3 *4446:D *4190:B1 0.000221185
4 *4446:D *4191:B 0.000169093
5 *4446:D *4280:A 7.90257e-05
6 *4446:D *289:39 6.67095e-06
7 *4446:D *695:16 0
*RES
1 *4191:Y *4446:D 33.7059
*END
*D_NET *218 0.00116665
*CONN
*I *4438:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4245:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4438:D 0.000314598
2 *4245:X 0.000314598
3 *4438:D *4248:A2 6.22871e-05
4 *4438:D *4250:A3 0.00023565
5 *4438:D *647:19 7.14746e-05
6 *4438:D *647:33 0.000102632
7 *4438:D *731:11 6.54102e-05
*RES
1 *4245:X *4438:D 34.8501
*END
*D_NET *219 0.00120516
*CONN
*I *4439:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4253:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4439:D 0.000582958
2 *4253:X 0.000582958
3 *4439:D *273:20 2.04854e-05
4 *4439:D *710:46 1.87611e-05
*RES
1 *4253:X *4439:D 36.3774
*END
*D_NET *220 0.00199924
*CONN
*I *4440:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4262:X O *D sky130_fd_sc_hd__o311a_1
*CAP
1 *4440:D 0.000938995
2 *4262:X 0.000938995
3 *4440:D *4441:CLK 0
4 *4440:D *273:21 4.40253e-05
5 *4440:D *289:30 0
6 *4440:D *646:130 0
7 *4440:D *710:39 7.72256e-05
*RES
1 *4262:X *4440:D 47.7229
*END
*D_NET *221 0.000388966
*CONN
*I *4441:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4268:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4441:D 0.000159118
2 *4268:Y 0.000159118
3 *4441:D *4268:B 7.07299e-05
*RES
1 *4268:Y *4441:D 31.1072
*END
*D_NET *222 0.000566183
*CONN
*I *4442:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4275:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4442:D 0.000274108
2 *4275:X 0.000274108
3 *4442:D *4274:C1 0
4 *4442:D *268:52 0
5 *4442:D *666:10 1.79672e-05
*RES
1 *4275:X *4442:D 33.4356
*END
*D_NET *223 0.000573206
*CONN
*I *4443:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4280:Y O *D sky130_fd_sc_hd__nor3_1
*CAP
1 *4443:D 0.000181366
2 *4280:Y 0.000181366
3 *4443:D *4280:B 6.08467e-05
4 *4443:D *646:8 0.000149628
*RES
1 *4280:Y *4443:D 32.0416
*END
*D_NET *224 0.000428464
*CONN
*I *4447:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4284:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4447:D 0.000104493
2 *4284:X 0.000104493
3 *4447:D *4284:A 6.80864e-05
4 *4447:D *4449:CLK 0.000106635
5 *4447:D *680:30 4.47578e-05
*RES
1 *4284:X *4447:D 31.3182
*END
*D_NET *225 0.000552824
*CONN
*I *4448:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4288:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4448:D 0.000174672
2 *4288:X 0.000174672
3 *4448:D *4205:A1 0.000101133
4 *4448:D *280:14 0.000101133
5 *4448:D *684:7 1.21461e-06
*RES
1 *4288:X *4448:D 31.7717
*END
*D_NET *226 0.000547424
*CONN
*I *4449:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4291:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4449:D 9.33353e-05
2 *4291:X 9.33353e-05
3 *4449:D *4063:A 5.07056e-05
4 *4449:D *280:12 0.000153225
5 *4449:D *282:14 0.000156823
*RES
1 *4291:X *4449:D 31.3182
*END
*D_NET *227 0.000303705
*CONN
*I *4450:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4295:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4450:D 9.53754e-05
2 *4295:X 9.53754e-05
3 *4450:D *4295:A 4.27003e-05
4 *4450:D *4346:A 0
5 *115:7 *4450:D 7.02539e-05
*RES
1 *4295:X *4450:D 30.1079
*END
*D_NET *228 0.00080922
*CONN
*I *4451:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4298:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4451:D 0.000124944
2 *4298:X 0.000124944
3 *4451:D *4298:A 2.57071e-05
4 *4451:D *4306:A1 0
5 *4451:D *4451:CLK 0.000123176
6 *4451:D *687:12 0
7 *4451:D *773:11 0.00041045
*RES
1 *4298:X *4451:D 33.3338
*END
*D_NET *229 0.00150437
*CONN
*I *4452:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4301:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4452:D 0.00033362
2 *4301:X 0.00033362
3 *4452:D *4300:B 9.75356e-05
4 *4452:D *4452:CLK 0.000362873
5 *4452:D *429:16 0.000169093
6 *4452:D *429:22 0.000127179
7 *4452:D *682:8 8.04463e-05
*RES
1 *4301:X *4452:D 38.1803
*END
*D_NET *230 0.000505127
*CONN
*I *4453:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4304:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4453:D 0.000185259
2 *4304:X 0.000185259
3 *4453:D *4286:A1 2.20702e-05
4 *4453:D *4302:A0 4.05943e-06
5 *4453:D *4304:A 6.92525e-05
6 *4453:D *682:8 3.92275e-05
*RES
1 *4304:X *4453:D 31.3859
*END
*D_NET *231 0.00116113
*CONN
*I *4454:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4308:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4454:D 0.00039663
2 *4308:X 0.00039663
3 *4454:D *4295:A 0
4 *4454:D *4346:A 0.000153225
5 *4454:D *4450:CLK 6.73351e-05
6 *4454:D *4469:CLK 0.000147308
*RES
1 *4308:X *4454:D 35.5441
*END
*D_NET *232 0.000771794
*CONN
*I *4458:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4313:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4458:D 0.000261102
2 *4313:X 0.000261102
3 *4458:D *4312:B 0.000149628
4 *4458:D *4313:A 2.65667e-05
5 *4458:D *4315:A 4.83622e-05
6 *4458:D *644:98 3.67528e-06
7 *4458:D *644:103 2.13584e-05
*RES
1 *4313:X *4458:D 34.4293
*END
*D_NET *233 0.000443356
*CONN
*I *4459:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4316:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4459:D 0.000141694
2 *4316:X 0.000141694
3 *4459:D *4315:A 0
4 *4459:D *4316:A 1.03403e-05
5 *4459:D *442:14 0.000149628
*RES
1 *4316:X *4459:D 31.1072
*END
*D_NET *234 0.000565661
*CONN
*I *4460:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4319:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4460:D 0.000181235
2 *4319:X 0.000181235
3 *4460:D *4319:A 3.14978e-05
4 *4460:D *4460:CLK 0.000106635
5 *4460:D *722:12 0
6 *84:11 *4460:D 6.50586e-05
*RES
1 *4319:X *4460:D 32.4569
*END
*D_NET *235 0.000485875
*CONN
*I *4461:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4322:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4461:D 0.00018428
2 *4322:X 0.00018428
3 *4461:D *441:35 3.68867e-05
4 *4461:D *442:13 0
5 *4461:D *442:43 2.71452e-05
6 *4461:D *646:15 5.32838e-05
*RES
1 *4322:X *4461:D 32.1079
*END
*D_NET *236 0.000453717
*CONN
*I *4462:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4326:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4462:D 0.000226859
2 *4326:X 0.000226859
*RES
1 *4326:X *4462:D 23.4958
*END
*D_NET *237 0.000893558
*CONN
*I *4463:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4329:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4463:D 0.000255578
2 *4329:X 0.000255578
3 *4463:D *4327:S 4.70005e-05
4 *4463:D *4328:A 6.50727e-05
5 *4463:D *4328:B 0
6 *4463:D *4329:A 4.0752e-05
7 *4463:D *4484:CLK 0.000229576
8 *4463:D *644:98 0
*RES
1 *4329:X *4463:D 34.0196
*END
*D_NET *238 0.000482575
*CONN
*I *4464:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4332:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4464:D 0.000241287
2 *4332:X 0.000241287
3 *4464:D *644:75 0
4 *4464:D *706:6 0
*RES
1 *4332:X *4464:D 32.881
*END
*D_NET *239 0.00040427
*CONN
*I *4465:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4335:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4465:D 0.000130398
2 *4335:X 0.000130398
3 *4465:D *4333:A1 1.87611e-05
4 *4465:D *4335:A 7.81229e-05
5 *4465:D *4465:CLK 3.18826e-06
6 *4465:D *442:14 4.34007e-05
*RES
1 *4335:X *4465:D 31.1072
*END
*D_NET *240 0.000598306
*CONN
*I *4466:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4338:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4466:D 0.000227589
2 *4338:X 0.000227589
3 *4466:D *4466:CLK 0.000143128
*RES
1 *4338:X *4466:D 24.8642
*END
*D_NET *241 0.000455034
*CONN
*I *4467:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4341:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4467:D 0.000148875
2 *4341:X 0.000148875
3 *4467:D *4467:CLK 1.87611e-05
4 *4467:D *316:11 0.000138523
*RES
1 *4341:X *4467:D 31.1072
*END
*D_NET *242 0.00048651
*CONN
*I *4468:D I *D sky130_fd_sc_hd__dfxtp_2
*I *4344:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4468:D 0.000243255
2 *4344:X 0.000243255
3 *4468:D *4343:B 0
*RES
1 *4344:X *4468:D 32.881
*END
*D_NET *243 0.000459173
*CONN
*I *4469:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4347:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4469:D 0.000229587
2 *4347:X 0.000229587
*RES
1 *4347:X *4469:D 23.972
*END
*D_NET *244 0.00167171
*CONN
*I *4470:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4349:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4470:D 0.000422682
2 *4349:Y 0.000422682
3 *4470:D *4352:B 0.000290629
4 *4470:D *4470:CLK 7.01756e-05
5 *4470:D *293:35 0.000160617
6 *4470:D *645:94 9.19886e-06
7 *4470:D *645:105 0.000295725
*RES
1 *4349:Y *4470:D 39.6994
*END
*D_NET *245 0.00380543
*CONN
*I *4471:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4355:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4471:D 0
2 *4355:X 0.000635694
3 *245:11 0.000635694
4 *245:11 *4306:A1 0.000997609
5 *245:11 *4355:A1 0
6 *245:11 *4364:B1 5.96674e-05
7 *245:11 *373:13 0.000850027
8 *245:11 *373:41 0.000477172
9 *245:11 *645:30 1.87611e-05
10 *245:11 *690:8 0.000130808
*RES
1 *4355:X *245:11 44.9785
2 *245:11 *4471:D 9.24915
*END
*D_NET *246 0.000694636
*CONN
*I *4472:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4358:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4472:D 0.000269885
2 *4358:X 0.000269885
3 *4472:D *4355:A1 6.23202e-05
4 *4472:D *4452:CLK 7.02539e-05
5 *4472:D *390:12 0
6 *4472:D *471:16 0
7 *4472:D *471:21 0
8 *4472:D *473:8 2.22923e-05
*RES
1 *4358:X *4472:D 34.6757
*END
*D_NET *247 0.000479199
*CONN
*I *4473:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4361:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4473:D 0.000127669
2 *4361:X 0.000127669
3 *4473:D *4473:CLK 3.67528e-06
4 *4473:D *293:46 6.08467e-05
5 *4473:D *421:8 0
6 *4473:D *429:8 9.71182e-06
7 *4473:D *475:9 0.000149628
*RES
1 *4361:X *4473:D 31.1072
*END
*D_NET *248 0.000847212
*CONN
*I *4474:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4364:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4474:D 0.000220996
2 *4364:X 0.000220996
3 *4474:D *4474:CLK 0.000329347
4 *4474:D *438:47 7.58739e-05
5 *4474:D *751:22 0
*RES
1 *4364:X *4474:D 34.1267
*END
*D_NET *249 0.00170255
*CONN
*I *4475:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4370:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4475:D 0.000268407
2 *4370:X 0.000268407
3 *4475:D *4475:CLK 0.000845299
4 *4475:D *679:7 0.000320436
*RES
1 *4370:X *4475:D 28.7042
*END
*D_NET *250 0.000632707
*CONN
*I *4476:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4375:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4476:D 9.87106e-05
2 *4375:X 9.87106e-05
3 *4476:D *4476:CLK 2.57986e-05
4 *4476:D *680:7 0.000409487
*RES
1 *4375:X *4476:D 22.917
*END
*D_NET *251 0.000513101
*CONN
*I *4477:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4379:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4477:D 0.000203794
2 *4379:Y 0.000203794
3 *4477:D *4290:A1 5.22654e-06
4 *4477:D *293:53 0
5 *4477:D *647:81 0
6 *4477:D *681:11 0.000100285
*RES
1 *4379:Y *4477:D 32.0824
*END
*D_NET *252 0.00223418
*CONN
*I *4478:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4384:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4478:D 0.000618327
2 *4384:X 0.000618327
3 *4478:D *4379:A1 9.19784e-05
4 *4478:D *4379:A2 6.4049e-05
5 *4478:D *4379:B1 6.78364e-06
6 *4478:D *4478:CLK 0.000329347
7 *4478:D *293:53 0.000174175
8 *4478:D *427:5 0.000160617
9 *214:10 *4478:D 0.000170577
*RES
1 *4384:X *4478:D 41.5054
*END
*D_NET *253 0.000510392
*CONN
*I *4479:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4386:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4479:D 0.000191629
2 *4386:X 0.000191629
3 *4479:D *278:21 6.78596e-05
4 *4479:D *643:8 5.9275e-05
*RES
1 *4386:X *4479:D 32.0416
*END
*D_NET *254 0.000310613
*CONN
*I *4480:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4392:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4480:D 0.000100145
2 *4392:X 0.000100145
3 *4480:D *4392:A 3.89004e-05
4 *4480:D *698:19 7.14221e-05
*RES
1 *4392:X *4480:D 30.1079
*END
*D_NET *255 0.000769635
*CONN
*I *4481:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4397:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4481:D 0.000213348
2 *4397:X 0.000213348
3 *4481:D *4396:C 0.00015324
4 *4481:D *348:42 0.000189699
5 *4481:D *699:12 0
*RES
1 *4397:X *4481:D 34.8207
*END
*D_NET *256 0.000346422
*CONN
*I *4482:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4402:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4482:D 0.000151853
2 *4402:X 0.000151853
3 *4482:D *4402:A 4.27148e-05
4 *4482:D *707:16 0
*RES
1 *4402:X *4482:D 31.2171
*END
*D_NET *257 0.000458741
*CONN
*I *4483:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4406:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4483:D 0.000191279
2 *4406:X 0.000191279
3 *4483:D *4483:CLK 1.77537e-06
4 *4483:D *288:30 1.87611e-05
5 *4483:D *441:11 5.56461e-05
6 *4483:D *707:16 0
*RES
1 *4406:X *4483:D 31.6618
*END
*D_NET *258 0.0002563
*CONN
*I *4484:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4411:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4484:D 5.3625e-05
2 *4411:X 5.3625e-05
3 *4484:D *4411:A 4.27148e-05
4 *4484:D *705:8 1.60502e-06
5 *4484:D *707:21 0.000104731
*RES
1 *4411:X *4484:D 29.5533
*END
*D_NET *259 0.000691757
*CONN
*I *4485:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4416:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4485:D 0.000151337
2 *4416:X 0.000151337
3 *4485:D *4416:A 6.80864e-05
4 *4485:D *644:61 0.000276239
5 *4485:D *806:18 4.47578e-05
*RES
1 *4416:X *4485:D 33.1569
*END
*D_NET *260 0.000846637
*CONN
*I *4486:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4420:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4486:D 0.000150979
2 *4420:X 0.000150979
3 *4486:D *4420:A 6.80864e-05
4 *4486:D *4434:B1_N 0.000153225
5 *4486:D *644:53 0.000323368
*RES
1 *4420:X *4486:D 33.7115
*END
*D_NET *261 0.000878829
*CONN
*I *4487:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4425:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4487:D 0.000292515
2 *4425:X 0.000292515
3 *4487:D *4171:A 0
4 *4487:D *4421:C 2.13584e-05
5 *4487:D *499:10 0
6 *4487:D *524:8 0.000263084
7 *4487:D *528:18 0
8 *4487:D *644:17 9.35753e-06
*RES
1 *4425:X *4487:D 34.9839
*END
*D_NET *262 0.000265031
*CONN
*I *4488:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4429:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4488:D 6.1369e-05
2 *4429:X 6.1369e-05
3 *4488:D *268:12 2.22923e-05
4 *4488:D *291:12 9.96342e-05
5 *4488:D *331:10 1.60502e-06
6 *4488:D *438:47 1.87611e-05
*RES
1 *4429:X *4488:D 29.5533
*END
*D_NET *263 0.000730894
*CONN
*I *4489:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4433:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4489:D 0.000124384
2 *4433:X 0.000124384
3 *4489:D *4432:B 0.000174205
4 *4489:D *4489:CLK 1.87611e-05
5 *4489:D *644:28 0.00011497
6 *4489:D *644:30 0.00017419
*RES
1 *4433:X *4489:D 32.8754
*END
*D_NET *264 0.000460143
*CONN
*I *4490:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4434:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *4490:D 0.000118733
2 *4434:Y 0.000118733
3 *4490:D *4466:CLK 1.2601e-05
4 *4490:D *438:30 2.13584e-05
5 *4490:D *644:30 3.90891e-05
6 *4490:D *708:17 0.000149628
*RES
1 *4434:Y *4490:D 31.1072
*END
*D_NET *265 0.000760712
*CONN
*I *4491:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4436:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4491:D 0.000358681
2 *4436:X 0.000358681
3 *4491:D *4436:A2 0
4 *4491:D *4491:CLK 4.33494e-05
5 *4491:D *386:22 0
6 *4491:D *397:43 0
*RES
1 *4436:X *4491:D 35.7495
*END
*D_NET *266 0.000344297
*CONN
*I *4492:D I *D sky130_fd_sc_hd__dfxtp_2
*I *4437:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4492:D 0.000140191
2 *4437:Y 0.000140191
3 *4492:D *4437:B 5.04829e-06
4 *4492:D *273:38 0
5 *4492:D *275:16 5.88662e-05
*RES
1 *4437:Y *4492:D 30.692
*END
*D_NET *267 0.00199564
*CONN
*I *4004:A I *D sky130_fd_sc_hd__buf_4
*I *4122:A I *D sky130_fd_sc_hd__buf_6
*I *4116:A I *D sky130_fd_sc_hd__buf_6
*I *4128:A I *D sky130_fd_sc_hd__buf_6
*I *4110:A I *D sky130_fd_sc_hd__buf_6
*I *4003:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4004:A 0.000120462
2 *4122:A 3.57807e-05
3 *4116:A 3.57807e-05
4 *4128:A 0.000141651
5 *4110:A 0
6 *4003:X 6.24293e-05
7 *267:32 0.00027078
8 *267:14 0.000329125
9 *267:13 0.000299269
10 *267:5 9.54682e-05
11 *4004:A *289:6 7.15484e-05
12 *4004:A *722:12 0
13 *4128:A *291:12 4.11983e-05
14 *4128:A *292:5 6.50727e-05
15 *4128:A *722:12 0
16 *267:5 *273:21 8.28869e-05
17 *267:5 *710:39 0.000144695
18 *267:13 *273:21 3.83429e-05
19 *267:13 *710:39 7.48633e-05
20 *267:14 *291:10 0
21 *267:14 *291:12 4.61405e-05
22 *267:14 *722:12 0
23 *267:32 *289:6 4.01437e-05
24 *267:32 *291:10 0
25 *267:32 *722:12 0
*RES
1 *4003:X *267:5 12.7456
2 *267:5 *4110:A 9.24915
3 *267:5 *267:13 6.3326
4 *267:13 *267:14 3.90826
5 *267:14 *4128:A 17.5503
6 *267:14 *4116:A 14.4725
7 *267:13 *267:32 3.07775
8 *267:32 *4122:A 14.4725
9 *267:32 *4004:A 17.6574
*END
*D_NET *268 0.034786
*CONN
*I *4136:A I *D sky130_fd_sc_hd__inv_2
*I *4005:A I *D sky130_fd_sc_hd__clkbuf_4
*I *4135:A I *D sky130_fd_sc_hd__inv_2
*I *4011:A I *D sky130_fd_sc_hd__buf_4
*I *4134:A I *D sky130_fd_sc_hd__clkinv_2
*I *4004:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *4136:A 0
2 *4005:A 0
3 *4135:A 0.000533497
4 *4011:A 6.94106e-05
5 *4134:A 0.000333579
6 *4004:X 6.46078e-05
7 *268:52 0.00217113
8 *268:51 0.0035406
9 *268:33 0.00130933
10 *268:23 0.000845245
11 *268:12 0.00440118
12 *268:10 0.00411852
13 *268:8 0.00150308
14 *268:7 0.00145216
15 *268:5 0.00143408
16 *4011:A *270:5 0.00011818
17 *4011:A *270:23 0.000102003
18 *4134:A *291:12 0
19 *4135:A *4663:A 0.000593901
20 *4135:A *269:8 0.000325384
21 *4135:A *275:33 0.000105969
22 *4135:A *275:35 6.03708e-05
23 *4135:A *278:93 0.000101365
24 *268:8 *4146:A 0.000170139
25 *268:8 *4146:B 0.000315191
26 *268:8 *4146:C 0.000127164
27 *268:8 *4441:CLK 0
28 *268:8 *289:6 0.000100939
29 *268:8 *289:30 0.000760528
30 *268:8 *290:8 2.02035e-05
31 *268:8 *290:48 0.000355171
32 *268:8 *291:10 0.000182101
33 *268:8 *291:12 0.000846524
34 *268:8 *297:8 4.10997e-05
35 *268:8 *646:105 0.000424456
36 *268:8 *646:118 0.000394828
37 *268:12 *4153:B 0
38 *268:12 *4158:B2 0
39 *268:12 *4159:A 0.000156869
40 *268:12 *4176:B1 0
41 *268:12 *4181:B1 0.000470426
42 *268:12 *4183:A1 9.60216e-05
43 *268:12 *4183:B1 0.000195124
44 *268:12 *4195:A2 3.14199e-05
45 *268:12 *4385:A 3.83819e-05
46 *268:12 *4385:B 0
47 *268:12 *4388:A2 9.08412e-05
48 *268:12 *290:48 0.000663463
49 *268:12 *291:12 0
50 *268:12 *297:8 2.7694e-05
51 *268:12 *302:6 0.000140462
52 *268:12 *308:8 0.000461274
53 *268:12 *309:10 0.000581164
54 *268:12 *312:10 0.000122098
55 *268:12 *314:8 0
56 *268:12 *331:10 4.47442e-05
57 *268:12 *334:8 0.00041042
58 *268:12 *338:8 0.000123582
59 *268:12 *339:30 0.0017444
60 *268:12 *438:30 0
61 *268:12 *469:14 0
62 *268:12 *650:6 0.000156823
63 *268:12 *659:10 0
64 *268:12 *700:9 0
65 *268:33 *270:23 0.00198403
66 *268:33 *270:28 0.000176181
67 *268:51 *391:8 2.16355e-05
68 *268:52 *4274:C1 0
69 *268:52 *4275:C1 0
70 *268:52 *4442:CLK 0
71 *268:52 *666:10 0
72 *4442:D *268:52 0
73 *4445:D *268:8 0.000104731
74 *4488:D *268:12 2.22923e-05
*RES
1 *4004:X *268:5 10.5271
2 *268:5 *268:7 4.5
3 *268:7 *268:8 60.1749
4 *268:8 *268:10 1.29461
5 *268:10 *268:12 129.522
6 *268:12 *4134:A 21.4325
7 *268:12 *268:23 4.5
8 *268:23 *4011:A 11.6364
9 *268:23 *268:33 32.7037
10 *268:33 *4135:A 32.3796
11 *268:33 *4005:A 13.7491
12 *268:5 *268:51 34.0628
13 *268:51 *268:52 53.7385
14 *268:52 *4136:A 13.7491
*END
*D_NET *269 0.0202863
*CONN
*I *4006:A I *D sky130_fd_sc_hd__inv_2
*I *4009:A I *D sky130_fd_sc_hd__inv_2
*I *4007:A I *D sky130_fd_sc_hd__inv_2
*I *4010:A I *D sky130_fd_sc_hd__inv_2
*I *4008:A I *D sky130_fd_sc_hd__inv_2
*I *4005:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *4006:A 8.60993e-05
2 *4009:A 0.00016792
3 *4007:A 0
4 *4010:A 0
5 *4008:A 0.000191458
6 *4005:X 0.000460615
7 *269:29 0.0010161
8 *269:28 0.00184458
9 *269:21 0.00260657
10 *269:20 0.00183908
11 *269:13 0.00293668
12 *269:8 0.00306303
13 *4006:A *289:81 0.000147325
14 *4008:A *287:52 0
15 *4008:A *292:18 0.000394367
16 *4009:A *4595:A 0
17 *4009:A *806:10 0
18 *269:13 *4649:A 8.28869e-05
19 *269:13 *4666:TE_B 2.64757e-05
20 *269:13 *289:81 0.000260548
21 *269:28 *4593:A 0.00013092
22 *269:28 *4593:TE_B 0
23 *269:28 *4627:TE_B 0
24 *269:28 *4655:A 0.000127164
25 *269:28 *285:6 0
26 io_out[24] *269:8 0
27 io_out[2] *269:28 0.000106665
28 la1_data_out[0] *269:28 4.90965e-05
29 la1_data_out[2] *4009:A 0
30 la1_data_out[2] *269:13 9.14834e-05
31 la1_data_out[2] *269:20 0
32 *4135:A *269:8 0.000325384
33 *4722:A *269:20 8.62625e-06
34 *4723:A *269:21 0.000224395
35 *80:9 *269:21 0.00247949
36 *80:9 *269:28 5.07314e-05
37 *81:9 *269:29 0.00156862
*RES
1 *4005:X *269:8 30.4624
2 *269:8 *269:13 41.113
3 *269:13 *269:20 13.4951
4 *269:20 *269:21 58.4022
5 *269:21 *269:28 37.0251
6 *269:28 *269:29 25.126
7 *269:29 *4008:A 25.0642
8 *269:29 *4010:A 9.24915
9 *269:21 *4007:A 9.24915
10 *269:13 *4009:A 22.5727
11 *269:8 *4006:A 12.7456
*END
*D_NET *270 0.0236518
*CONN
*I *4014:A I *D sky130_fd_sc_hd__inv_2
*I *4016:A I *D sky130_fd_sc_hd__inv_2
*I *4012:A I *D sky130_fd_sc_hd__inv_2
*I *4013:A I *D sky130_fd_sc_hd__inv_2
*I *4015:A I *D sky130_fd_sc_hd__inv_2
*I *4011:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *4014:A 0
2 *4016:A 4.17153e-05
3 *4012:A 4.17153e-05
4 *4013:A 0
5 *4015:A 0.000187893
6 *4011:X 0
7 *270:49 0.00104459
8 *270:37 0.00176403
9 *270:28 0.00230575
10 *270:23 0.00217158
11 *270:9 0.00123109
12 *270:5 0.00395183
13 *270:4 0.0034939
14 *4015:A *4600:TE_B 4.30017e-06
15 *270:28 *275:24 0
16 *270:28 *282:36 0.000175689
17 *270:37 *4638:TE_B 0.000310124
18 *270:37 *275:24 0
19 *270:37 *281:24 0
20 *270:37 *282:36 0.00152519
21 *270:49 *4217:A 0
22 *270:49 *4218:B1 0.000153225
23 *270:49 *4220:B1 3.60268e-05
24 *270:49 *4599:TE_B 2.41274e-06
25 *270:49 *4619:TE_B 2.75459e-05
26 *270:49 *276:18 0.000125845
27 *270:49 *276:22 0.000188106
28 *270:49 *281:24 0
29 *270:49 *282:24 0.000834037
30 *270:49 *282:35 0.000117692
31 *270:49 *282:36 0.000572576
32 *270:49 *289:56 0.000285676
33 *270:49 *289:60 0
34 io_oeb[16] *270:49 0.000378178
35 io_out[18] *270:37 0.000139245
36 io_out[29] *270:49 9.61451e-05
37 la1_data_out[26] *270:49 4.51176e-05
38 la1_data_out[4] *270:37 2.02035e-05
39 *4011:A *270:5 0.00011818
40 *4011:A *270:23 0.000102003
41 *268:33 *270:23 0.00198403
42 *268:33 *270:28 0.000176181
*RES
1 *4011:X *270:4 9.24915
2 *270:4 *270:5 69.7715
3 *270:5 *270:9 24.3182
4 *270:9 *4015:A 13.3002
5 *270:9 *4013:A 9.24915
6 *270:4 *270:23 22.6544
7 *270:23 *270:28 41.3001
8 *270:28 *4012:A 14.4725
9 *270:28 *270:37 26.7471
10 *270:37 *4016:A 14.4725
11 *270:37 *270:49 46.9224
12 *270:49 *4014:A 9.24915
*END
*D_NET *271 0.0047949
*CONN
*I *4024:A I *D sky130_fd_sc_hd__buf_8
*I *4018:A I *D sky130_fd_sc_hd__buf_4
*I *4042:A I *D sky130_fd_sc_hd__buf_6
*I *4030:A I *D sky130_fd_sc_hd__buf_4
*I *4036:A I *D sky130_fd_sc_hd__buf_6
*I *4017:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4024:A 0
2 *4018:A 0
3 *4042:A 0.000154879
4 *4030:A 0.000203142
5 *4036:A 3.88955e-05
6 *4017:X 0.000154274
7 *271:26 0.00045635
8 *271:23 0.000451928
9 *271:12 0.000554201
10 *271:8 0.000315981
11 *4030:A *274:10 0.000361034
12 *4030:A *274:43 1.44467e-05
13 *4030:A *291:44 0
14 *4036:A *4455:CLK 7.48633e-05
15 *4036:A *275:5 0
16 *4036:A *275:65 0.000175485
17 *4042:A *276:76 9.56135e-05
18 *271:8 *710:46 0
19 *271:8 *710:53 0.000118166
20 *271:12 *4456:CLK 0
21 *271:12 *273:38 6.36909e-05
22 *271:12 *647:46 0
23 *271:23 *4455:CLK 6.99486e-05
24 *271:23 *4455:D 0.000280451
25 *271:23 *275:65 0.00101278
26 *271:26 *272:10 3.31882e-05
27 *271:26 *274:10 0.000165578
28 *83:10 *271:8 0
29 *83:10 *271:12 0
30 *86:6 *4030:A 0
31 *86:6 *271:26 0
*RES
1 *4017:X *271:8 17.6896
2 *271:8 *271:12 8.82351
3 *271:12 *4036:A 11.6605
4 *271:12 *271:23 13.5034
5 *271:23 *271:26 7.57775
6 *271:26 *4030:A 20.5642
7 *271:26 *4042:A 17.8002
8 *271:23 *4018:A 9.24915
9 *271:8 *4024:A 13.7491
*END
*D_NET *272 0.0308355
*CONN
*I *4022:A I *D sky130_fd_sc_hd__inv_2
*I *4021:A I *D sky130_fd_sc_hd__inv_2
*I *4023:A I *D sky130_fd_sc_hd__inv_2
*I *4020:A I *D sky130_fd_sc_hd__inv_2
*I *4019:A I *D sky130_fd_sc_hd__inv_2
*I *4018:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *4022:A 0.000724917
2 *4021:A 0.000232444
3 *4023:A 0
4 *4020:A 0.000142165
5 *4019:A 3.58004e-05
6 *4018:X 0
7 *272:54 0.00252544
8 *272:53 0.00180052
9 *272:45 0.00110266
10 *272:38 0.00351365
11 *272:37 0.00289272
12 *272:23 0.00121985
13 *272:10 0.00166284
14 *272:4 0.000798633
15 *4020:A *4605:TE_B 8.28869e-05
16 *4022:A *4664:A 0.000377259
17 *4022:A *4664:TE_B 1.00846e-05
18 *4022:A *285:23 0.00020053
19 *272:10 *4029:A 0.000796767
20 *272:10 *4610:TE_B 0.000101133
21 *272:10 *4692:A 0.000364723
22 *272:10 *4692:TE_B 1.2693e-05
23 *272:10 *274:10 8.50452e-05
24 *272:10 *274:21 0.000135832
25 *272:23 *4660:A 0.000120842
26 *272:38 *4629:A 0
27 *272:38 *274:43 0
28 *272:38 *288:65 0
29 *272:45 *633:8 0.000607516
30 *272:54 *4597:A 2.95039e-05
31 *272:54 *4691:A 5.3697e-05
32 *272:54 *273:52 0.00289649
33 *272:54 *286:48 0.000112199
34 *272:54 *289:71 0
35 *272:54 *633:8 0.00486101
36 io_out[13] *272:54 0
37 io_out[16] *272:38 0.000594643
38 io_out[34] *272:23 0.000453608
39 la1_data_out[12] *272:23 0
40 la1_data_out[17] *272:23 0
41 la1_data_out[28] *272:54 1.20478e-05
42 la1_data_out[8] *272:23 0.000268263
43 *79:8 *272:38 0.00133614
44 *79:8 *272:45 1.29394e-05
45 *86:6 *272:10 0.000308956
46 *86:6 *272:23 0.000315819
47 *86:6 *272:38 0
48 *271:26 *272:10 3.31882e-05
*RES
1 *4018:X *272:4 9.24915
2 *272:4 *272:10 34.5336
3 *272:10 *4019:A 9.97254
4 *272:10 *272:23 41.6307
5 *272:23 *4020:A 13.3002
6 *272:23 *4023:A 9.24915
7 *272:4 *272:37 9.66022
8 *272:37 *272:38 78.9834
9 *272:38 *272:45 30.576
10 *272:45 *4021:A 13.8548
11 *272:45 *272:53 4.5
12 *272:53 *272:54 89.4502
13 *272:54 *4022:A 32.8227
*END
*D_NET *273 0.0629344
*CONN
*I *4029:A I *D sky130_fd_sc_hd__inv_2
*I *4027:A I *D sky130_fd_sc_hd__inv_2
*I *4028:A I *D sky130_fd_sc_hd__inv_2
*I *4026:A I *D sky130_fd_sc_hd__inv_2
*I *4025:A I *D sky130_fd_sc_hd__inv_2
*I *4024:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4029:A 0.000632543
2 *4027:A 3.5247e-05
3 *4028:A 0
4 *4026:A 0
5 *4025:A 0
6 *4024:X 0
7 *273:55 0.00650787
8 *273:54 0.00647262
9 *273:52 0.00124299
10 *273:51 0.00135583
11 *273:43 0.000408422
12 *273:38 0.00233841
13 *273:37 0.00204284
14 *273:27 0.00242916
15 *273:26 0.00242916
16 *273:24 0.00216768
17 *273:23 0.00216768
18 *273:21 0.00403536
19 *273:20 0.0047406
20 *273:5 0.000790934
21 *273:4 0.000718242
22 *4029:A *4456:D 0.000143875
23 *4029:A *4706:A 0.000207266
24 *4029:A *274:10 0.000410488
25 *4029:A *274:21 0.000391877
26 *273:20 *4185:A1 2.37827e-05
27 *273:20 *4185:A2 0.000426044
28 *273:20 *4249:B 1.65872e-05
29 *273:20 *4251:B 0
30 *273:20 *4439:CLK 1.09551e-05
31 *273:20 *342:20 0.000101148
32 *273:20 *647:33 2.54062e-05
33 *273:20 *647:43 0.000111887
34 *273:20 *663:28 9.29715e-05
35 *273:20 *710:46 0.00173047
36 *273:21 *4185:A1 0.000154145
37 *273:21 *4185:A2 7.92757e-06
38 *273:21 *4185:B1 9.21465e-06
39 *273:21 *289:29 3.9504e-05
40 *273:21 *710:39 0.000151404
41 *273:21 *710:46 1.75155e-06
42 *273:38 *275:16 0
43 *273:38 *660:14 0.000475669
44 *273:38 *669:8 0
45 *273:38 *731:11 0
46 *273:43 *4049:A 0.000118166
47 *273:43 *278:5 6.08467e-05
48 *273:43 *278:13 0.000272178
49 *273:43 *278:77 0.000213739
50 *273:43 *288:44 0.000283826
51 *273:43 *288:64 0.000113968
52 *273:51 *288:64 9.80747e-05
53 *273:52 *286:48 0.00461318
54 *273:52 *633:8 0.000773355
55 *273:55 *4064:A 9.14505e-05
56 *273:55 *4066:A 6.17732e-05
57 *273:55 *4337:B 0.000122378
58 *273:55 *4608:A 0.000188044
59 *273:55 *4608:TE_B 5.84768e-05
60 *273:55 *4636:TE_B 0.00084723
61 *273:55 *451:9 0.00106767
62 *273:55 *451:18 0.00162376
63 io_oeb[11] *273:38 0.0001438
64 io_oeb[6] *273:38 6.97239e-05
65 *4439:D *273:20 2.04854e-05
66 *4440:D *273:21 4.40253e-05
67 *4492:D *273:38 0
68 *83:10 *273:38 0.00189852
69 *176:8 *273:38 0.00122361
70 *267:5 *273:21 8.28869e-05
71 *267:13 *273:21 3.83429e-05
72 *271:12 *273:38 6.36909e-05
73 *272:10 *4029:A 0.000796767
74 *272:54 *273:52 0.00289649
*RES
1 *4024:X *273:4 9.24915
2 *273:4 *273:5 1.8326
3 *273:5 *273:20 38.5622
4 *273:20 *273:21 59.5114
5 *273:21 *273:23 4.5
6 *273:23 *273:24 54.569
7 *273:24 *273:26 4.5
8 *273:26 *273:27 56.7384
9 *273:27 *4025:A 9.24915
10 *273:26 *4026:A 9.24915
11 *273:5 *273:37 4.5
12 *273:37 *273:38 76.1621
13 *273:38 *273:43 17.4488
14 *273:43 *4028:A 9.24915
15 *273:43 *273:51 7.44181
16 *273:51 *273:52 79.0689
17 *273:52 *273:54 4.5
18 *273:54 *273:55 156.567
19 *273:55 *4027:A 10.2378
20 *273:4 *4029:A 42.4439
*END
*D_NET *274 0.0268951
*CONN
*I *4035:A I *D sky130_fd_sc_hd__inv_2
*I *4032:A I *D sky130_fd_sc_hd__inv_2
*I *4034:A I *D sky130_fd_sc_hd__inv_2
*I *4031:A I *D sky130_fd_sc_hd__inv_2
*I *4033:A I *D sky130_fd_sc_hd__inv_2
*I *4030:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *4035:A 0
2 *4032:A 0.000300271
3 *4034:A 0
4 *4031:A 0.00015977
5 *4033:A 7.36971e-05
6 *4030:X 3.32466e-05
7 *274:43 0.000842601
8 *274:26 0.00123428
9 *274:22 0.00974474
10 *274:21 0.00918703
11 *274:10 0.00111038
12 *274:5 0.00109546
13 *4031:A *4693:TE_B 1.84293e-05
14 *4032:A *4612:A 0
15 *4032:A *4612:TE_B 5.88662e-05
16 *4032:A *4640:A 0
17 *4032:A *281:36 3.20069e-06
18 *4032:A *288:65 0
19 *4032:A *633:7 1.84293e-05
20 *274:10 *275:66 0.000273124
21 *274:10 *276:18 7.13655e-06
22 *274:10 *291:44 0.000120344
23 *274:21 *4083:A 0.000127164
24 *274:21 *4610:TE_B 0.000101133
25 *274:21 *275:66 0.000580488
26 *274:26 *4693:TE_B 4.66876e-05
27 *274:43 *4612:A 1.02383e-05
28 *274:43 *4640:A 0
29 *274:43 *288:65 0
30 *274:43 *291:44 0
31 io_oeb[29] *274:10 4.51176e-05
32 io_out[4] *274:10 2.02035e-05
33 la1_data_out[19] *4032:A 1.26032e-05
34 *4029:A *274:10 0.000410488
35 *4029:A *274:21 0.000391877
36 *4030:A *274:10 0.000361034
37 *4030:A *274:43 1.44467e-05
38 *86:6 *274:21 0
39 *86:6 *274:43 0.000106165
40 *271:26 *274:10 0.000165578
41 *272:10 *274:10 8.50452e-05
42 *272:10 *274:21 0.000135832
43 *272:38 *274:43 0
*RES
1 *4030:X *274:5 9.97254
2 *274:5 *274:10 26.0204
3 *274:10 *4033:A 15.0271
4 *274:10 *274:21 26.6794
5 *274:21 *274:22 125.232
6 *274:22 *274:26 25.8373
7 *274:26 *4031:A 13.3002
8 *274:26 *4034:A 9.24915
9 *274:5 *274:43 19.2781
10 *274:43 *4032:A 21.8422
11 *274:43 *4035:A 13.7491
*END
*D_NET *275 0.0613021
*CONN
*I *4039:A I *D sky130_fd_sc_hd__inv_2
*I *4038:A I *D sky130_fd_sc_hd__inv_2
*I *4041:A I *D sky130_fd_sc_hd__inv_2
*I *4040:A I *D sky130_fd_sc_hd__inv_2
*I *4037:A I *D sky130_fd_sc_hd__inv_2
*I *4036:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4039:A 0.000132514
2 *4038:A 3.57807e-05
3 *4041:A 0.000229623
4 *4040:A 0
5 *4037:A 0.000950536
6 *4036:X 2.06324e-05
7 *275:78 0.00359887
8 *275:77 0.00360055
9 *275:66 0.00163421
10 *275:65 0.00231581
11 *275:42 0.00170084
12 *275:37 0.000788444
13 *275:35 0.00194274
14 *275:33 0.00381918
15 *275:24 0.00590655
16 *275:22 0.00466687
17 *275:17 0.00149908
18 *275:16 0.0013544
19 *275:12 0.00157968
20 *275:5 0.00169204
21 *4037:A *4685:TE_B 0.00033061
22 *4037:A *290:49 0.00264786
23 *4039:A *4615:A 3.31882e-05
24 *275:12 *4455:CLK 1.43983e-05
25 *275:12 *4456:CLK 3.77804e-05
26 *275:12 *662:8 2.69064e-05
27 *275:12 *669:8 6.81008e-05
28 *275:12 *731:11 3.58457e-05
29 *275:16 *293:53 0.0017031
30 *275:16 *660:14 0
31 *275:17 *427:5 1.43848e-05
32 *275:22 *4635:TE_B 0
33 *275:22 *278:8 7.65861e-05
34 *275:22 *278:41 0.000926728
35 *275:22 *677:8 9.38185e-05
36 *275:22 *710:54 0.00042597
37 *275:24 *4202:A1 0
38 *275:24 *4209:A2 0.000232307
39 *275:24 *4221:B1 0.000177787
40 *275:24 *4222:A 0.000106645
41 *275:24 *4223:B1 3.04973e-05
42 *275:24 *4223:C1 0
43 *275:24 *4621:A 0
44 *275:24 *4621:TE_B 2.69064e-05
45 *275:24 *4623:A 0
46 *275:24 *4623:TE_B 3.58185e-05
47 *275:24 *4635:TE_B 5.51292e-06
48 *275:24 *4636:A 0.000324368
49 *275:24 *4639:A 0
50 *275:24 *4639:TE_B 3.1218e-05
51 *275:24 *4675:TE_B 3.03588e-05
52 *275:24 *4688:A 0
53 *275:24 *278:93 0.000111445
54 *275:24 *281:24 0
55 *275:24 *282:36 0
56 *275:24 *354:33 0.000748449
57 *275:24 *362:8 0.000163408
58 *275:24 *367:8 0
59 *275:24 *674:8 0.000453751
60 *275:24 *675:10 0
61 *275:24 *677:8 0
62 *275:33 *278:93 0.000166538
63 *275:35 *4112:A 0.000550796
64 *275:35 *4126:A 0.000797786
65 *275:35 *4604:TE_B 0.00020857
66 *275:35 *4653:A 0.000217951
67 *275:35 *4663:A 0.000647008
68 *275:35 *4680:TE_B 0.000647829
69 *275:35 *278:93 0.000461952
70 *275:35 *289:84 0.00402377
71 *275:42 *817:6 0.000404101
72 *275:65 *4455:CLK 5.65165e-05
73 *275:66 *4083:A 0.000164689
74 *275:66 *4123:A 0.000368782
75 *275:66 *4602:TE_B 0
76 *275:66 *4659:A 0
77 *275:66 *279:72 0
78 *275:66 *284:59 2.73554e-05
79 *275:66 *291:44 0.00185822
80 *275:77 *4614:TE_B 4.61732e-05
81 *275:77 *279:72 0
82 *275:78 *4650:TE_B 0.000114584
83 *275:78 *4665:A 0.000434578
84 *275:78 *291:67 2.57986e-05
85 *275:78 *291:86 2.57847e-05
86 io_oeb[28] *275:24 0
87 io_oeb[29] *275:66 4.97938e-05
88 io_oeb[33] *275:24 0
89 io_out[18] *275:24 0
90 io_out[35] *275:66 0.000117754
91 la1_data_out[21] *275:77 2.26985e-05
92 la1_data_out[4] *275:24 0
93 la1_data_out[9] *275:66 0
94 la1_data_out[9] *275:77 0
95 *4036:A *275:5 0
96 *4036:A *275:65 0.000175485
97 *4135:A *275:33 0.000105969
98 *4135:A *275:35 6.03708e-05
99 *4492:D *275:16 5.88662e-05
100 *83:10 *275:22 0
101 *83:10 *275:24 0
102 *86:6 *275:66 0
103 *214:10 *275:16 0.00121391
104 *270:28 *275:24 0
105 *270:37 *275:24 0
106 *271:23 *275:65 0.00101278
107 *273:38 *275:16 0
108 *274:10 *275:66 0.000273124
109 *274:21 *275:66 0.000580488
*RES
1 *4036:X *275:5 9.82786
2 *275:5 *275:12 25.5341
3 *275:12 *275:16 40.0773
4 *275:16 *275:17 11.8155
5 *275:17 *275:22 28.9877
6 *275:22 *275:24 110.714
7 *275:24 *275:33 34.5571
8 *275:33 *275:35 77.536
9 *275:35 *275:37 0.988641
10 *275:37 *275:42 31.5946
11 *275:42 *4037:A 41.6091
12 *275:37 *4040:A 9.24915
13 *275:17 *4041:A 13.8789
14 *275:5 *275:65 19.6431
15 *275:65 *275:66 57.891
16 *275:66 *4038:A 14.4725
17 *275:66 *275:77 8.40826
18 *275:77 *275:78 78.9225
19 *275:78 *4039:A 21.3269
*END
*D_NET *276 0.0747108
*CONN
*I *4045:A I *D sky130_fd_sc_hd__inv_2
*I *4044:A I *D sky130_fd_sc_hd__inv_2
*I *4046:A I *D sky130_fd_sc_hd__inv_2
*I *4047:A I *D sky130_fd_sc_hd__inv_2
*I *4043:A I *D sky130_fd_sc_hd__inv_2
*I *4042:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4045:A 0.000379876
2 *4044:A 0
3 *4046:A 0
4 *4047:A 2.06324e-05
5 *4043:A 0.000463855
6 *4042:X 0
7 *276:76 0.00910426
8 *276:74 0.00874622
9 *276:49 0.00139177
10 *276:45 0.00190378
11 *276:42 0.00151619
12 *276:31 0.00258386
13 *276:29 0.0020848
14 *276:27 0.000325091
15 *276:22 0.00126765
16 *276:18 0.00712649
17 *276:4 0.00618513
18 *4043:A *4102:A 0.000380115
19 *4043:A *4671:TE_B 5.07314e-05
20 *4045:A *4657:TE_B 0
21 *4045:A *284:43 0
22 *4045:A *287:12 0.00112473
23 *4045:A *710:8 0.000658281
24 *276:18 *4599:A 0.000130292
25 *276:18 *278:77 0.000109657
26 *276:18 *278:93 0.00265192
27 *276:18 *279:59 0.00012179
28 *276:18 *281:41 0.000112879
29 *276:18 *282:24 0.000128423
30 *276:18 *289:56 0.000111445
31 *276:18 *289:60 7.86825e-06
32 *276:18 *291:44 2.27135e-05
33 *276:22 *4111:A 1.1573e-05
34 *276:22 *4218:B1 0.000153225
35 *276:22 *4643:TE_B 0.000197676
36 *276:22 *4654:A 0.000127164
37 *276:22 *4654:TE_B 3.601e-05
38 *276:22 *282:36 0.00190077
39 *276:22 *289:60 0.000169317
40 *276:22 *289:71 0.000492328
41 *276:27 *4597:TE_B 0.000101133
42 *276:27 *282:36 0.000219424
43 *276:27 *289:71 0.000269151
44 *276:31 *4621:TE_B 0.000152713
45 *276:42 *4126:A 4.74019e-05
46 *276:45 *4595:A 0.000167076
47 *276:45 *4595:TE_B 4.02303e-05
48 *276:45 *4633:A 0.000214173
49 *276:45 *279:40 0.00029262
50 *276:45 *285:11 2.51404e-05
51 *276:45 *285:15 0.00429885
52 *276:49 *4102:A 0.000521763
53 *276:49 *4616:A 0.000192991
54 *276:49 *4672:TE_B 9.08435e-05
55 *276:49 *4680:A 2.65831e-05
56 *276:49 *278:93 0.000252853
57 *276:49 *285:11 0.003565
58 *276:76 *4256:A 0.000420322
59 *276:76 *4256:B 3.28752e-05
60 *276:76 *4260:A3 5.74459e-05
61 *276:76 *4260:B1 2.7381e-05
62 *276:76 *4260:B2 7.029e-05
63 *276:76 *4456:CLK 0.000995229
64 *276:76 *292:5 0.00935792
65 *276:76 *292:66 0.00101667
66 io_out[4] *276:18 4.88715e-07
67 la1_data_out[26] *276:18 3.89951e-05
68 *4042:A *276:76 9.56135e-05
69 *270:49 *276:18 0.000125845
70 *270:49 *276:22 0.000188106
71 *274:10 *276:18 7.13655e-06
*RES
1 *4042:X *276:4 9.24915
2 *276:4 *276:18 30.5784
3 *276:18 *276:22 44.09
4 *276:22 *276:27 16.4696
5 *276:27 *276:29 0.578717
6 *276:29 *276:31 50.0831
7 *276:31 *276:42 20.6393
8 *276:42 *276:45 46.5023
9 *276:45 *276:49 45.1158
10 *276:49 *4043:A 22.7525
11 *276:49 *4047:A 9.82786
12 *276:27 *4046:A 9.24915
13 *276:18 *4044:A 13.7491
14 *276:4 *276:74 0.578717
15 *276:74 *276:76 152.13
16 *276:76 *4045:A 37.5217
*END
*D_NET *277 0.00348553
*CONN
*I *4073:A I *D sky130_fd_sc_hd__buf_8
*I *4055:A I *D sky130_fd_sc_hd__buf_8
*I *4049:A I *D sky130_fd_sc_hd__buf_8
*I *4061:A I *D sky130_fd_sc_hd__buf_2
*I *4067:A I *D sky130_fd_sc_hd__clkbuf_4
*I *4048:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4073:A 2.06324e-05
2 *4055:A 0
3 *4049:A 5.96498e-05
4 *4061:A 0
5 *4067:A 5.45793e-05
6 *4048:X 0.000192722
7 *277:27 0.00014301
8 *277:23 0.0002706
9 *277:18 0.000686287
10 *277:8 0.000725716
11 *4049:A *278:13 2.59533e-05
12 *4049:A *288:44 0.000264586
13 *4067:A *281:11 1.79196e-05
14 *4067:A *281:36 1.11997e-06
15 *4067:A *677:8 3.58321e-05
16 *277:8 *281:36 4.56909e-05
17 *277:8 *286:35 0.000167076
18 *277:8 *677:8 4.56708e-05
19 *277:23 *282:14 0
20 *277:23 *660:14 0.000153225
21 *277:27 *279:10 8.85525e-05
22 *277:27 *282:14 0
23 *277:27 *660:14 0.0002212
24 la1_data_out[16] *4067:A 8.81102e-05
25 la1_data_out[16] *277:8 5.92342e-05
26 *273:43 *4049:A 0.000118166
*RES
1 *4048:X *277:8 18.6595
2 *277:8 *4067:A 15.9964
3 *277:8 *277:18 14.0971
4 *277:18 *277:23 8.9951
5 *277:23 *277:27 8.40826
6 *277:27 *4061:A 9.24915
7 *277:27 *4049:A 12.191
8 *277:23 *4055:A 13.7491
9 *277:18 *4073:A 9.82786
*END
*D_NET *278 0.0869014
*CONN
*I *4050:A I *D sky130_fd_sc_hd__inv_2
*I *4053:A I *D sky130_fd_sc_hd__inv_2
*I *4051:A I *D sky130_fd_sc_hd__inv_2
*I *4052:A I *D sky130_fd_sc_hd__inv_2
*I *4054:A I *D sky130_fd_sc_hd__inv_2
*I *4049:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4050:A 0
2 *4053:A 0
3 *4051:A 0.00032205
4 *4052:A 0.0004108
5 *4054:A 0
6 *4049:X 1.2055e-05
7 *278:93 0.0113766
8 *278:77 0.0118231
9 *278:54 0.00256447
10 *278:45 0.00247572
11 *278:43 0.00256907
12 *278:41 0.00287453
13 *278:32 0.00271295
14 *278:31 0.00271295
15 *278:29 0.00372494
16 *278:28 0.00451849
17 *278:21 0.00236644
18 *278:13 0.00343909
19 *278:8 0.00220805
20 *278:5 0.000494982
21 *4051:A *4614:A 0
22 *4051:A *4624:TE_B 0.000101118
23 *4051:A *279:72 0
24 *4051:A *279:75 3.99086e-06
25 *4052:A *4124:A 0.000855226
26 *4052:A *279:75 0.000157173
27 *4052:A *289:17 0.000421982
28 *278:5 *288:44 6.50727e-05
29 *278:8 *677:8 3.14544e-05
30 *278:13 *4282:A1 1.89968e-05
31 *278:13 *4283:A 5.39767e-05
32 *278:13 *4283:B 9.67494e-05
33 *278:13 *4290:A2 0.000231564
34 *278:13 *4291:B1 0.000213725
35 *278:13 *288:40 3.61993e-05
36 *278:13 *288:44 5.41902e-05
37 *278:21 *4179:B 5.56461e-05
38 *278:21 *4179:C 3.07828e-05
39 *278:21 *4181:A1 0.000233343
40 *278:21 *4283:A 0.00013082
41 *278:21 *4357:B 0.000211478
42 *278:21 *4363:A 0.000111708
43 *278:21 *4363:B 0.000171288
44 *278:21 *4363:C 3.59454e-05
45 *278:21 *4386:A2 2.31422e-05
46 *278:21 *4386:B1 0.000613341
47 *278:21 *4386:C1 6.73186e-05
48 *278:21 *4390:A2 0.000160617
49 *278:21 *4391:A_N 0.000169041
50 *278:21 *4391:B 0.000192383
51 *278:21 *4391:C 0.000115055
52 *278:21 *4392:A 3.14978e-05
53 *278:21 *295:40 1.65872e-05
54 *278:21 *347:29 0.000373047
55 *278:21 *351:32 2.14698e-05
56 *278:28 *4312:B 7.50872e-05
57 *278:28 *4315:A 7.14746e-05
58 *278:28 *644:103 0.00119696
59 *278:29 *288:22 0.00123408
60 *278:29 *288:26 0.000610536
61 *278:32 *282:69 0
62 *278:32 *284:18 0
63 *278:32 *284:43 0
64 *278:32 *710:8 0
65 *278:41 *279:59 0.000856934
66 *278:41 *677:8 4.87198e-05
67 *278:41 *710:54 1.23804e-05
68 *278:43 *4071:A 0.000207915
69 *278:43 *4455:D 7.05606e-05
70 *278:43 *4601:A 0
71 *278:43 *4601:TE_B 0
72 *278:43 *4605:A 0
73 *278:43 *4605:TE_B 0
74 *278:43 *4610:A 0
75 *278:43 *4662:A 0
76 *278:43 *4689:A 0
77 *278:43 *279:59 0.000719565
78 *278:43 *279:63 4.97938e-05
79 *278:43 *279:72 0.00436691
80 *278:43 *281:41 0.000803484
81 *278:43 *710:54 0.0036029
82 *278:54 *4087:A 6.50727e-05
83 *278:54 *4652:A 6.40364e-05
84 *278:54 *4662:TE_B 0.000266846
85 *278:54 *279:75 0.000880062
86 *278:77 *281:11 0.000283158
87 *278:77 *288:44 0.000201774
88 *278:77 *677:8 0.000416087
89 *278:93 *4112:A 0.000185744
90 *278:93 *4636:A 0.000121381
91 *278:93 *279:40 0.00155444
92 *278:93 *281:11 1.26032e-05
93 *278:93 *285:6 1.93781e-05
94 *278:93 *285:11 0.000265132
95 *278:93 *289:84 0.000362043
96 *278:93 *597:9 0.000600092
97 *278:93 *677:8 2.42661e-05
98 io_oeb[31] *278:93 0
99 io_oeb[5] *278:32 0.00021725
100 io_out[37] *278:43 0
101 la1_data_out[10] *278:93 2.09449e-06
102 la1_data_out[31] *278:54 5.56461e-05
103 *4049:A *278:13 2.59533e-05
104 *4135:A *278:93 0.000101365
105 *4479:D *278:21 6.78596e-05
106 *4716:A *278:43 0.000165169
107 *83:10 *278:43 0
108 *273:43 *278:5 6.08467e-05
109 *273:43 *278:13 0.000272178
110 *273:43 *278:77 0.000213739
111 *275:22 *278:8 7.65861e-05
112 *275:22 *278:41 0.000926728
113 *275:24 *278:93 0.000111445
114 *275:33 *278:93 0.000166538
115 *275:35 *278:93 0.000461952
116 *276:18 *278:77 0.000109657
117 *276:18 *278:93 0.00265192
118 *276:49 *278:93 0.000252853
*RES
1 *4049:X *278:5 9.97254
2 *278:5 *278:8 5.91674
3 *278:8 *278:13 34.9188
4 *278:13 *278:21 45.8512
5 *278:21 *278:28 23.896
6 *278:28 *278:29 60.066
7 *278:29 *278:31 4.5
8 *278:31 *278:32 68.6876
9 *278:32 *4054:A 13.7491
10 *278:8 *278:41 17.7215
11 *278:41 *278:43 120.802
12 *278:43 *278:45 4.5
13 *278:45 *278:54 47.5512
14 *278:54 *4052:A 26.6348
15 *278:45 *4051:A 23.9928
16 *278:5 *278:77 23.253
17 *278:77 *278:93 45.8029
18 *278:93 *4053:A 9.24915
19 *278:77 *4050:A 13.7491
*END
*D_NET *279 0.0674454
*CONN
*I *4058:A I *D sky130_fd_sc_hd__inv_2
*I *4057:A I *D sky130_fd_sc_hd__inv_2
*I *4056:A I *D sky130_fd_sc_hd__inv_2
*I *4059:A I *D sky130_fd_sc_hd__inv_2
*I *4060:A I *D sky130_fd_sc_hd__inv_2
*I *4055:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4058:A 0.00013544
2 *4057:A 3.5247e-05
3 *4056:A 3.57913e-05
4 *4059:A 0.0067818
5 *4060:A 0
6 *4055:X 0
7 *279:75 0.00400034
8 *279:74 0.00396509
9 *279:72 0.00222626
10 *279:63 0.002175
11 *279:59 0.00128897
12 *279:58 0.0014943
13 *279:40 0.00364064
14 *279:30 0.00443025
15 *279:26 0.00165841
16 *279:16 0.00826045
17 *279:10 0.0014097
18 *279:4 0.00105356
19 *4058:A *4614:A 0
20 *4058:A *4662:A 0
21 *4059:A *287:26 4.51062e-05
22 *279:10 *4286:A0 4.05377e-05
23 *279:10 *4286:S 0.000367283
24 *279:10 *4291:B1 0.00017586
25 *279:10 *280:14 0
26 *279:10 *282:14 0
27 *279:10 *660:14 0.000150942
28 *279:10 *819:11 0.000409182
29 *279:16 *4205:A1 0.000573113
30 *279:16 *4286:A0 4.80339e-05
31 *279:16 *280:14 0.000303864
32 *279:16 *356:6 0.00156965
33 *279:26 *4199:A0 0.000151741
34 *279:26 *4200:A 9.38594e-05
35 *279:26 *4201:S 0
36 *279:26 *4215:A2 0.000128044
37 *279:26 *4293:S 0
38 *279:26 *4634:TE_B 0.000104731
39 *279:26 *280:14 0.000497823
40 *279:26 *354:5 5.04829e-06
41 *279:26 *355:9 5.53934e-05
42 *279:26 *356:6 6.32405e-05
43 *279:26 *660:27 9.75356e-05
44 *279:30 *4065:A 0.000349931
45 *279:30 *4293:S 0
46 *279:30 *280:14 1.1539e-05
47 *279:30 *280:16 0.000610452
48 *279:30 *280:18 4.33979e-05
49 *279:40 *285:15 0.000189024
50 *279:40 *546:8 0.000299281
51 *279:59 *4071:A 0.00021864
52 *279:59 *4125:A 0.000605261
53 *279:59 *4640:A 0
54 *279:59 *4640:TE_B 5.53789e-05
55 *279:59 *281:41 0.000799901
56 *279:59 *291:44 0.00013818
57 *279:59 *677:8 0.00114255
58 *279:63 *291:44 0
59 *279:72 *4123:A 0
60 *279:72 *4602:TE_B 0.000101133
61 *279:72 *4614:A 0
62 *279:72 *4624:TE_B 0
63 *279:72 *4629:TE_B 4.61732e-05
64 *279:72 *4659:A 0.000127194
65 *279:72 *4662:A 0
66 *279:72 *4706:A 3.88002e-05
67 *279:72 *291:44 0
68 *279:75 *4087:A 2.65831e-05
69 *279:75 *4625:A 0.000373543
70 *279:75 *4626:TE_B 0.000122378
71 *279:75 *4652:A 0.000273907
72 *279:75 *4686:TE_B 1.43848e-05
73 *279:75 *289:17 0.00335186
74 *279:75 *289:19 0.000466128
75 la1_data_out[31] *4058:A 0
76 la1_data_out[31] *279:75 0.00011818
77 *4051:A *279:72 0
78 *4051:A *279:75 3.99086e-06
79 *4052:A *279:75 0.000157173
80 *4719:A *4059:A 3.87387e-05
81 *45:8 *4059:A 1.70638e-05
82 *80:6 *279:30 0
83 *80:6 *279:40 0.000352671
84 *81:8 *279:30 0.000940185
85 *81:8 *279:40 8.86128e-06
86 *176:8 *279:10 0
87 *176:8 *279:16 0
88 *275:66 *279:72 0
89 *275:77 *279:72 0
90 *276:18 *279:59 0.00012179
91 *276:45 *279:40 0.00029262
92 *277:27 *279:10 8.85525e-05
93 *278:41 *279:59 0.000856934
94 *278:43 *279:59 0.000719565
95 *278:43 *279:63 4.97938e-05
96 *278:43 *279:72 0.00436691
97 *278:54 *279:75 0.000880062
98 *278:93 *279:40 0.00155444
*RES
1 *4055:X *279:4 9.24915
2 *279:4 *279:10 30.1491
3 *279:10 *279:16 30.1424
4 *279:16 *279:26 35.7161
5 *279:26 *279:30 30.6065
6 *279:30 *279:40 41.0232
7 *279:40 *4060:A 9.24915
8 *279:16 *4059:A 38.9997
9 *279:4 *279:58 10.2148
10 *279:58 *279:59 59.7597
11 *279:59 *279:63 1.52666
12 *279:63 *4056:A 14.4725
13 *279:63 *279:72 76.9926
14 *279:72 *279:74 4.5
15 *279:74 *279:75 114.972
16 *279:75 *4057:A 10.2378
17 *279:72 *4058:A 16.8269
*END
*D_NET *280 0.0152883
*CONN
*I *4063:A I *D sky130_fd_sc_hd__inv_2
*I *4062:A I *D sky130_fd_sc_hd__inv_2
*I *4064:A I *D sky130_fd_sc_hd__inv_2
*I *4066:A I *D sky130_fd_sc_hd__inv_2
*I *4065:A I *D sky130_fd_sc_hd__inv_2
*I *4061:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4063:A 0.000589255
2 *4062:A 6.77822e-05
3 *4064:A 3.62125e-05
4 *4066:A 0.000947333
5 *4065:A 0.00015639
6 *4061:X 0.00014368
7 *280:18 0.00113465
8 *280:16 0.000347422
9 *280:14 0.00175696
10 *280:12 0.0015407
11 *280:8 0.000864739
12 *4063:A *4449:CLK 4.80635e-06
13 *4063:A *618:11 0.000167514
14 *4064:A *4636:TE_B 0.000217923
15 *4065:A *4637:TE_B 5.88662e-05
16 *4066:A *4636:TE_B 0.000407266
17 *280:8 *4291:B1 7.50722e-05
18 *280:8 *282:14 2.82537e-05
19 *280:8 *288:44 0.000160617
20 *280:12 *4291:B1 0.000237836
21 *280:12 *282:14 3.26872e-05
22 *280:14 *4200:A 0.000111358
23 *280:14 *4205:A1 0.000253399
24 *280:14 *4210:B 0.000250091
25 *280:14 *4213:B 0.000208915
26 *280:14 *4286:A0 0.000255972
27 *280:14 *4286:S 0.000103012
28 *280:14 *4291:B1 1.28463e-05
29 *280:14 *4634:TE_B 0.000101133
30 *280:14 *282:14 0.000586614
31 *280:14 *356:6 0
32 *280:14 *356:11 0
33 *280:14 *660:20 0.000786141
34 *280:14 *660:27 0.00128776
35 io_oeb[4] *280:16 0
36 *4448:D *280:14 0.000101133
37 *4449:D *4063:A 5.07056e-05
38 *4449:D *280:12 0.000153225
39 *80:6 *4065:A 6.0002e-05
40 *80:6 *280:16 9.35753e-06
41 *80:6 *280:18 1.03986e-05
42 *273:55 *4064:A 9.14505e-05
43 *273:55 *4066:A 6.17732e-05
44 *279:10 *280:14 0
45 *279:16 *280:14 0.000303864
46 *279:26 *280:14 0.000497823
47 *279:30 *4065:A 0.000349931
48 *279:30 *280:14 1.1539e-05
49 *279:30 *280:16 0.000610452
50 *279:30 *280:18 4.33979e-05
*RES
1 *4061:X *280:8 16.9985
2 *280:8 *280:12 4.97081
3 *280:12 *280:14 63.3748
4 *280:14 *280:16 10.5523
5 *280:16 *280:18 1.00149
6 *280:18 *4065:A 20.4571
7 *280:18 *4066:A 29.3263
8 *280:16 *4064:A 16.1364
9 *280:14 *4062:A 15.0271
10 *280:8 *4063:A 27.2767
*END
*D_NET *281 0.0181046
*CONN
*I *4070:A I *D sky130_fd_sc_hd__inv_2
*I *4071:A I *D sky130_fd_sc_hd__inv_2
*I *4069:A I *D sky130_fd_sc_hd__inv_2
*I *4072:A I *D sky130_fd_sc_hd__inv_2
*I *4068:A I *D sky130_fd_sc_hd__inv_2
*I *4067:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *4070:A 0
2 *4071:A 0.000425302
3 *4069:A 0
4 *4072:A 4.63527e-05
5 *4068:A 4.17153e-05
6 *4067:X 5.38389e-05
7 *281:41 0.000650393
8 *281:36 0.00164966
9 *281:24 0.00167721
10 *281:22 0.00187909
11 *281:11 0.00130575
12 *281:7 0.0024942
13 *4071:A *4642:TE_B 8.67969e-05
14 *4072:A *4643:TE_B 2.99287e-05
15 *281:11 *4106:A 0.000402023
16 *281:11 *4603:A 5.54078e-05
17 *281:11 *4603:TE_B 4.61732e-05
18 *281:11 *288:45 0.0001425
19 *281:11 *288:64 7.15724e-05
20 *281:11 *289:56 0.000384279
21 *281:11 *677:8 0
22 *281:22 *4223:A1 5.95232e-05
23 *281:22 *4223:B1 1.5147e-05
24 *281:22 *282:24 2.61857e-05
25 *281:22 *289:56 0
26 *281:22 *677:8 0.000363685
27 *281:24 *4217:A 0.000576244
28 *281:24 *4221:B1 5.66868e-06
29 *281:24 *4222:A 5.01115e-05
30 *281:24 *4223:A1 6.85861e-07
31 *281:24 *4223:B1 0.000238255
32 *281:24 *4636:A 0.0003994
33 *281:24 *4638:TE_B 0
34 *281:24 *282:35 1.87269e-05
35 *281:24 *354:33 7.05387e-05
36 *281:24 *358:8 0.000346318
37 *281:24 *358:17 0.000185336
38 *281:24 *358:32 0.000127746
39 *281:24 *362:8 0.00038405
40 *281:36 *4640:A 0.000487687
41 *281:36 *4648:A 0
42 *281:36 *4683:A 0
43 *281:36 *4683:TE_B 9.70894e-05
44 *281:36 *288:65 0
45 *281:36 *289:56 0.000317327
46 *281:36 *677:8 0
47 *281:41 *4641:TE_B 1.43848e-05
48 io_oeb[20] *281:36 9.61451e-05
49 io_out[23] *281:36 2.02035e-05
50 io_out[29] *281:24 0
51 la1_data_out[10] *281:11 7.11078e-05
52 la1_data_out[16] *281:36 0.000139245
53 la1_data_out[24] *281:36 4.51176e-05
54 *4032:A *281:36 3.20069e-06
55 *4067:A *281:11 1.79196e-05
56 *4067:A *281:36 1.11997e-06
57 *270:37 *281:24 0
58 *270:49 *281:24 0
59 *275:24 *281:24 0
60 *276:18 *281:41 0.000112879
61 *277:8 *281:36 4.56909e-05
62 *278:43 *4071:A 0.000207915
63 *278:43 *281:41 0.000803484
64 *278:77 *281:11 0.000283158
65 *278:93 *281:11 1.26032e-05
66 *279:59 *4071:A 0.00021864
67 *279:59 *281:41 0.000799901
*RES
1 *4067:X *281:7 14.4725
2 *281:7 *281:11 41.1089
3 *281:11 *4068:A 9.97254
4 *281:11 *281:22 12.6707
5 *281:22 *281:24 52.7004
6 *281:24 *4072:A 15.0271
7 *281:7 *281:36 46.6465
8 *281:36 *281:41 19.2371
9 *281:41 *4069:A 13.7491
10 *281:41 *4071:A 25.4701
11 *281:36 *4070:A 9.24915
*END
*D_NET *282 0.0588352
*CONN
*I *4075:A I *D sky130_fd_sc_hd__inv_2
*I *4076:A I *D sky130_fd_sc_hd__inv_2
*I *4074:A I *D sky130_fd_sc_hd__inv_2
*I *4078:A I *D sky130_fd_sc_hd__inv_2
*I *4077:A I *D sky130_fd_sc_hd__inv_2
*I *4073:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4075:A 0.00560945
2 *4076:A 9.65896e-05
3 *4074:A 8.0861e-05
4 *4078:A 0.000165033
5 *4077:A 1.70337e-05
6 *4073:X 0
7 *282:69 0.0017641
8 *282:68 0.00166751
9 *282:60 0.00107711
10 *282:54 0.0057338
11 *282:53 0.00473755
12 *282:36 0.00128578
13 *282:35 0.0017465
14 *282:24 0.0010293
15 *282:21 0.00128733
16 *282:14 0.00173218
17 *282:5 0.00644081
18 *4075:A *4245:C1 0.00107404
19 *4075:A *4360:B 0.00406199
20 *4075:A *4665:A 0.000148144
21 *4075:A *293:53 4.31485e-06
22 *4077:A *4694:TE_B 4.30017e-06
23 *4077:A *289:76 6.50727e-05
24 *4078:A *4658:TE_B 3.73813e-05
25 *282:14 *4291:B1 2.78452e-05
26 *282:14 *293:46 0.000597253
27 *282:14 *293:53 0.000241497
28 *282:14 *660:20 0.00053436
29 *282:14 *683:18 0.000345372
30 *282:21 *660:20 0.000246684
31 *282:21 *660:27 0.000231378
32 *282:21 *683:18 0.000219812
33 *282:21 *692:7 0.000113968
34 *282:24 *4220:B1 3.31882e-05
35 *282:24 *4223:A1 4.35045e-05
36 *282:24 *289:56 0
37 *282:24 *358:17 0.000196594
38 *282:24 *358:32 0.000130946
39 *282:35 *4217:A 0.000730254
40 *282:35 *4217:B 1.65872e-05
41 *282:35 *4220:A1 4.17531e-06
42 *282:35 *4220:B1 7.50872e-05
43 *282:35 *4658:TE_B 9.98615e-06
44 *282:35 *358:8 0.000294241
45 *282:36 *4597:TE_B 0.000104731
46 *282:36 *289:71 0
47 *282:54 *4244:A 2.16355e-05
48 *282:54 *4358:B1 3.8122e-05
49 *282:54 *4428:A_N 0.000118166
50 *282:54 *4428:C 4.04121e-05
51 *282:54 *4429:A 7.12965e-05
52 *282:54 *390:7 0.000383703
53 *282:54 *475:9 0.000118166
54 *282:54 *528:18 0.000266832
55 *282:54 *734:5 0.00061665
56 *282:60 *734:5 0.000627904
57 *282:69 *4677:TE_B 8.07939e-05
58 *282:69 *4695:A 0.000130777
59 *282:69 *284:24 0
60 *282:69 *287:10 0.000239317
61 *282:69 *287:12 0.00185648
62 *282:69 *292:8 0.000605925
63 *282:69 *710:8 0.00272251
64 io_oeb[16] *282:35 0.000171508
65 io_oeb[1] *282:36 8.39252e-05
66 io_oeb[28] *282:36 0.000119781
67 io_oeb[32] *282:69 0
68 la1_data_out[26] *282:35 2.42661e-05
69 *4449:D *282:14 0.000156823
70 *4713:A *282:69 3.20069e-06
71 *83:9 *282:21 0.000107101
72 *270:28 *282:36 0.000175689
73 *270:37 *282:36 0.00152519
74 *270:49 *282:24 0.000834037
75 *270:49 *282:35 0.000117692
76 *270:49 *282:36 0.000572576
77 *275:24 *282:36 0
78 *276:18 *282:24 0.000128423
79 *276:22 *282:36 0.00190077
80 *276:27 *282:36 0.000219424
81 *277:23 *282:14 0
82 *277:27 *282:14 0
83 *278:32 *282:69 0
84 *279:10 *282:14 0
85 *280:8 *282:14 2.82537e-05
86 *280:12 *282:14 3.26872e-05
87 *280:14 *282:14 0.000586614
88 *281:22 *282:24 2.61857e-05
89 *281:24 *282:35 1.87269e-05
*RES
1 *4073:X *282:5 13.7491
2 *282:5 *282:14 33.953
3 *282:14 *282:21 33.3131
4 *282:21 *282:24 21.2811
5 *282:24 *282:35 33.2792
6 *282:35 *282:36 56.6453
7 *282:36 *4077:A 14.4725
8 *282:24 *4078:A 13.1796
9 *282:14 *282:53 4.5
10 *282:53 *282:54 108.594
11 *282:54 *282:60 29.3337
12 *282:60 *4074:A 11.0817
13 *282:60 *282:68 4.5
14 *282:68 *282:69 76.9926
15 *282:69 *4076:A 15.5817
16 *282:5 *4075:A 48.7243
*END
*D_NET *283 0.00346466
*CONN
*I *4092:A I *D sky130_fd_sc_hd__buf_4
*I *4104:A I *D sky130_fd_sc_hd__buf_6
*I *4080:A I *D sky130_fd_sc_hd__buf_8
*I *4098:A I *D sky130_fd_sc_hd__buf_4
*I *4086:A I *D sky130_fd_sc_hd__buf_6
*I *4079:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4092:A 9.50521e-05
2 *4104:A 8.59749e-05
3 *4080:A 0
4 *4098:A 0.000118581
5 *4086:A 0.000253113
6 *4079:X 0.00034807
7 *283:22 0.000234567
8 *283:20 0.000286346
9 *283:19 0.000344503
10 *283:8 0.000766249
11 *4092:A *286:11 6.80927e-05
12 *4098:A *284:9 0.000168843
13 *4104:A *285:37 0.000179286
14 *283:8 *4079:A 0.00011818
15 *283:8 *285:6 0
16 *283:8 *285:37 0
17 *283:8 *711:9 0.000248346
18 *283:19 *285:37 0
19 *283:20 *286:11 5.10995e-05
20 *283:22 *284:9 4.82966e-05
21 *283:22 *286:11 5.00609e-05
*RES
1 *4079:X *283:8 24.2055
2 *283:8 *4086:A 19.464
3 *283:8 *283:19 8.40826
4 *283:19 *283:20 2.38721
5 *283:20 *283:22 3.49641
6 *283:22 *4098:A 13.3002
7 *283:22 *4080:A 9.24915
8 *283:20 *4104:A 21.3269
9 *283:19 *4092:A 12.191
*END
*D_NET *284 0.0572083
*CONN
*I *4081:A I *D sky130_fd_sc_hd__inv_2
*I *4083:A I *D sky130_fd_sc_hd__inv_2
*I *4084:A I *D sky130_fd_sc_hd__inv_2
*I *4082:A I *D sky130_fd_sc_hd__inv_2
*I *4085:A I *D sky130_fd_sc_hd__inv_2
*I *4080:X O *D sky130_fd_sc_hd__buf_8
*CAP
1 *4081:A 0
2 *4083:A 0.000390363
3 *4084:A 3.58004e-05
4 *4082:A 0
5 *4085:A 2.06324e-05
6 *4080:X 0.000147147
7 *284:59 0.000480063
8 *284:56 0.00878504
9 *284:54 0.00970207
10 *284:45 0.00104253
11 *284:43 0.00409863
12 *284:31 0.00549553
13 *284:29 0.00661728
14 *284:24 0.00340872
15 *284:23 0.00230449
16 *284:19 0.000370158
17 *284:18 0.00165051
18 *284:9 0.00556427
19 *4083:A *4659:TE_B 0.000172722
20 *4083:A *4660:TE_B 3.01634e-05
21 *4085:A *4094:A 0
22 *284:9 *286:11 0.00116744
23 *284:9 *287:10 0.000538827
24 *284:18 *4079:A 0.000100053
25 *284:18 *4108:A 0
26 *284:18 *287:26 0.00132859
27 *284:18 *288:8 0
28 *284:24 *4608:TE_B 0
29 *284:24 *287:42 0
30 *284:24 *287:52 0.000638538
31 *284:24 *292:12 0
32 *284:31 *4094:A 0.000106053
33 *284:31 *4637:A 6.50586e-05
34 *284:31 *4637:TE_B 0.000260505
35 *284:31 *4667:TE_B 0.000523693
36 *284:31 *638:15 0.000113984
37 *284:43 *4628:A 0
38 *284:43 *4628:TE_B 3.58321e-05
39 *284:43 *4657:A 0.00015321
40 *284:43 *4657:TE_B 0
41 *284:43 *4693:TE_B 0.000299323
42 *284:43 *710:8 0
43 io_oeb[21] *284:43 0
44 io_oeb[35] *284:24 7.86825e-06
45 io_oeb[5] *284:43 0
46 io_out[15] *284:43 0.000231057
47 io_out[35] *4083:A 4.90442e-05
48 io_out[7] *284:24 0.000130809
49 io_out[9] *284:24 0
50 la1_data_out[18] *284:43 0.000596615
51 la1_data_out[1] *284:43 0
52 la1_data_out[27] *284:43 0
53 *4045:A *284:43 0
54 *4098:A *284:9 0.000168843
55 *4713:A *284:24 0
56 *45:8 *284:24 0
57 *86:6 *4083:A 0
58 *86:6 *284:59 0
59 *154:8 *284:24 9.35753e-06
60 *274:21 *4083:A 0.000127164
61 *275:66 *4083:A 0.000164689
62 *275:66 *284:59 2.73554e-05
63 *278:32 *284:18 0
64 *278:32 *284:43 0
65 *282:69 *284:24 0
66 *283:22 *284:9 4.82966e-05
*RES
1 *4080:X *284:9 26.6979
2 *284:9 *284:18 49.5943
3 *284:18 *284:19 7.37864
4 *284:19 *284:23 5.48864
5 *284:23 *284:24 62.8741
6 *284:24 *284:29 29.638
7 *284:29 *284:31 125.787
8 *284:31 *4085:A 9.82786
9 *284:19 *4082:A 9.24915
10 *284:9 *284:43 110.213
11 *284:43 *284:45 4.5
12 *284:45 *4084:A 9.97254
13 *284:45 *284:54 23.6189
14 *284:54 *284:56 125.787
15 *284:56 *284:59 6.74725
16 *284:59 *4083:A 27.2082
17 *284:59 *4081:A 13.7491
*END
*D_NET *285 0.0565616
*CONN
*I *4089:A I *D sky130_fd_sc_hd__inv_2
*I *4087:A I *D sky130_fd_sc_hd__inv_2
*I *4090:A I *D sky130_fd_sc_hd__inv_2
*I *4091:A I *D sky130_fd_sc_hd__inv_2
*I *4088:A I *D sky130_fd_sc_hd__inv_2
*I *4086:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4089:A 0.00026427
2 *4087:A 0.000843127
3 *4090:A 2.06324e-05
4 *4091:A 0
5 *4088:A 8.57341e-05
6 *4086:X 0
7 *285:42 0.000884392
8 *285:40 0.00456496
9 *285:39 0.00454432
10 *285:37 0.00540928
11 *285:23 0.00278107
12 *285:15 0.00399105
13 *285:11 0.00341305
14 *285:6 0.00713096
15 *285:5 0.0101586
16 *4087:A *4625:TE_B 0.000152239
17 *4088:A *4664:TE_B 7.50722e-05
18 *4088:A *4694:A 0
19 *4089:A *288:75 0
20 *285:6 *4108:A 0
21 *285:6 *4627:TE_B 7.86847e-05
22 *285:6 *288:8 0
23 *285:15 *4604:A 0.000696534
24 *285:15 *4666:TE_B 1.57593e-05
25 *285:23 *4126:A 0.000291214
26 *285:23 *4604:A 6.78364e-06
27 *285:23 *4647:A 9.46346e-05
28 *285:23 *4649:TE_B 0.00042812
29 *285:23 *4664:A 2.20702e-05
30 *285:23 *4664:TE_B 0.000277488
31 *285:23 *4666:TE_B 6.64392e-05
32 *285:23 *546:8 0.000377095
33 *285:37 *288:8 0
34 *285:37 *288:75 0
35 *285:40 *4120:A 0.000164674
36 *285:40 *4615:A 0.00042665
37 *285:40 *4645:TE_B 1.31657e-05
38 *285:40 *4652:TE_B 6.15488e-05
39 *285:40 *4687:TE_B 0.000159721
40 *285:40 *291:86 0.000148071
41 io_oeb[1] *4088:A 0
42 io_out[37] *4087:A 8.01597e-05
43 *4022:A *285:23 0.00020053
44 *4104:A *285:37 0.000179286
45 *269:28 *285:6 0
46 *276:45 *285:11 2.51404e-05
47 *276:45 *285:15 0.00429885
48 *276:49 *285:11 0.003565
49 *278:54 *4087:A 6.50727e-05
50 *278:93 *285:6 1.93781e-05
51 *278:93 *285:11 0.000265132
52 *279:40 *285:15 0.000189024
53 *279:75 *4087:A 2.65831e-05
54 *283:8 *285:6 0
55 *283:8 *285:37 0
56 *283:19 *285:37 0
*RES
1 *4086:X *285:5 13.7491
2 *285:5 *285:6 124.747
3 *285:6 *285:11 43.5152
4 *285:11 *285:15 47.7441
5 *285:15 *285:23 46.4179
6 *285:23 *4088:A 20.4964
7 *285:15 *4091:A 9.24915
8 *285:5 *285:37 129.73
9 *285:37 *285:39 4.5
10 *285:39 *285:40 104.989
11 *285:40 *285:42 0.578717
12 *285:42 *4090:A 9.82786
13 *285:42 *4087:A 40.3817
14 *285:37 *4089:A 19.9109
*END
*D_NET *286 0.0418094
*CONN
*I *4094:A I *D sky130_fd_sc_hd__inv_2
*I *4097:A I *D sky130_fd_sc_hd__inv_2
*I *4095:A I *D sky130_fd_sc_hd__inv_2
*I *4093:A I *D sky130_fd_sc_hd__inv_2
*I *4096:A I *D sky130_fd_sc_hd__inv_2
*I *4092:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *4094:A 0.000226678
2 *4097:A 7.23999e-05
3 *4095:A 4.83574e-05
4 *4093:A 0
5 *4096:A 0
6 *4092:X 2.06324e-05
7 *286:48 0.00194238
8 *286:47 0.00182502
9 *286:35 0.00538455
10 *286:16 0.00104209
11 *286:12 0.00211025
12 *286:11 0.00284662
13 *286:5 0.00695357
14 *4094:A *638:15 0.000307639
15 *4095:A *4668:TE_B 5.04829e-06
16 *4095:A *292:8 3.63743e-05
17 *4095:A *536:16 2.6777e-05
18 *4097:A *4669:TE_B 6.92705e-05
19 *286:11 *287:10 0.000264499
20 *286:12 *4644:TE_B 4.8703e-05
21 *286:12 *4677:A 0.000172513
22 *286:12 *4698:TE_B 0.000101133
23 *286:12 *292:8 0.00117203
24 *286:12 *536:16 0.000609977
25 *286:12 *711:8 3.00073e-05
26 *286:16 *4656:TE_B 0.000120605
27 *286:16 *4673:TE_B 0.000119538
28 *286:16 *4700:TE_B 5.53789e-05
29 *286:16 *292:8 0.000109265
30 *286:16 *292:12 0.000509017
31 *286:16 *292:18 0.00018678
32 *286:16 *536:14 0.000586296
33 *286:16 *536:16 0.000312128
34 *286:35 *4149:A 0.000111722
35 *286:35 *4150:B1_N 0.000411006
36 *286:35 *4180:A 0.000185939
37 *286:35 *4400:A 0.000720945
38 *286:35 *4669:TE_B 0.000882389
39 *286:35 *295:40 0.000201774
40 *286:35 *305:7 0.000111708
41 *286:35 *336:8 6.50727e-05
42 *286:35 *701:9 0.000139403
43 *286:35 *701:17 0.000307586
44 *286:48 *4599:A 0.00090976
45 *286:48 *4619:A 0.000957651
46 *286:48 *288:65 0.000221992
47 *286:48 *289:56 0
48 *286:48 *289:60 1.23088e-05
49 *286:48 *289:71 0.000351471
50 *286:48 *633:8 1.14755e-05
51 *286:48 *751:11 1.40496e-05
52 *286:48 *755:12 0.000873696
53 io_oeb[10] *286:16 3.13394e-05
54 io_oeb[12] *286:48 0.000303736
55 io_oeb[37] *286:16 4.51176e-05
56 io_out[19] *286:12 7.00991e-05
57 io_out[33] *286:48 7.00732e-05
58 la1_data_out[30] *286:48 0.00021725
59 *4085:A *4094:A 0
60 *4092:A *286:11 6.80927e-05
61 *4701:A *286:35 0.000894704
62 *4717:A *286:48 3.63512e-05
63 *272:54 *286:48 0.000112199
64 *273:52 *286:48 0.00461318
65 *277:8 *286:35 0.000167076
66 *283:20 *286:11 5.10995e-05
67 *283:22 *286:11 5.00609e-05
68 *284:9 *286:11 0.00116744
69 *284:31 *4094:A 0.000106053
*RES
1 *4092:X *286:5 9.82786
2 *286:5 *286:11 34.0869
3 *286:11 *286:12 45.4335
4 *286:12 *286:16 48.2725
5 *286:16 *4096:A 9.24915
6 *286:12 *4093:A 13.7491
7 *286:11 *4095:A 15.8893
8 *286:5 *286:35 128.837
9 *286:35 *4097:A 11.5158
10 *286:35 *286:47 6.88721
11 *286:47 *286:48 92.7722
12 *286:48 *4094:A 20.5973
*END
*D_NET *287 0.0326368
*CONN
*I *4101:A I *D sky130_fd_sc_hd__inv_2
*I *4102:A I *D sky130_fd_sc_hd__inv_2
*I *4103:A I *D sky130_fd_sc_hd__inv_2
*I *4099:A I *D sky130_fd_sc_hd__inv_2
*I *4100:A I *D sky130_fd_sc_hd__inv_2
*I *4098:X O *D sky130_fd_sc_hd__buf_4
*CAP
1 *4101:A 0
2 *4102:A 0.0010635
3 *4103:A 3.89636e-05
4 *4099:A 6.4286e-05
5 *4100:A 0
6 *4098:X 0
7 *287:62 0.00126645
8 *287:52 0.00196807
9 *287:51 0.00193788
10 *287:42 0.000493472
11 *287:31 0.00120526
12 *287:26 0.00291788
13 *287:25 0.00205865
14 *287:19 0.00170692
15 *287:12 0.00307395
16 *287:10 0.00190708
17 *287:4 0.000540044
18 *4102:A *4622:TE_B 6.50727e-05
19 *287:10 *292:8 0.000547737
20 *287:12 *4133:A 0.000521085
21 *287:12 *292:8 0.0013991
22 *287:12 *710:8 0.000556019
23 *287:19 *4606:TE_B 0
24 *287:19 *4611:A 0
25 *287:19 *4611:TE_B 0.000127179
26 *287:19 *4620:A 3.58457e-05
27 *287:19 *4620:TE_B 4.27003e-05
28 *287:19 *4661:A 0
29 *287:19 *4693:A 0.000172513
30 *287:26 *4632:A 5.01715e-05
31 *287:26 *4632:TE_B 7.14746e-05
32 *287:26 *288:8 0
33 *287:42 *292:12 0.00062416
34 *287:52 *4598:TE_B 0.000101148
35 *287:52 *4613:A 5.21759e-05
36 *287:52 *292:12 0
37 *287:52 *292:18 0
38 *287:62 *4651:A 0.000205332
39 *287:62 *4651:TE_B 3.89332e-06
40 *287:62 *4671:A 0.000189343
41 io_oeb[21] *287:19 0
42 io_out[32] *287:12 0
43 io_out[7] *287:42 0
44 la1_data_out[13] *287:19 0
45 la1_data_out[27] *287:19 0
46 la1_data_out[3] *287:52 9.61192e-05
47 *4008:A *287:52 0
48 *4043:A *4102:A 0.000380115
49 *4045:A *287:12 0.00112473
50 *4059:A *287:26 4.51062e-05
51 *4708:A *287:12 2.60879e-06
52 *45:8 *287:26 0
53 *154:8 *287:52 0
54 *175:11 *287:52 0
55 *175:11 *287:62 0
56 *177:9 *287:52 0.000217845
57 *177:9 *287:62 0.00037492
58 *276:49 *4102:A 0.000521763
59 *282:69 *287:10 0.000239317
60 *282:69 *287:12 0.00185648
61 *284:9 *287:10 0.000538827
62 *284:18 *287:26 0.00132859
63 *284:24 *287:42 0
64 *284:24 *287:52 0.000638538
65 *286:11 *287:10 0.000264499
*RES
1 *4098:X *287:4 9.24915
2 *287:4 *287:10 26.946
3 *287:10 *287:12 71.3501
4 *287:12 *287:19 49.2523
5 *287:19 *4100:A 9.24915
6 *287:4 *287:25 4.5
7 *287:25 *287:26 58.7215
8 *287:26 *287:31 17.3041
9 *287:31 *4099:A 10.5271
10 *287:31 *287:42 19.5523
11 *287:42 *4103:A 9.97254
12 *287:42 *287:51 8.55102
13 *287:51 *287:52 51.8699
14 *287:52 *287:62 14.405
15 *287:62 *4102:A 39.0289
16 *287:62 *4101:A 9.24915
*END
*D_NET *288 0.0410077
*CONN
*I *4109:A I *D sky130_fd_sc_hd__inv_2
*I *4105:A I *D sky130_fd_sc_hd__inv_2
*I *4107:A I *D sky130_fd_sc_hd__inv_2
*I *4106:A I *D sky130_fd_sc_hd__inv_2
*I *4108:A I *D sky130_fd_sc_hd__inv_2
*I *4104:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4109:A 0.000575975
2 *4105:A 0.000191467
3 *4107:A 0
4 *4106:A 0.000119105
5 *4108:A 0.000967225
6 *4104:X 0
7 *288:75 0.00567791
8 *288:74 0.00510194
9 *288:65 0.00167732
10 *288:64 0.00170812
11 *288:45 0.000171357
12 *288:44 0.00115018
13 *288:40 0.00215028
14 *288:30 0.00324698
15 *288:26 0.00366259
16 *288:22 0.00204811
17 *288:8 0.00208275
18 *288:4 0.000757655
19 *4105:A *4674:TE_B 6.92705e-05
20 *4106:A *289:56 0.000183759
21 *4109:A *4682:TE_B 0.000587456
22 *4109:A *4697:TE_B 5.2472e-05
23 *4109:A *289:19 0.000125129
24 *288:30 *4385:B 6.08467e-05
25 *288:40 *4282:A0 7.50872e-05
26 *288:40 *4282:A1 0.00011818
27 *288:40 *4283:B 0
28 *288:40 *4290:A2 1.41976e-05
29 *288:40 *4360:A 0.000207266
30 *288:40 *4360:C 6.89596e-05
31 *288:40 *4449:CLK 0.000328363
32 *288:40 *421:43 9.98029e-06
33 *288:40 *645:112 0.000284899
34 *288:40 *680:30 5.04879e-05
35 *288:44 *4290:A2 0.000226516
36 *288:44 *4291:B1 4.65396e-05
37 *288:45 *289:56 6.80864e-05
38 *288:64 *289:56 3.14544e-05
39 *288:65 *4612:A 0.000115874
40 *288:65 *4617:A 0.000115874
41 *288:65 *4648:A 0
42 *288:65 *4683:A 3.58185e-05
43 *288:65 *4683:TE_B 0
44 *288:65 *289:56 0
45 *288:65 *633:8 0.00241068
46 *288:75 *4684:A 0
47 *288:75 *4697:TE_B 0
48 io_oeb[34] *4109:A 0.00011818
49 *4032:A *288:65 0
50 *4049:A *288:44 0.000264586
51 *4089:A *288:75 0
52 *4483:D *288:30 1.87611e-05
53 *79:8 *288:65 0.000333644
54 *272:38 *288:65 0
55 *273:43 *288:44 0.000283826
56 *273:43 *288:64 0.000113968
57 *273:51 *288:64 9.80747e-05
58 *274:43 *288:65 0
59 *278:5 *288:44 6.50727e-05
60 *278:13 *288:40 3.61993e-05
61 *278:13 *288:44 5.41902e-05
62 *278:29 *288:22 0.00123408
63 *278:29 *288:26 0.000610536
64 *278:77 *288:44 0.000201774
65 *280:8 *288:44 0.000160617
66 *281:11 *4106:A 0.000402023
67 *281:11 *288:45 0.0001425
68 *281:11 *288:64 7.15724e-05
69 *281:36 *288:65 0
70 *284:18 *4108:A 0
71 *284:18 *288:8 0
72 *285:6 *4108:A 0
73 *285:6 *288:8 0
74 *285:37 *288:8 0
75 *285:37 *288:75 0
76 *286:48 *288:65 0.000221992
77 *287:26 *288:8 0
*RES
1 *4104:X *288:4 9.24915
2 *288:4 *288:8 21.8679
3 *288:8 *4108:A 36.6771
4 *288:8 *288:22 19.1005
5 *288:22 *288:26 42.8853
6 *288:26 *288:30 40.679
7 *288:30 *288:40 32.1293
8 *288:40 *288:44 29.0714
9 *288:44 *288:45 2.6625
10 *288:45 *4106:A 20.5642
11 *288:45 *4107:A 13.7491
12 *288:44 *288:64 15.0224
13 *288:64 *288:65 56.23
14 *288:65 *4105:A 18.3548
15 *288:4 *288:74 4.5
16 *288:74 *288:75 127.653
17 *288:75 *4109:A 31.5447
*END
*D_NET *289 0.0653242
*CONN
*I *4112:A I *D sky130_fd_sc_hd__inv_2
*I *4111:A I *D sky130_fd_sc_hd__inv_2
*I *4115:A I *D sky130_fd_sc_hd__inv_2
*I *4114:A I *D sky130_fd_sc_hd__inv_2
*I *4113:A I *D sky130_fd_sc_hd__inv_2
*I *4110:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4112:A 0.000470196
2 *4111:A 4.26621e-06
3 *4115:A 0
4 *4114:A 3.5247e-05
5 *4113:A 0
6 *4110:X 0
7 *289:84 0.0028177
8 *289:81 0.00495736
9 *289:76 0.00310259
10 *289:71 0.00230939
11 *289:69 0.00184243
12 *289:60 0.000296408
13 *289:56 0.00250083
14 *289:55 0.00231789
15 *289:47 0.00272087
16 *289:39 0.00334511
17 *289:30 0.00209638
18 *289:29 0.00145935
19 *289:19 0.00356105
20 *289:17 0.00407179
21 *289:8 0.000545984
22 *289:6 0.00234566
23 *289:5 0.00234566
24 *289:4 7.06317e-05
25 *4112:A *4653:A 0.000177737
26 *4112:A *4653:TE_B 7.41833e-06
27 *289:6 *4441:CLK 0
28 *289:6 *4681:A 0.000172691
29 *289:6 *4681:TE_B 9.96342e-05
30 *289:6 *291:10 0.000122083
31 *289:6 *722:12 0
32 *289:17 *4124:A 0.000237505
33 *289:19 *4686:TE_B 6.50586e-05
34 *289:19 *4697:TE_B 0.000330596
35 *289:29 *710:39 0.000260374
36 *289:30 *4146:A 0.000349916
37 *289:30 *4146:C 5.01524e-05
38 *289:30 *4230:A 0
39 *289:30 *4280:A 0.000257414
40 *289:30 *4441:CLK 2.24484e-05
41 *289:30 *302:6 0.00026818
42 *289:30 *646:8 0
43 *289:30 *646:94 0
44 *289:30 *646:130 0.000750803
45 *289:39 *4187:A 0.000167344
46 *289:39 *4376:B1 0
47 *289:39 *4446:CLK 0.000114523
48 *289:39 *697:10 3.14978e-05
49 *289:47 *4376:A1 0.00041527
50 *289:47 *4376:A2 1.38625e-05
51 *289:47 *4376:B1 3.76578e-05
52 *289:47 *4384:A2 9.69669e-06
53 *289:47 *343:5 0.0002646
54 *289:56 *4599:A 0.000230361
55 *289:56 *4599:TE_B 0.000130777
56 *289:56 *4603:TE_B 0.000101133
57 *289:56 *4609:TE_B 0.000295279
58 *289:56 *4617:A 7.86987e-05
59 *289:56 *4617:TE_B 0.000127164
60 *289:56 *751:11 7.50872e-05
61 *289:56 *755:12 0.000408123
62 *289:60 *4619:A 0.000198084
63 *289:60 *4619:TE_B 9.8511e-05
64 *289:60 *4679:TE_B 0.000101133
65 *289:71 *4597:A 0.000120544
66 *289:71 *4619:A 0.000408782
67 *289:71 *4643:A 0.000120685
68 *289:71 *4643:TE_B 2.99646e-05
69 *289:71 *4654:A 5.19205e-05
70 *289:71 *4654:TE_B 9.34919e-05
71 *289:71 *4691:A 0.000179286
72 *289:76 *4694:TE_B 0.000145353
73 *289:81 *4126:A 0
74 *289:81 *4604:A 7.14746e-05
75 *289:81 *4647:TE_B 0.000430992
76 *289:81 *4649:A 0.000139764
77 *289:81 *795:10 0
78 io_oeb[18] *289:6 0
79 io_out[13] *289:71 0
80 io_out[33] *289:56 1.64943e-05
81 la1_data_out[22] *289:17 0.000358647
82 la1_data_out[28] *289:71 4.87595e-05
83 la1_data_out[30] *289:56 6.21908e-05
84 *4004:A *289:6 7.15484e-05
85 *4006:A *289:81 0.000147325
86 *4052:A *289:17 0.000421982
87 *4077:A *289:76 6.50727e-05
88 *4106:A *289:56 0.000183759
89 *4109:A *289:19 0.000125129
90 *4440:D *289:30 0
91 *4445:D *289:30 4.27148e-05
92 *4446:D *289:39 6.67095e-06
93 *4717:A *289:56 3.98267e-05
94 *267:32 *289:6 4.01437e-05
95 *268:8 *289:6 0.000100939
96 *268:8 *289:30 0.000760528
97 *269:13 *289:81 0.000260548
98 *270:49 *289:56 0.000285676
99 *270:49 *289:60 0
100 *272:54 *289:71 0
101 *273:21 *289:29 3.9504e-05
102 *275:35 *4112:A 0.000550796
103 *275:35 *289:84 0.00402377
104 *276:18 *289:56 0.000111445
105 *276:18 *289:60 7.86825e-06
106 *276:22 *4111:A 1.1573e-05
107 *276:22 *289:60 0.000169317
108 *276:22 *289:71 0.000492328
109 *276:27 *289:71 0.000269151
110 *278:93 *4112:A 0.000185744
111 *278:93 *289:84 0.000362043
112 *279:75 *289:17 0.00335186
113 *279:75 *289:19 0.000466128
114 *281:11 *289:56 0.000384279
115 *281:22 *289:56 0
116 *281:36 *289:56 0.000317327
117 *282:24 *289:56 0
118 *282:36 *289:71 0
119 *286:48 *289:56 0
120 *286:48 *289:60 1.23088e-05
121 *286:48 *289:71 0.000351471
122 *288:45 *289:56 6.80864e-05
123 *288:64 *289:56 3.14544e-05
124 *288:65 *289:56 0
*RES
1 *4110:X *289:4 9.24915
2 *289:4 *289:5 4.5
3 *289:5 *289:6 62.4588
4 *289:6 *289:8 4.5
5 *289:8 *4113:A 9.24915
6 *289:8 *289:17 37.3634
7 *289:17 *289:19 55.3519
8 *289:19 *4114:A 10.2378
9 *289:4 *289:29 7.44181
10 *289:29 *289:30 52.908
11 *289:30 *289:39 20.1254
12 *289:39 *289:47 41.9811
13 *289:47 *4115:A 9.24915
14 *289:47 *289:55 6.3326
15 *289:55 *289:56 76.3698
16 *289:56 *289:60 10.8698
17 *289:60 *4111:A 14.1278
18 *289:60 *289:69 0.732798
19 *289:69 *289:71 59.7597
20 *289:71 *289:76 16.6169
21 *289:76 *289:81 46.8433
22 *289:81 *289:84 47.3733
23 *289:84 *4112:A 34.2544
*END
*D_NET *290 0.0428131
*CONN
*I *4121:A I *D sky130_fd_sc_hd__inv_2
*I *4118:A I *D sky130_fd_sc_hd__inv_2
*I *4120:A I *D sky130_fd_sc_hd__inv_2
*I *4119:A I *D sky130_fd_sc_hd__inv_2
*I *4117:A I *D sky130_fd_sc_hd__inv_2
*I *4116:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4121:A 0
2 *4118:A 0
3 *4120:A 0.000120518
4 *4119:A 0
5 *4117:A 0.00153872
6 *4116:X 7.52198e-06
7 *290:55 0.00180724
8 *290:49 0.00305817
9 *290:48 0.0125572
10 *290:26 0.00108239
11 *290:15 0.00267592
12 *290:12 0.00393499
13 *290:8 0.011459
14 *290:8 *291:12 2.02035e-05
15 *290:48 *302:6 0.000112451
16 *290:48 *309:10 0.000202071
17 *290:48 *318:19 0.00010069
18 *290:48 *339:30 0.000284619
19 *4037:A *290:49 0.00264786
20 *268:8 *290:8 2.02035e-05
21 *268:8 *290:48 0.000355171
22 *268:12 *290:48 0.000663463
23 *285:40 *4120:A 0.000164674
*RES
1 *4116:X *290:8 17.4965
2 *290:8 *290:12 49.2562
3 *290:12 *290:15 8.55102
4 *290:15 *4117:A 45.4672
5 *290:15 *290:26 32.8404
6 *290:26 *4119:A 9.24915
7 *290:26 *4120:A 13.3002
8 *290:8 *290:48 32.7329
9 *290:48 *290:49 85.0231
10 *290:49 *4118:A 9.24915
11 *290:48 *290:55 42.3187
12 *290:55 *4121:A 9.24915
*END
*D_NET *291 0.0475502
*CONN
*I *4124:A I *D sky130_fd_sc_hd__inv_2
*I *4127:A I *D sky130_fd_sc_hd__inv_2
*I *4123:A I *D sky130_fd_sc_hd__inv_2
*I *4125:A I *D sky130_fd_sc_hd__inv_2
*I *4126:A I *D sky130_fd_sc_hd__inv_2
*I *4122:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4124:A 0.00158872
2 *4127:A 0
3 *4123:A 0.000238351
4 *4125:A 0.000494229
5 *4126:A 0.0005625
6 *4122:X 0
7 *291:86 0.00244028
8 *291:67 0.00210491
9 *291:44 0.00197193
10 *291:43 0.00171605
11 *291:41 0.00220125
12 *291:40 0.00220125
13 *291:38 0.00250393
14 *291:12 0.00687388
15 *291:10 0.00645899
16 *291:4 0.00139819
17 *4125:A *4640:A 0.000424688
18 *4125:A *4648:TE_B 0.000104731
19 *4126:A *4604:A 5.87891e-05
20 *4126:A *546:8 7.34948e-06
21 *291:12 *4145:B 0
22 *291:12 *4146:B 0.000306565
23 *291:12 *4146:D 3.63743e-05
24 *291:12 *4151:A1 0.000310094
25 *291:12 *4151:A2 0.000177912
26 *291:12 *4151:B2 0
27 *291:12 *4156:C1 0.000125695
28 *291:12 *4180:A 0
29 *291:12 *4181:A2 0.000148129
30 *291:12 *4182:B 0.000278373
31 *291:12 *4182:D 0.000249486
32 *291:12 *4317:S 0
33 *291:12 *4320:A0 0
34 *291:12 *4336:A0 0
35 *291:12 *4388:A2 0.000146953
36 *291:12 *4421:B 0.000123582
37 *291:12 *4430:A 0.000101148
38 *291:12 *4434:A2 0
39 *291:12 *297:8 0.000139435
40 *291:12 *307:11 0
41 *291:12 *309:10 0.000361651
42 *291:12 *318:6 0.000141845
43 *291:12 *318:19 0.000901927
44 *291:12 *331:10 0.00015321
45 *291:12 *339:30 0.000483651
46 *291:12 *442:43 0
47 *291:12 *528:18 0
48 *291:12 *597:8 0
49 *291:12 *646:105 0.000424456
50 *291:12 *646:118 0.000386202
51 *291:12 *649:17 0.00027273
52 *291:12 *702:12 0
53 *291:12 *703:8 0
54 *291:12 *708:17 0
55 *291:12 *722:12 0
56 *291:12 *762:8 0
57 *291:38 *4268:A 0
58 *291:38 *4268:B 0.000349931
59 *291:38 *4441:CLK 0
60 *291:41 *4601:A 6.76624e-05
61 *291:44 *4629:TE_B 4.27003e-05
62 *291:44 *4640:A 2.98763e-05
63 *291:44 *4640:TE_B 0.000127164
64 *291:44 *4706:A 0.000148129
65 *291:86 *4650:TE_B 6.97354e-05
66 *291:86 *4681:A 7.14746e-05
67 *291:86 *722:12 0
68 io_out[4] *291:44 1.1573e-05
69 la1_data_out[11] *291:12 3.5534e-06
70 la1_data_out[22] *4124:A 0.00080051
71 *4030:A *291:44 0
72 *4052:A *4124:A 0.000855226
73 *4128:A *291:12 4.11983e-05
74 *4134:A *291:12 0
75 *4488:D *291:12 9.96342e-05
76 *4711:A *4125:A 0.000308302
77 *267:14 *291:10 0
78 *267:14 *291:12 4.61405e-05
79 *267:32 *291:10 0
80 *268:8 *291:10 0.000182101
81 *268:8 *291:12 0.000846524
82 *268:12 *291:12 0
83 *274:10 *291:44 0.000120344
84 *274:43 *291:44 0
85 *275:35 *4126:A 0.000797786
86 *275:66 *4123:A 0.000368782
87 *275:66 *291:44 0.00185822
88 *275:78 *291:67 2.57986e-05
89 *275:78 *291:86 2.57847e-05
90 *276:18 *291:44 2.27135e-05
91 *276:42 *4126:A 4.74019e-05
92 *279:59 *4125:A 0.000605261
93 *279:59 *291:44 0.00013818
94 *279:63 *291:44 0
95 *279:72 *4123:A 0
96 *279:72 *291:44 0
97 *285:23 *4126:A 0.000291214
98 *285:40 *291:86 0.000148071
99 *289:6 *291:10 0.000122083
100 *289:17 *4124:A 0.000237505
101 *289:81 *4126:A 0
102 *290:8 *291:12 2.02035e-05
*RES
1 *4122:X *291:4 9.24915
2 *291:4 *291:10 9.24157
3 *291:10 *291:12 192.64
4 *291:12 *4126:A 40.9994
5 *291:4 *291:38 36.6933
6 *291:38 *291:40 4.5
7 *291:40 *291:41 48.4193
8 *291:41 *291:43 4.5
9 *291:43 *291:44 51.1371
10 *291:44 *4125:A 37.1865
11 *291:43 *4123:A 21.4269
12 *291:38 *291:67 34.462
13 *291:67 *4127:A 9.24915
14 *291:67 *291:86 34.0848
15 *291:86 *4124:A 37.5822
*END
*D_NET *292 0.0522955
*CONN
*I *4130:A I *D sky130_fd_sc_hd__inv_2
*I *4133:A I *D sky130_fd_sc_hd__inv_2
*I *4132:A I *D sky130_fd_sc_hd__inv_2
*I *4129:A I *D sky130_fd_sc_hd__inv_2
*I *4131:A I *D sky130_fd_sc_hd__inv_2
*I *4128:X O *D sky130_fd_sc_hd__buf_6
*CAP
1 *4130:A 0
2 *4133:A 0.000594051
3 *4132:A 3.89636e-05
4 *4129:A 4.11783e-05
5 *4131:A 9.75037e-05
6 *4128:X 0
7 *292:67 0.0022291
8 *292:66 0.00247951
9 *292:27 0.00440707
10 *292:25 0.00470989
11 *292:18 0.00197391
12 *292:12 0.0025692
13 *292:8 0.00407144
14 *292:7 0.00366767
15 *292:5 0.00227969
16 *292:4 0.00253011
17 *4129:A *4651:TE_B 5.0715e-05
18 *4133:A *4594:A 0
19 *4133:A *536:16 0
20 *4133:A *710:5 1.82679e-05
21 *4133:A *710:17 5.67857e-05
22 *292:8 *4646:TE_B 0
23 *292:8 *4668:TE_B 0
24 *292:8 *4677:TE_B 0.000179286
25 *292:8 *536:16 0
26 *292:12 *4656:TE_B 4.40664e-05
27 *292:18 *4596:A 0
28 *292:18 *4596:TE_B 3.58321e-05
29 *292:18 *4600:TE_B 0
30 *292:18 *536:10 0.00018786
31 *292:18 *536:14 0
32 *292:25 *4618:A 9.6321e-06
33 *292:25 *4618:TE_B 9.60216e-05
34 *292:66 *4260:B2 0.000311249
35 *292:67 *4263:B2 4.8478e-05
36 *292:67 *4265:B1 0.000205332
37 *292:67 *4267:A2 1.2693e-05
38 *292:67 *4268:A 0
39 *292:67 *4272:A2 0
40 *292:67 *4652:A 4.34143e-05
41 *292:67 *341:8 3.55296e-05
42 *292:67 *341:21 9.9852e-05
43 *292:67 *348:59 0.00108309
44 *292:67 *407:8 0.000144614
45 *292:67 *407:10 7.45404e-05
46 *292:67 *407:42 0.000344288
47 *292:67 *412:10 0
48 io_oeb[10] *292:12 7.00991e-05
49 io_oeb[17] *292:27 0.000110136
50 io_oeb[36] *292:18 4.51176e-05
51 io_oeb[37] *292:12 1.93781e-05
52 io_oeb[9] *292:27 0.000113302
53 io_out[19] *292:8 7.00991e-05
54 io_out[21] *292:8 7.00732e-05
55 io_out[26] *292:18 0
56 io_out[28] *4131:A 0
57 io_out[31] *292:12 2.02035e-05
58 io_out[3] *4133:A 1.33977e-05
59 io_out[3] *292:8 5.22654e-06
60 io_out[7] *292:12 0
61 la1_data_out[0] *292:27 3.33771e-05
62 *4008:A *292:18 0.000394367
63 *4095:A *292:8 3.63743e-05
64 *4128:A *292:5 6.50727e-05
65 *4708:A *4133:A 3.5534e-06
66 *4713:A *292:8 0
67 *175:11 *292:25 9.19886e-06
68 *175:11 *292:27 1.22567e-05
69 *177:9 *292:18 0
70 *177:9 *292:25 0.000383717
71 *276:76 *292:5 0.00935792
72 *276:76 *292:66 0.00101667
73 *282:69 *292:8 0.000605925
74 *284:24 *292:12 0
75 *286:12 *292:8 0.00117203
76 *286:16 *292:8 0.000109265
77 *286:16 *292:12 0.000509017
78 *286:16 *292:18 0.00018678
79 *287:10 *292:8 0.000547737
80 *287:12 *4133:A 0.000521085
81 *287:12 *292:8 0.0013991
82 *287:42 *292:12 0.00062416
83 *287:52 *292:12 0
84 *287:52 *292:18 0
*RES
1 *4128:X *292:4 9.24915
2 *292:4 *292:5 100.552
3 *292:5 *292:7 4.5
4 *292:7 *292:8 110.616
5 *292:8 *292:12 34.1362
6 *292:12 *292:18 43.8457
7 *292:18 *292:25 16.0841
8 *292:25 *292:27 62.839
9 *292:27 *4131:A 20.4964
10 *292:18 *4129:A 15.0271
11 *292:12 *4132:A 14.4725
12 *292:7 *4133:A 31.7478
13 *292:4 *292:66 15.7609
14 *292:66 *292:67 66.1961
15 *292:67 *4130:A 13.7491
*END
*D_NET *293 0.0162466
*CONN
*I *4437:A I *D sky130_fd_sc_hd__nor2_1
*I *4290:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4349:A I *D sky130_fd_sc_hd__nor2_1
*I *4280:A I *D sky130_fd_sc_hd__nor3_1
*I *4191:A I *D sky130_fd_sc_hd__nor2_1
*I *4137:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4437:A 4.17154e-05
2 *4290:B1 0
3 *4349:A 2.68487e-05
4 *4280:A 0.000784986
5 *4191:A 0
6 *4137:X 0
7 *293:53 0.00133477
8 *293:46 0.00243444
9 *293:35 0.00178822
10 *293:11 0.00200725
11 *293:4 0.00184225
12 *4280:A *4181:B1 3.20069e-06
13 *4280:A *4190:B1 8.83765e-05
14 *4280:A *4191:B 0.000195169
15 *4280:A *4320:A1 0.000294241
16 *4280:A *302:6 0.0002933
17 *4280:A *646:8 7.58217e-06
18 *4280:A *695:25 0
19 *4349:A *4349:B 1.64789e-05
20 *293:11 *4181:B1 0
21 *293:11 *4191:B 3.67528e-06
22 *293:11 *336:8 0
23 *293:11 *350:18 0.000261566
24 *293:35 *4137:A 3.6455e-05
25 *293:35 *4348:A2 2.2765e-05
26 *293:35 *4349:B 0.000246212
27 *293:46 *4290:A1 5.22654e-06
28 *293:46 *4348:A2 5.20546e-06
29 *293:46 *4676:A 0.000269565
30 *293:46 *421:20 0.000219772
31 *293:46 *618:11 1.43848e-05
32 *293:46 *682:8 0
33 *293:46 *683:18 0.000212854
34 *293:53 *4290:A1 0.000409743
35 *293:53 *647:75 0
36 *293:53 *647:77 0
37 *293:53 *647:79 0
38 *293:53 *660:14 0
39 *4075:A *293:53 4.31485e-06
40 *4446:D *4280:A 7.90257e-05
41 *4470:D *293:35 0.000160617
42 *4473:D *293:46 6.08467e-05
43 *4477:D *293:53 0
44 *4478:D *293:53 0.000174175
45 *214:10 *293:53 0.000102067
46 *275:16 *293:53 0.0017031
47 *282:14 *293:46 0.000597253
48 *282:14 *293:53 0.000241497
49 *289:30 *4280:A 0.000257414
*RES
1 *4137:X *293:4 9.24915
2 *293:4 *293:11 38.0272
3 *293:11 *4191:A 9.24915
4 *293:11 *4280:A 46.5771
5 *293:4 *293:35 12.563
6 *293:35 *4349:A 10.2378
7 *293:35 *293:46 36.8506
8 *293:46 *4290:B1 13.7491
9 *293:46 *293:53 46.6792
10 *293:53 *4437:A 14.4725
*END
*D_NET *294 0.00616994
*CONN
*I *4139:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4351:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4426:A I *D sky130_fd_sc_hd__and3_1
*I *4422:A I *D sky130_fd_sc_hd__and2_1
*I *4387:A I *D sky130_fd_sc_hd__and3_1
*I *4138:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4139:A 2.97789e-05
2 *4351:A 0.000106764
3 *4426:A 3.39403e-05
4 *4422:A 0.000301987
5 *4387:A 0.000110418
6 *4138:X 0.000440462
7 *294:20 0.000566655
8 *294:18 0.000423857
9 *294:16 0.000659905
10 *294:7 0.00109464
11 *4139:A *295:5 1.43983e-05
12 *4351:A *470:10 1.31657e-05
13 *4387:A *4387:B 1.43983e-05
14 *4387:A *648:27 0.000373061
15 *4387:A *651:24 5.2472e-05
16 *4422:A *4426:C 0
17 *4422:A *499:8 0.000176772
18 *4422:A *528:8 0
19 *4426:A *4427:A 9.19886e-06
20 *294:7 *304:7 0.000211464
21 *294:16 *4182:A 0
22 *294:16 *4309:A 0
23 *294:16 *4390:B1 1.29348e-05
24 *294:16 *4391:B 7.03408e-05
25 *294:16 *295:18 0.000175674
26 *294:16 *295:29 0.000509813
27 *294:16 *295:40 0.000379553
28 *294:16 *307:11 0
29 *294:16 *441:6 0
30 *294:16 *441:35 0
31 *294:16 *648:27 0.000123582
32 *294:16 *651:15 2.64881e-05
33 *294:18 *4309:A 0
34 *294:18 *4388:B1 0
35 *294:18 *4391:B 6.63327e-05
36 *294:20 *4388:B1 0
37 *294:20 *4391:B 8.85525e-05
38 *294:20 *4428:B 0
39 *294:20 *499:8 8.33259e-05
*RES
1 *4138:X *294:7 18.8703
2 *294:7 *4387:A 13.8548
3 *294:7 *294:16 24.1878
4 *294:16 *294:18 2.6625
5 *294:18 *294:20 6.81502
6 *294:20 *4422:A 21.7122
7 *294:20 *4426:A 14.4725
8 *294:18 *4351:A 16.1364
9 *294:16 *4139:A 14.4725
*END
*D_NET *295 0.00998554
*CONN
*I *4190:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4400:A I *D sky130_fd_sc_hd__nand2_1
*I *4390:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4403:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4408:A I *D sky130_fd_sc_hd__nand2_1
*I *4139:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4190:A1 0.000948915
2 *4400:A 0.000151124
3 *4390:A1 0
4 *4403:A1 0.000395096
5 *4408:A 0.000333986
6 *4139:X 0.000124322
7 *295:40 0.00151215
8 *295:29 0.000546156
9 *295:18 0.000580393
10 *295:5 0.000509559
11 *4190:A1 *4143:B 0.000106215
12 *4190:A1 *4148:A 3.31882e-05
13 *4190:A1 *4191:B 0.000107496
14 *4190:A1 *4399:A 0.000355012
15 *4190:A1 *4399:C 0
16 *4190:A1 *297:8 8.12759e-05
17 *4190:A1 *339:30 0.000213468
18 *4190:A1 *441:35 0
19 *4190:A1 *653:27 0.000536595
20 *4190:A1 *699:12 0
21 *4400:A *701:17 0.000295161
22 *4408:A *4408:B 0.000118166
23 *4408:A *502:34 2.65667e-05
24 *295:18 *4391:B 0.000179271
25 *295:29 *4391:B 0.000504784
26 *295:40 *4148:A 2.65904e-05
27 *295:40 *4149:A 0
28 *295:40 *4390:A2 0.000153714
29 *295:40 *441:35 0.000105953
30 *295:40 *651:15 0
31 *295:40 *701:17 2.16355e-05
32 *4139:A *295:5 1.43983e-05
33 *278:21 *295:40 1.65872e-05
34 *286:35 *4400:A 0.000720945
35 *286:35 *295:40 0.000201774
36 *294:16 *295:18 0.000175674
37 *294:16 *295:29 0.000509813
38 *294:16 *295:40 0.000379553
*RES
1 *4139:X *295:5 11.0817
2 *295:5 *4408:A 17.6405
3 *295:5 *295:18 7.57775
4 *295:18 *4403:A1 21.6824
5 *295:18 *295:29 13.3913
6 *295:29 *4390:A1 9.24915
7 *295:29 *295:40 23.2175
8 *295:40 *4400:A 17.7611
9 *295:40 *4190:A1 47.0739
*END
*D_NET *296 0.00156115
*CONN
*I *4146:A I *D sky130_fd_sc_hd__or4_1
*I *4142:A I *D sky130_fd_sc_hd__nor2_1
*I *4140:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4146:A 0.000172251
2 *4142:A 0
3 *4140:X 0.000158362
4 *296:7 0.000330613
5 *4146:A *4146:C 1.07248e-05
6 *4146:A *4446:CLK 0.000113968
7 *4146:A *302:6 1.70077e-05
8 *296:7 *4142:B 6.08467e-05
9 *296:7 *4151:A1 2.16355e-05
10 *296:7 *4446:CLK 9.06081e-05
11 *296:7 *300:15 6.50727e-05
12 *268:8 *4146:A 0.000170139
13 *289:30 *4146:A 0.000349916
*RES
1 *4140:X *296:7 13.8789
2 *296:7 *4142:A 9.24915
3 *296:7 *4146:A 26.3422
*END
*D_NET *297 0.0016973
*CONN
*I *4146:B I *D sky130_fd_sc_hd__or4_1
*I *4142:B I *D sky130_fd_sc_hd__nor2_1
*I *4141:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4146:B 9.08273e-05
2 *4142:B 1.47608e-05
3 *4141:X 0.000226584
4 *297:8 0.000332173
5 *4142:B *4446:CLK 6.08467e-05
6 *4190:A1 *297:8 8.12759e-05
7 *268:8 *4146:B 0.000315191
8 *268:8 *297:8 4.10997e-05
9 *268:12 *297:8 2.7694e-05
10 *291:12 *4146:B 0.000306565
11 *291:12 *297:8 0.000139435
12 *296:7 *4142:B 6.08467e-05
*RES
1 *4141:X *297:8 18.7989
2 *297:8 *4142:B 14.4725
3 *297:8 *4146:B 19.3184
*END
*D_NET *298 0.000941712
*CONN
*I *4151:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4142:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4151:A1 0.000148137
2 *4142:Y 0.000148137
3 *4151:A1 *4151:A2 0.000313707
4 *291:12 *4151:A1 0.000310094
5 *296:7 *4151:A1 2.16355e-05
*RES
1 *4142:Y *4151:A1 33.791
*END
*D_NET *299 0.00189337
*CONN
*I *4146:D I *D sky130_fd_sc_hd__or4_1
*I *4151:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4143:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4146:D 0.000118693
2 *4151:A2 0.000353762
3 *4143:X 9.32565e-05
4 *299:7 0.000565711
5 *4146:D *4145:B 3.66465e-05
6 *4151:A2 *4141:B 4.7294e-05
7 *4151:A2 *4143:B 0
8 *4151:A2 *4145:B 5.19205e-05
9 *4151:A2 *4151:B2 1.79196e-05
10 *4151:A2 *300:15 8.01741e-05
11 *4151:A2 *441:35 0
12 *4151:A1 *4151:A2 0.000313707
13 *291:12 *4146:D 3.63743e-05
14 *291:12 *4151:A2 0.000177912
*RES
1 *4143:X *299:7 15.0271
2 *299:7 *4151:A2 26.3777
3 *299:7 *4146:D 16.9985
*END
*D_NET *300 0.00463602
*CONN
*I *4145:B I *D sky130_fd_sc_hd__and2b_1
*I *4395:A I *D sky130_fd_sc_hd__nand2_1
*I *4394:A I *D sky130_fd_sc_hd__or2_1
*I *4398:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4399:B I *D sky130_fd_sc_hd__and4_1
*I *4144:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4145:B 0.000246383
2 *4395:A 2.06324e-05
3 *4394:A 2.63861e-05
4 *4398:A1 4.98745e-05
5 *4399:B 0.000245142
6 *4144:X 3.73114e-05
7 *300:22 0.000386731
8 *300:21 0.000331531
9 *300:15 0.000503875
10 *300:7 0.000553506
11 *4145:B *4141:B 0.000167062
12 *4145:B *4146:C 5.20546e-06
13 *4145:B *703:8 0.00024873
14 *4394:A *4396:A 2.04806e-05
15 *4394:A *699:12 2.22342e-05
16 *4398:A1 *4398:A2 6.50727e-05
17 *4398:A1 *653:17 1.92172e-05
18 *4399:B *4396:A 0.000172498
19 *4399:B *4399:D 6.08467e-05
20 *4399:B *507:8 7.34948e-06
21 *4399:B *699:12 0.00016904
22 *300:7 *348:42 1.03403e-05
23 *300:15 *4141:B 0.000191541
24 *300:15 *4446:CLK 0.000395459
25 *300:21 *4395:B 0.000142276
26 *300:21 *4446:CLK 2.39581e-05
27 *300:21 *497:31 0.000113968
28 *300:22 *4396:A 8.04463e-05
29 *300:22 *699:12 8.51085e-05
30 *4146:D *4145:B 3.66465e-05
31 *4151:A2 *4145:B 5.19205e-05
32 *4151:A2 *300:15 8.01741e-05
33 *291:12 *4145:B 0
34 *296:7 *300:15 6.50727e-05
*RES
1 *4144:X *300:7 14.4725
2 *300:7 *300:15 15.0099
3 *300:15 *300:21 10.7935
4 *300:21 *300:22 3.493
5 *300:22 *4399:B 22.5361
6 *300:22 *4398:A1 15.0271
7 *300:21 *4394:A 14.7506
8 *300:15 *4395:A 9.82786
9 *300:7 *4145:B 22.2574
*END
*D_NET *301 0.000971243
*CONN
*I *4146:C I *D sky130_fd_sc_hd__or4_1
*I *4145:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4146:C 0.000388998
2 *4145:X 0.000388998
3 *4145:B *4146:C 5.20546e-06
4 *4146:A *4146:C 1.07248e-05
5 *268:8 *4146:C 0.000127164
6 *289:30 *4146:C 5.01524e-05
*RES
1 *4145:X *4146:C 34.3512
*END
*D_NET *302 0.0044392
*CONN
*I *4151:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4181:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4146:X O *D sky130_fd_sc_hd__or4_1
*CAP
1 *4151:B1 0.000348083
2 *4181:B1 0.000542775
3 *4146:X 0.000289084
4 *302:6 0.00117994
5 *4151:B1 *307:11 1.21461e-06
6 *4181:B1 *4181:A1 0.000205101
7 *4181:B1 *4191:B 7.56859e-06
8 *4181:B1 *4385:A 8.62625e-06
9 *4181:B1 *336:8 0.00055178
10 *4146:A *302:6 1.70077e-05
11 *4280:A *4181:B1 3.20069e-06
12 *4280:A *302:6 0.0002933
13 *268:12 *4181:B1 0.000470426
14 *268:12 *302:6 0.000140462
15 *289:30 *302:6 0.00026818
16 *290:48 *302:6 0.000112451
17 *293:11 *4181:B1 0
*RES
1 *4146:X *302:6 25.5472
2 *302:6 *4181:B1 34.1632
3 *302:6 *4151:B1 20.5973
*END
*D_NET *303 0.00145885
*CONN
*I *4150:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *4179:B I *D sky130_fd_sc_hd__and3_1
*I *4147:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *4150:A1 0.000151487
2 *4179:B 0.000156174
3 *4147:X 4.51847e-05
4 *303:7 0.000352846
5 *4150:A1 *4150:A2 7.23005e-05
6 *4150:A1 *4179:C 1.42919e-05
7 *4150:A1 *307:11 0.000336155
8 *4179:B *4179:C 5.66515e-05
9 *4179:B *307:11 0.000191541
10 *303:7 *648:9 2.65667e-05
11 *278:21 *4179:B 5.56461e-05
*RES
1 *4147:X *303:7 14.4725
2 *303:7 *4179:B 18.5201
3 *303:7 *4150:A1 19.7337
*END
*D_NET *304 0.0020984
*CONN
*I *4150:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *4179:C I *D sky130_fd_sc_hd__and3_1
*I *4148:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *4150:A2 0.000155076
2 *4179:C 0.000194811
3 *4148:X 0.000140779
4 *304:7 0.000490666
5 *4150:A2 *4150:B1_N 0.000168705
6 *4150:A2 *4179:A 0.000187997
7 *4150:A2 *441:35 1.2693e-05
8 *4179:C *4179:A 0.000362186
9 *4179:C *307:11 0
10 *4150:A1 *4150:A2 7.23005e-05
11 *4150:A1 *4179:C 1.42919e-05
12 *4179:B *4179:C 5.66515e-05
13 *278:21 *4179:C 3.07828e-05
14 *294:7 *304:7 0.000211464
*RES
1 *4148:X *304:7 16.1364
2 *304:7 *4179:C 20.9688
3 *304:7 *4150:A2 19.7659
*END
*D_NET *305 0.00267745
*CONN
*I *4150:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *4179:A I *D sky130_fd_sc_hd__and3_1
*I *4149:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *4150:B1_N 0.000118081
2 *4179:A 0.000162406
3 *4149:X 7.65377e-05
4 *305:7 0.000357025
5 *4150:B1_N *4151:B2 7.92757e-06
6 *4150:B1_N *4180:A 0.00011818
7 *4150:B1_N *441:35 4.55115e-05
8 *4179:A *441:35 0.000550183
9 *4150:A2 *4150:B1_N 0.000168705
10 *4150:A2 *4179:A 0.000187997
11 *4179:C *4179:A 0.000362186
12 *286:35 *4150:B1_N 0.000411006
13 *286:35 *305:7 0.000111708
*RES
1 *4149:X *305:7 15.0271
2 *305:7 *4179:A 23.4709
3 *305:7 *4150:B1_N 19.3563
*END
*D_NET *306 0.000764332
*CONN
*I *4151:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4150:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *4151:B2 0.000231886
2 *4150:Y 0.000231886
3 *4151:B2 *4180:A 5.04829e-06
4 *4151:B2 *307:11 0.000269664
5 *4151:B2 *441:35 0
6 *4150:B1_N *4151:B2 7.92757e-06
7 *4151:A2 *4151:B2 1.79196e-05
8 *291:12 *4151:B2 0
*RES
1 *4150:Y *4151:B2 34.2062
*END
*D_NET *307 0.00364417
*CONN
*I *4174:A_N I *D sky130_fd_sc_hd__nand3b_1
*I *4151:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4174:A_N 0
2 *4151:X 0.000947824
3 *307:11 0.000947824
4 *307:11 *4180:A 0.000339753
5 *307:11 *4181:A2 0
6 *307:11 *4182:A 0.000492446
7 *307:11 *4182:B 0
8 *307:11 *4182:C 6.9773e-05
9 *307:11 *4309:A 0
10 *307:11 *329:12 1.77537e-06
11 *307:11 *441:35 0
12 *307:11 *751:27 4.61967e-05
13 *4150:A1 *307:11 0.000336155
14 *4151:B1 *307:11 1.21461e-06
15 *4151:B2 *307:11 0.000269664
16 *4179:B *307:11 0.000191541
17 *4179:C *307:11 0
18 *291:12 *307:11 0
19 *294:16 *307:11 0
*RES
1 *4151:X *307:11 49.8268
2 *307:11 *4174:A_N 9.24915
*END
*D_NET *308 0.00259442
*CONN
*I *4176:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4158:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4156:A1 I *D sky130_fd_sc_hd__a2111o_1
*I *4152:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4176:A1 5.62905e-05
2 *4158:A1 2.1308e-05
3 *4156:A1 4.70612e-05
4 *4152:Y 0.000239717
5 *308:17 0.000251453
6 *308:8 0.000460633
7 *4156:A1 *699:25 2.57365e-05
8 *4176:A1 *4176:A2 0.000112203
9 *4176:A1 *4176:B1 0.000304992
10 *308:8 *4158:B1 0
11 *308:8 *438:30 0
12 *308:17 *4176:A2 2.99287e-05
13 *308:17 *4176:B1 0.000367174
14 *308:17 *699:25 2.64576e-05
15 *308:17 *699:37 0.000190194
16 *268:12 *308:8 0.000461274
*RES
1 *4152:Y *308:8 26.3099
2 *308:8 *4156:A1 9.97254
3 *308:8 *308:17 7.40275
4 *308:17 *4158:A1 9.82786
5 *308:17 *4176:A1 12.7456
*END
*D_NET *309 0.00626595
*CONN
*I *4176:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4156:B1 I *D sky130_fd_sc_hd__a2111o_1
*I *4153:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4176:B1 0.000757259
2 *4156:B1 0
3 *4153:X 0.000736911
4 *309:10 0.00149417
5 *4176:B1 *4158:B1 2.03506e-05
6 *4176:B1 *4158:B2 4.69495e-06
7 *4176:B1 *4176:A2 4.80635e-06
8 *4176:B1 *312:10 0.000167625
9 *4176:B1 *699:25 4.56917e-05
10 *4176:B1 *699:37 0.000282572
11 *309:10 *4156:C1 0.000122098
12 *309:10 *4430:A 0.000101148
13 *309:10 *4434:A1 0.00042812
14 *309:10 *649:17 0.000283455
15 *4176:A1 *4176:B1 0.000304992
16 *268:12 *4176:B1 0
17 *268:12 *309:10 0.000581164
18 *290:48 *309:10 0.000202071
19 *291:12 *309:10 0.000361651
20 *308:17 *4176:B1 0.000367174
*RES
1 *4153:X *309:10 45.0341
2 *309:10 *4156:B1 9.24915
3 *309:10 *4176:B1 35.3207
*END
*D_NET *310 0.000870569
*CONN
*I *4156:C1 I *D sky130_fd_sc_hd__a2111o_1
*I *4154:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4156:C1 0.000276139
2 *4154:X 0.000276139
3 *4156:C1 *451:33 7.04973e-05
4 *291:12 *4156:C1 0.000125695
5 *309:10 *4156:C1 0.000122098
*RES
1 *4154:X *4156:C1 33.242
*END
*D_NET *311 0.000577605
*CONN
*I *4156:D1 I *D sky130_fd_sc_hd__a2111o_1
*I *4155:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4156:D1 0.000109048
2 *4155:X 0.000109048
3 *4156:D1 *4155:B 0.000111708
4 *4156:D1 *312:10 7.48633e-05
5 *4156:D1 *699:25 0.000172938
*RES
1 *4155:X *4156:D1 23.1039
*END
*D_NET *312 0.00158857
*CONN
*I *4177:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4162:A_N I *D sky130_fd_sc_hd__and4b_1
*I *4156:X O *D sky130_fd_sc_hd__a2111o_1
*CAP
1 *4177:C1 4.79623e-05
2 *4162:A_N 0
3 *4156:X 0.000358916
4 *312:10 0.000406878
5 *4177:C1 *4162:B 0.000280289
6 *4177:C1 *4162:C 0.000123176
7 *4177:C1 *4177:B1 0
8 *312:10 *314:8 0
9 *312:10 *699:25 6.7671e-06
10 *4156:D1 *312:10 7.48633e-05
11 *4176:B1 *312:10 0.000167625
12 *268:12 *312:10 0.000122098
*RES
1 *4156:X *312:10 25.102
2 *312:10 *4162:A_N 9.24915
3 *312:10 *4177:C1 12.7697
*END
*D_NET *313 0.000903912
*CONN
*I *4158:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4157:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4158:B2 0.000343256
2 *4157:Y 0.000343256
3 *4158:B2 *4158:B1 3.85154e-05
4 *4158:B2 *314:8 0.00017419
5 *4158:B2 *316:11 0
6 *4176:B1 *4158:B2 4.69495e-06
7 *268:12 *4158:B2 0
*RES
1 *4157:Y *4158:B2 34.9484
*END
*D_NET *314 0.00125246
*CONN
*I *4177:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4162:B I *D sky130_fd_sc_hd__and4b_1
*I *4158:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4177:A2 7.53537e-05
2 *4162:B 0.000185793
3 *4158:X 9.4873e-05
4 *314:8 0.00035602
5 *4162:B *4162:C 4.41134e-05
6 *4177:A2 *4162:C 5.51483e-06
7 *4177:A2 *4177:B1 1.05106e-05
8 *4177:A2 *316:11 2.57986e-05
9 *4158:B2 *314:8 0.00017419
10 *4177:C1 *4162:B 0.000280289
11 *268:12 *314:8 0
12 *312:10 *314:8 0
*RES
1 *4158:X *314:8 21.3269
2 *314:8 *4162:B 14.4094
3 *314:8 *4177:A2 11.1059
*END
*D_NET *315 0.00182944
*CONN
*I *4160:A I *D sky130_fd_sc_hd__or2_1
*I *4434:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *4159:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4160:A 0.000106771
2 *4434:A1 0.000373274
3 *4159:Y 6.06004e-05
4 *315:8 0.000540646
5 *4160:A *316:11 0.000117376
6 *4434:A1 *4153:B 3.64415e-05
7 *4434:A1 *4434:A2 6.50586e-05
8 *315:8 *438:30 0.000101148
9 *309:10 *4434:A1 0.00042812
*RES
1 *4159:Y *315:8 20.0811
2 *315:8 *4434:A1 19.4008
3 *315:8 *4160:A 12.191
*END
*D_NET *316 0.00422967
*CONN
*I *4177:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4162:C I *D sky130_fd_sc_hd__and4b_1
*I *4160:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4177:B1 1.56811e-05
2 *4162:C 0.000292343
3 *4160:X 0.00115103
4 *316:11 0.00145905
5 *316:11 *4154:A_N 0.000324902
6 *316:11 *4177:A1 0.000216458
7 *316:11 *4339:A0 0
8 *316:11 *4340:B 0.000151741
9 *316:11 *4341:A 0.000153453
10 *316:11 *438:8 0
11 *316:11 *438:30 0
12 *316:11 *451:27 0
13 *4158:B2 *316:11 0
14 *4160:A *316:11 0.000117376
15 *4162:B *4162:C 4.41134e-05
16 *4177:A2 *4162:C 5.51483e-06
17 *4177:A2 *4177:B1 1.05106e-05
18 *4177:A2 *316:11 2.57986e-05
19 *4177:C1 *4162:C 0.000123176
20 *4177:C1 *4177:B1 0
21 *4467:D *316:11 0.000138523
*RES
1 *4160:X *316:11 49.0795
2 *316:11 *4162:C 16.676
3 *316:11 *4177:B1 9.82786
*END
*D_NET *317 0.000371667
*CONN
*I *4162:D I *D sky130_fd_sc_hd__and4b_1
*I *4161:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *4162:D 0.000175016
2 *4161:X 0.000175016
3 *4162:D *4161:A 2.16355e-05
*RES
1 *4161:X *4162:D 22.3986
*END
*D_NET *318 0.00505005
*CONN
*I *4182:B I *D sky130_fd_sc_hd__and4_1
*I *4174:B I *D sky130_fd_sc_hd__nand3b_1
*I *4178:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4162:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *4182:B 0.000124442
2 *4174:B 0
3 *4178:A1 0.000174363
4 *4162:X 0.000204817
5 *318:19 0.000547855
6 *318:6 0.000802593
7 *4182:B *4182:C 0.000242274
8 *318:6 *4421:B 0.000123582
9 *318:6 *329:10 0.000200251
10 *318:6 *528:18 3.37543e-05
11 *318:6 *644:11 4.37999e-05
12 *318:19 *4182:C 1.07248e-05
13 *318:19 *329:10 0.0005601
14 *318:19 *329:12 0.000558658
15 *290:48 *318:19 0.00010069
16 *291:12 *4182:B 0.000278373
17 *291:12 *318:6 0.000141845
18 *291:12 *318:19 0.000901927
19 *307:11 *4182:B 0
*RES
1 *4162:X *318:6 21.8099
2 *318:6 *4178:A1 16.1364
3 *318:6 *318:19 21.8374
4 *318:19 *4174:B 13.7491
5 *318:19 *4182:B 19.2113
*END
*D_NET *319 0.00323716
*CONN
*I *4167:A1 I *D sky130_fd_sc_hd__a2111o_1
*I *4169:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4409:A I *D sky130_fd_sc_hd__nand2_1
*I *4163:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4167:A1 4.51842e-05
2 *4169:B2 0.000153091
3 *4409:A 0.000107296
4 *4163:Y 0.00015119
5 *319:17 0.000462395
6 *319:7 0.000522606
7 *4167:A1 *4167:D1 6.08467e-05
8 *4169:B2 *4169:A2 1.07248e-05
9 *4169:B2 *4169:B1 0.000318697
10 *4169:B2 *320:8 0.000306512
11 *4169:B2 *806:18 0
12 *4409:A *4405:C 0
13 *4409:A *705:8 3.31882e-05
14 *4409:A *705:14 0.000163011
15 *319:17 *4169:B1 4.18989e-05
16 *319:17 *4175:A1 0.000219139
17 *319:17 *4175:C1 0
18 *319:17 *320:8 5.41377e-05
19 *319:17 *705:14 0.000587242
*RES
1 *4163:Y *319:7 17.2456
2 *319:7 *4409:A 17.5597
3 *319:7 *319:17 11.3828
4 *319:17 *4169:B2 20.6205
5 *319:17 *4167:A1 14.4725
*END
*D_NET *320 0.00236605
*CONN
*I *4175:A1 I *D sky130_fd_sc_hd__o311a_1
*I *4167:B1 I *D sky130_fd_sc_hd__a2111o_1
*I *4164:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4175:A1 5.19601e-05
2 *4167:B1 0
3 *4164:X 0.000440136
4 *320:8 0.000492096
5 *4175:A1 *4175:B1 0.000229864
6 *320:8 *4169:A1 4.33655e-05
7 *320:8 *4169:A2 0.000155616
8 *320:8 *4175:B1 0.000193655
9 *320:8 *4412:A 6.50354e-05
10 *320:8 *4414:B 0
11 *320:8 *325:8 4.52614e-05
12 *320:8 *706:9 6.92705e-05
13 *4169:B2 *320:8 0.000306512
14 *319:17 *4175:A1 0.000219139
15 *319:17 *320:8 5.41377e-05
*RES
1 *4164:X *320:8 28.6256
2 *320:8 *4167:B1 13.7491
3 *320:8 *4175:A1 17.6574
*END
*D_NET *321 0.00196233
*CONN
*I *4175:A2 I *D sky130_fd_sc_hd__o311a_1
*I *4167:C1 I *D sky130_fd_sc_hd__a2111o_1
*I *4165:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4175:A2 0.000151814
2 *4167:C1 9.33147e-05
3 *4165:X 0.000368858
4 *321:8 0.000613987
5 *4167:C1 *4167:D1 2.16355e-05
6 *4167:C1 *323:7 1.03403e-05
7 *4175:A2 *4175:B1 6.50586e-05
8 *4175:A2 *4175:C1 0.000161966
9 *4175:A2 *331:10 5.481e-05
10 *4175:A2 *438:51 3.58208e-05
11 *321:8 *4173:A_N 7.14746e-05
12 *321:8 *4173:D 8.02807e-05
13 *321:8 *4175:C1 8.16827e-05
14 *321:8 *327:9 0.000151283
*RES
1 *4165:X *321:8 21.0173
2 *321:8 *4167:C1 15.5817
3 *321:8 *4175:A2 18.6595
*END
*D_NET *322 0.000869651
*CONN
*I *4167:D1 I *D sky130_fd_sc_hd__a2111o_1
*I *4166:X O *D sky130_fd_sc_hd__and2b_1
*CAP
1 *4167:D1 0.000260229
2 *4166:X 0.000260229
3 *4167:D1 *4167:A2 6.08467e-05
4 *4167:D1 *707:21 0.000101133
5 *4167:D1 *806:18 0.000104731
6 *4167:A1 *4167:D1 6.08467e-05
7 *4167:C1 *4167:D1 2.16355e-05
*RES
1 *4166:X *4167:D1 33.96
*END
*D_NET *323 0.00167998
*CONN
*I *4175:C1 I *D sky130_fd_sc_hd__o311a_1
*I *4173:A_N I *D sky130_fd_sc_hd__and4b_1
*I *4167:X O *D sky130_fd_sc_hd__a2111o_1
*CAP
1 *4175:C1 0.000155439
2 *4173:A_N 0.000107316
3 *4167:X 9.4419e-05
4 *323:7 0.000357174
5 *4173:A_N *4173:D 0.000154145
6 *4173:A_N *4175:A3 7.50872e-05
7 *4173:A_N *4175:B1 0.000111722
8 *4173:A_N *325:8 5.05252e-05
9 *4173:A_N *707:21 1.92336e-05
10 *4175:C1 *4175:A3 0.000208976
11 *4175:C1 *4175:B1 2.04806e-05
12 *4167:C1 *323:7 1.03403e-05
13 *4175:A2 *4175:C1 0.000161966
14 *319:17 *4175:C1 0
15 *321:8 *4173:A_N 7.14746e-05
16 *321:8 *4175:C1 8.16827e-05
*RES
1 *4167:X *323:7 15.0271
2 *323:7 *4173:A_N 17.829
3 *323:7 *4175:C1 20.3688
*END
*D_NET *324 0.00205627
*CONN
*I *4414:A I *D sky130_fd_sc_hd__nand2_1
*I *4169:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4168:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4414:A 0.000174706
2 *4169:A1 0.000480776
3 *4168:Y 4.88487e-05
4 *324:5 0.000704331
5 *4169:A1 *4168:A 6.56405e-05
6 *4169:A1 *4169:A2 0.000320287
7 *4169:A1 *706:9 6.50586e-05
8 *4169:A1 *806:18 9.66794e-05
9 *4414:A *4412:A 0
10 *4414:A *4414:B 5.65746e-05
11 *4414:A *656:10 0
12 *320:8 *4169:A1 4.33655e-05
*RES
1 *4168:Y *324:5 9.97254
2 *324:5 *4169:A1 29.5332
3 *324:5 *4414:A 22.7361
*END
*D_NET *325 0.00144815
*CONN
*I *4175:A3 I *D sky130_fd_sc_hd__o311a_1
*I *4173:B I *D sky130_fd_sc_hd__and4b_1
*I *4169:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4175:A3 0.000101872
2 *4173:B 4.51842e-05
3 *4169:X 0.000180658
4 *325:8 0.000327715
5 *4173:B *4173:D 6.08467e-05
6 *4175:A3 *4175:B1 0.000276936
7 *325:8 *4175:B1 7.50872e-05
8 *325:8 *4414:B 0
9 *4173:A_N *4175:A3 7.50872e-05
10 *4173:A_N *325:8 5.05252e-05
11 *4175:C1 *4175:A3 0.000208976
12 *320:8 *325:8 4.52614e-05
*RES
1 *4169:X *325:8 17.9655
2 *325:8 *4173:B 14.4725
3 *325:8 *4175:A3 18.9032
*END
*D_NET *326 0.0040804
*CONN
*I *4171:A I *D sky130_fd_sc_hd__or2_1
*I *4418:A I *D sky130_fd_sc_hd__or2_1
*I *4417:A I *D sky130_fd_sc_hd__nand2_1
*I *4170:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4171:A 0.000563657
2 *4418:A 0.000345588
3 *4417:A 0.00028674
4 *4170:Y 0.000342156
5 *326:8 0.000776609
6 *326:7 0.00105009
7 *4171:A *499:10 0.000382282
8 *4171:A *644:17 0
9 *4417:A *4432:B 4.47713e-05
10 *4417:A *644:17 0
11 *4417:A *657:9 0
12 *4418:A *4419:C 1.31897e-05
13 *4418:A *4432:A 0.000118166
14 *326:7 *499:24 5.44727e-05
15 *326:7 *657:9 1.43848e-05
16 *326:8 *4432:A 3.92275e-05
17 *326:8 *499:10 4.90673e-05
18 *326:8 *644:17 0
19 *326:8 *657:9 0
20 *4487:D *4171:A 0
*RES
1 *4170:Y *326:7 18.9094
2 *326:7 *326:8 3.90826
3 *326:8 *4417:A 21.0145
4 *326:8 *4418:A 21.6824
5 *326:7 *4171:A 29.6997
*END
*D_NET *327 0.00365631
*CONN
*I *4173:C I *D sky130_fd_sc_hd__and4b_1
*I *4175:B1 I *D sky130_fd_sc_hd__o311a_1
*I *4171:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4173:C 0
2 *4175:B1 0.000218868
3 *4171:X 0.00043604
4 *327:9 0.000654908
5 *4175:B1 *4173:D 1.63804e-05
6 *4175:B1 *438:51 6.50586e-05
7 *4175:B1 *707:21 0.000167625
8 *327:9 *4171:B 0.000619237
9 *327:9 *4173:D 8.02807e-05
10 *327:9 *4421:B 8.64351e-05
11 *327:9 *657:28 2.57365e-05
12 *327:9 *707:21 0.000161654
13 *4173:A_N *4175:B1 0.000111722
14 *4175:A1 *4175:B1 0.000229864
15 *4175:A2 *4175:B1 6.50586e-05
16 *4175:A3 *4175:B1 0.000276936
17 *4175:C1 *4175:B1 2.04806e-05
18 *320:8 *4175:B1 0.000193655
19 *321:8 *327:9 0.000151283
20 *325:8 *4175:B1 7.50872e-05
*RES
1 *4171:X *327:9 24.0305
2 *327:9 *4175:B1 29.3469
3 *327:9 *4173:C 9.24915
*END
*D_NET *328 0.0018821
*CONN
*I *4173:D I *D sky130_fd_sc_hd__and4b_1
*I *4172:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *4173:D 0.000427647
2 *4172:X 0.000427647
3 *4173:D *4169:B1 0.000144546
4 *4173:D *438:51 2.72743e-05
5 *4173:D *705:14 0.000141001
6 *4173:D *707:21 0.000322054
7 *4173:A_N *4173:D 0.000154145
8 *4173:B *4173:D 6.08467e-05
9 *4175:B1 *4173:D 1.63804e-05
10 *321:8 *4173:D 8.02807e-05
11 *327:9 *4173:D 8.02807e-05
*RES
1 *4172:X *4173:D 39.7958
*END
*D_NET *329 0.00683377
*CONN
*I *4182:C I *D sky130_fd_sc_hd__and4_1
*I *4174:C I *D sky130_fd_sc_hd__nand3b_1
*I *4173:X O *D sky130_fd_sc_hd__and4b_1
*CAP
1 *4182:C 0.000102478
2 *4174:C 0
3 *4173:X 0.001372
4 *329:12 0.000405557
5 *329:10 0.00167508
6 *329:10 *4421:B 0.000458348
7 *329:10 *4428:B 0
8 *329:10 *644:6 0.000310124
9 *329:10 *644:11 0.000467884
10 *329:10 *657:28 8.90486e-05
11 *329:10 *707:21 0.000309694
12 *329:12 *4309:A 0
13 *329:12 *4388:B1 0
14 *329:12 *4428:B 0
15 *329:12 *470:10 0
16 *4182:B *4182:C 0.000242274
17 *307:11 *4182:C 6.9773e-05
18 *307:11 *329:12 1.77537e-06
19 *318:6 *329:10 0.000200251
20 *318:19 *4182:C 1.07248e-05
21 *318:19 *329:10 0.0005601
22 *318:19 *329:12 0.000558658
*RES
1 *4173:X *329:10 46.9441
2 *329:10 *329:12 9.88056
3 *329:12 *4174:C 13.7491
4 *329:12 *4182:C 18.3808
*END
*D_NET *330 0.00169512
*CONN
*I *4195:A1 I *D sky130_fd_sc_hd__a211o_1
*I *4183:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4174:Y O *D sky130_fd_sc_hd__nand3b_1
*CAP
1 *4195:A1 7.9291e-05
2 *4183:A1 4.17689e-05
3 *4174:Y 7.13882e-05
4 *330:5 0.000192448
5 *4183:A1 *4195:A2 9.60216e-05
6 *4195:A1 *4195:A2 0.000373687
7 *4195:A1 *4195:B1 4.41921e-05
8 *4195:A1 *751:27 0.000457075
9 *330:5 *4195:B1 3.82228e-05
10 *330:5 *751:27 0.000205006
11 *268:12 *4183:A1 9.60216e-05
*RES
1 *4174:Y *330:5 11.6364
2 *330:5 *4183:A1 20.0811
3 *330:5 *4195:A1 14.9881
*END
*D_NET *331 0.00413995
*CONN
*I *4178:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4175:X O *D sky130_fd_sc_hd__o311a_1
*CAP
1 *4178:A2 0
2 *4175:X 0.00173868
3 *331:10 0.00173868
4 *331:10 *4422:B 0.000147308
5 *331:10 *4488:CLK 1.82679e-05
6 *331:10 *438:47 3.02281e-06
7 *331:10 *438:51 0.000239628
8 *4175:A2 *331:10 5.481e-05
9 *4488:D *331:10 1.60502e-06
10 *268:12 *331:10 4.47442e-05
11 *291:12 *331:10 0.00015321
*RES
1 *4175:X *331:10 46.0376
2 *331:10 *4178:A2 9.24915
*END
*D_NET *332 0.00120798
*CONN
*I *4177:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4176:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4177:A1 0.000327176
2 *4176:X 0.000327176
3 *4177:A1 *4178:B1 3.07159e-05
4 *4177:A1 *438:8 0.000153225
5 *4177:A1 *751:18 0.000153225
6 *316:11 *4177:A1 0.000216458
*RES
1 *4176:X *4177:A1 37.5394
*END
*D_NET *333 0.00171863
*CONN
*I *4178:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4177:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4178:B1 0.000705467
2 *4177:X 0.000705467
3 *4178:B1 *4154:A_N 0.000149628
4 *4178:B1 *4474:CLK 6.36477e-05
5 *4178:B1 *334:8 1.89511e-05
6 *4178:B1 *372:9 0
7 *4178:B1 *751:18 0
8 *4178:B1 *751:22 4.47578e-05
9 *4177:A1 *4178:B1 3.07159e-05
*RES
1 *4177:X *4178:B1 44.631
*END
*D_NET *334 0.0028552
*CONN
*I *4195:A2 I *D sky130_fd_sc_hd__a211o_1
*I *4183:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4178:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4195:A2 0.000115178
2 *4183:A2 0
3 *4178:Y 0.00058274
4 *334:8 0.000697917
5 *4195:A2 *4195:B1 0.000373687
6 *4195:A2 *469:14 7.09251e-05
7 *334:8 *469:14 8.42523e-05
8 *334:8 *659:10 0
9 *334:8 *659:36 0
10 *4178:B1 *334:8 1.89511e-05
11 *4183:A1 *4195:A2 9.60216e-05
12 *4195:A1 *4195:A2 0.000373687
13 *268:12 *4195:A2 3.14199e-05
14 *268:12 *334:8 0.00041042
*RES
1 *4178:Y *334:8 30.9777
2 *334:8 *4183:A2 13.7491
3 *334:8 *4195:A2 20.8779
*END
*D_NET *335 0.00111271
*CONN
*I *4182:A I *D sky130_fd_sc_hd__and4_1
*I *4179:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4182:A 0.000310133
2 *4179:X 0.000310133
3 *4182:A *441:6 0
4 *4182:A *441:35 0
5 *294:16 *4182:A 0
6 *307:11 *4182:A 0.000492446
*RES
1 *4179:X *4182:A 37.2523
*END
*D_NET *336 0.00293737
*CONN
*I *4386:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4181:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4385:A I *D sky130_fd_sc_hd__nand2_1
*I *4180:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4386:A2 0.000172551
2 *4181:A1 0.000127875
3 *4385:A 7.07756e-05
4 *4180:Y 0.000265232
5 *336:17 0.000300426
6 *336:8 0.000336008
7 *4181:A1 *4386:B1 0
8 *4385:A *4385:B 1.07248e-05
9 *4385:A *4386:C1 0.000101133
10 *4385:A *350:18 1.5254e-05
11 *4386:A2 *4386:B1 4.04393e-05
12 *4386:A2 *4386:C1 0.000164829
13 *336:8 *4386:C1 2.95757e-05
14 *336:8 *350:18 0.000177099
15 *4181:B1 *4181:A1 0.000205101
16 *4181:B1 *4385:A 8.62625e-06
17 *4181:B1 *336:8 0.00055178
18 *268:12 *4385:A 3.83819e-05
19 *278:21 *4181:A1 0.000233343
20 *278:21 *4386:A2 2.31422e-05
21 *286:35 *336:8 6.50727e-05
22 *293:11 *336:8 0
*RES
1 *4180:Y *336:8 24.1943
2 *336:8 *4385:A 16.4116
3 *336:8 *336:17 4.5
4 *336:17 *4181:A1 13.8789
5 *336:17 *4386:A2 13.8789
*END
*D_NET *337 0.00138789
*CONN
*I *4182:D I *D sky130_fd_sc_hd__and4_1
*I *4181:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4182:D 0.000291338
2 *4181:Y 0.000291338
3 *4182:D *338:8 4.28856e-07
4 *4182:D *339:30 0.000555295
5 *291:12 *4182:D 0.000249486
*RES
1 *4181:Y *4182:D 38.4981
*END
*D_NET *338 0.00197676
*CONN
*I *4195:B1 I *D sky130_fd_sc_hd__a211o_1
*I *4183:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4182:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4195:B1 0.000237029
2 *4183:B1 6.53647e-05
3 *4182:X 9.74791e-05
4 *338:8 0.000399872
5 *4183:B1 *4388:A2 5.92192e-05
6 *4183:B1 *339:30 0.000143032
7 *4195:B1 *350:8 5.56461e-05
8 *4195:B1 *751:27 2.74378e-05
9 *338:8 *339:30 0.000116439
10 *4182:D *338:8 4.28856e-07
11 *4195:A1 *4195:B1 4.41921e-05
12 *4195:A2 *4195:B1 0.000373687
13 *268:12 *4183:B1 0.000195124
14 *268:12 *338:8 0.000123582
15 *330:5 *4195:B1 3.82228e-05
*RES
1 *4182:X *338:8 16.7198
2 *338:8 *4183:B1 17.2421
3 *338:8 *4195:B1 21.7065
*END
*D_NET *339 0.00697046
*CONN
*I *4190:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4388:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4183:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4190:A2 0
2 *4388:A2 0.000201662
3 *4183:X 5.38534e-05
4 *339:30 0.000997424
5 *339:7 0.00125294
6 *4388:A2 *4352:A 0.000315447
7 *4388:A2 *4393:A 0.000311221
8 *4182:D *339:30 0.000555295
9 *4183:B1 *4388:A2 5.92192e-05
10 *4183:B1 *339:30 0.000143032
11 *4190:A1 *339:30 0.000213468
12 *268:12 *4388:A2 9.08412e-05
13 *268:12 *339:30 0.0017444
14 *290:48 *339:30 0.000284619
15 *291:12 *4388:A2 0.000146953
16 *291:12 *339:30 0.000483651
17 *338:8 *339:30 0.000116439
*RES
1 *4183:X *339:7 14.4725
2 *339:7 *4388:A2 22.8881
3 *339:7 *339:30 47.3041
4 *339:30 *4190:A2 9.24915
*END
*D_NET *340 0.0056556
*CONN
*I *4232:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4249:B I *D sky130_fd_sc_hd__xor2_1
*I *4185:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4256:C I *D sky130_fd_sc_hd__or3_1
*I *4255:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4184:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4232:A 7.45501e-05
2 *4249:B 1.78357e-05
3 *4185:A2 0.000157935
4 *4256:C 0
5 *4255:A2 0.000142274
6 *4184:X 0.000262786
7 *340:29 0.00037955
8 *340:16 0.00027079
9 *340:7 0.00031589
10 *340:5 0.000443942
11 *4185:A2 *4185:A1 0.000236549
12 *4185:A2 *710:46 6.63489e-05
13 *4232:A *384:40 0.000169078
14 *4232:A *397:43 7.09395e-05
15 *4249:B *4185:A1 6.08467e-05
16 *4255:A2 *4234:B 1.92336e-05
17 *4255:A2 *4255:A1 0.000169107
18 *4255:A2 *391:15 0.000213614
19 *340:5 *4234:B 0.000481188
20 *340:5 *4247:B 0.000217937
21 *340:5 *4248:B1 0.000411971
22 *340:7 *4248:B1 0.000107496
23 *340:7 *391:15 0.000148652
24 *340:16 *4245:A2 8.8567e-05
25 *340:16 *386:40 0.000205332
26 *340:29 *4245:A2 0.000239184
27 *340:29 *4251:B 0.000122098
28 *340:29 *342:20 0
29 *340:29 *386:40 0.000111343
30 *273:20 *4185:A2 0.000426044
31 *273:20 *4249:B 1.65872e-05
32 *273:21 *4185:A2 7.92757e-06
*RES
1 *4184:X *340:5 19.9554
2 *340:5 *340:7 2.94181
3 *340:7 *4255:A2 13.8548
4 *340:7 *340:16 7.993
5 *340:16 *4256:C 13.7491
6 *340:16 *340:29 11.8036
7 *340:29 *4185:A2 16.6519
8 *340:29 *4249:B 9.97254
9 *340:5 *4232:A 21.3269
*END
*D_NET *341 0.00376756
*CONN
*I *4272:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4186:C I *D sky130_fd_sc_hd__or3_2
*I *4266:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4185:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4272:A2 0.00011601
2 *4186:C 4.92536e-05
3 *4266:A2 7.39031e-05
4 *4185:X 9.56132e-05
5 *341:21 0.000611555
6 *341:8 0.000615809
7 *4186:C *4186:A 6.08467e-05
8 *4266:A2 *4266:A1 0.000213725
9 *4272:A2 *4269:A1 0.000171273
10 *4272:A2 *4272:A1 1.61631e-05
11 *4272:A2 *4272:B1 6.50586e-05
12 *4272:A2 *4273:A2 0.000101118
13 *4272:A2 *407:42 2.64881e-05
14 *341:8 *412:10 0.000139435
15 *341:8 *664:7 6.08467e-05
16 *341:8 *710:39 6.50586e-05
17 *341:21 *4186:B 0.000127194
18 *341:21 *4265:B1 9.0014e-05
19 *341:21 *4273:A2 0.000187817
20 *341:21 *407:8 6.4628e-05
21 *341:21 *407:10 3.63743e-05
22 *341:21 *407:42 0.000104549
23 *341:21 *412:10 0.000539442
24 *292:67 *4272:A2 0
25 *292:67 *341:8 3.55296e-05
26 *292:67 *341:21 9.9852e-05
*RES
1 *4185:X *341:8 17.135
2 *341:8 *4266:A2 16.1364
3 *341:8 *341:21 18.5154
4 *341:21 *4186:C 15.0513
5 *341:21 *4272:A2 18.2442
*END
*D_NET *342 0.0125017
*CONN
*I *4276:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4229:C I *D sky130_fd_sc_hd__nor3_2
*I *4189:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4273:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4186:X O *D sky130_fd_sc_hd__or3_2
*CAP
1 *4276:A2 0.000268015
2 *4229:C 0
3 *4189:A2 0.000231363
4 *4273:A1 0
5 *4186:X 0.000365317
6 *342:27 0.000490573
7 *342:23 0.000584937
8 *342:20 0.00125088
9 *342:17 0.00136623
10 *342:10 0.000538373
11 *4189:A2 *4189:A1 0.000258758
12 *4189:A2 *4189:B1 0.000121248
13 *4276:A2 *4276:B1 0.000107496
14 *4276:A2 *4277:A1 0.000237049
15 *4276:A2 *4277:A2 1.78949e-05
16 *4276:A2 *4277:B1 1.27782e-05
17 *4276:A2 *4277:C1 8.14875e-05
18 *4276:A2 *4280:B 0.000390192
19 *4276:A2 *4705:A 1.67033e-05
20 *4276:A2 *344:32 4.55535e-05
21 *4276:A2 *376:15 0.000109859
22 *342:10 *4186:A 0.000111708
23 *342:10 *4269:A2 0.000119367
24 *342:10 *4273:A2 0.00055528
25 *342:10 *402:28 0.000147127
26 *342:10 *412:10 1.70077e-05
27 *342:17 *4237:A 0.000260388
28 *342:20 *4251:B 0
29 *342:20 *4262:C1 5.00082e-05
30 *342:20 *4277:B1 0.000254405
31 *342:20 *4360:B 0
32 *342:20 *378:20 5.1573e-05
33 *342:20 *383:8 0
34 *342:20 *383:10 0
35 *342:20 *385:8 0.000123974
36 *342:20 *386:40 0
37 *342:20 *387:20 0.000473156
38 *342:20 *413:23 0.00215486
39 *342:23 *4435:B 0.000360159
40 *342:23 *343:28 4.33819e-05
41 *342:23 *344:32 0.0002646
42 *342:27 *4189:B1 0.000111708
43 *342:27 *4366:B1 5.41377e-05
44 *342:27 *344:32 1.44467e-05
45 *342:27 *376:15 0.00056907
46 *342:27 *398:20 0.0001695
47 *273:20 *342:20 0.000101148
48 *340:29 *342:20 0
*RES
1 *4186:X *342:10 31.7432
2 *342:10 *4273:A1 9.24915
3 *342:10 *342:17 7.44181
4 *342:17 *342:20 49.5182
5 *342:20 *342:23 8.55102
6 *342:23 *342:27 16.3303
7 *342:27 *4189:A2 16.0973
8 *342:27 *4229:C 9.24915
9 *342:23 *4276:A2 23.9779
*END
*D_NET *343 0.00843442
*CONN
*I *4263:A1 I *D sky130_fd_sc_hd__a22o_1
*I *4233:B I *D sky130_fd_sc_hd__nor2_1
*I *4276:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4188:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4376:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4187:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4263:A1 0.000331378
2 *4233:B 4.51247e-05
3 *4276:A1 0.000329843
4 *4188:A 9.65896e-05
5 *4376:B1 0.000145639
6 *4187:X 8.04972e-05
7 *343:41 0.000722312
8 *343:28 0.00102966
9 *343:16 0.000693331
10 *343:5 0.000468872
11 *4233:B *4230:B 0.000111708
12 *4263:A1 *4234:B 3.91066e-05
13 *4263:A1 *4262:A3 3.8079e-05
14 *4263:A1 *4263:A2 1.09551e-05
15 *4263:A1 *4263:B1 0.000186513
16 *4263:A1 *348:59 0.000768448
17 *4263:A1 *376:15 6.08697e-06
18 *4263:A1 *376:26 2.53624e-06
19 *4263:A1 *379:8 0.000479234
20 *4276:A1 *4435:B 3.024e-05
21 *4276:A1 *646:8 0
22 *4276:A1 *667:7 5.97576e-05
23 *4276:A1 *695:25 0.000204576
24 *4376:B1 *4376:A1 0
25 *343:16 *378:20 0.000190579
26 *343:16 *385:8 0.0001549
27 *343:16 *387:27 1.71442e-05
28 *343:16 *481:8 9.24241e-05
29 *343:16 *696:17 7.65861e-05
30 *343:16 *696:26 7.77309e-06
31 *343:28 *4435:B 4.41269e-05
32 *343:28 *344:32 0.0002646
33 *343:28 *378:20 0.000175045
34 *343:28 *385:8 0.000176813
35 *343:28 *667:7 4.82966e-05
36 *343:41 *348:59 0.000755562
37 *343:41 *376:15 0.000204452
38 *289:39 *4376:B1 0
39 *289:47 *4376:B1 3.76578e-05
40 *289:47 *343:5 0.0002646
41 *342:23 *343:28 4.33819e-05
*RES
1 *4187:X *343:5 12.191
2 *343:5 *4376:B1 12.625
3 *343:5 *343:16 13.8065
4 *343:16 *4188:A 15.5817
5 *343:16 *343:28 17.5845
6 *343:28 *4276:A1 26.2084
7 *343:28 *343:41 17.9591
8 *343:41 *4233:B 15.0271
9 *343:41 *4263:A1 29.0273
*END
*D_NET *344 0.0104252
*CONN
*I *4435:A I *D sky130_fd_sc_hd__or3b_1
*I *4266:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4260:A1 I *D sky130_fd_sc_hd__a32o_1
*I *4189:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4372:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4188:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4435:A 0
2 *4266:A1 0.000459577
3 *4260:A1 0
4 *4189:B1 0.000672324
5 *4372:B1 0.000100907
6 *4188:X 0
7 *344:32 0.00124329
8 *344:28 0.0008778
9 *344:6 0.000825158
10 *344:5 0.000146009
11 *4189:B1 *4189:A1 0.000254532
12 *4266:A1 *4185:B1 0
13 *4266:A1 *4254:A 8.74104e-05
14 *4266:A1 *4258:A 0.000191541
15 *4266:A1 *4260:B1 9.96342e-05
16 *4266:A1 *398:8 7.20409e-06
17 *4266:A1 *398:10 5.66868e-06
18 *4266:A1 *402:28 5.66868e-06
19 *4266:A1 *412:10 0.000420656
20 *4266:A1 *664:8 4.18989e-05
21 *4372:B1 *374:50 5.56367e-05
22 *4372:B1 *387:27 5.92192e-05
23 *344:6 *374:50 0.000231378
24 *344:6 *387:27 0.00021767
25 *344:28 *374:50 0.000346318
26 *344:28 *387:22 2.95757e-05
27 *344:28 *387:27 0.000309548
28 *344:32 *4260:A2 0.000143047
29 *344:32 *4260:B1 1.07248e-05
30 *344:32 *4263:A2 0.000128678
31 *344:32 *4277:A2 0.000212491
32 *344:32 *4435:B 4.33655e-05
33 *344:32 *4435:C_N 0.000111708
34 *344:32 *376:15 9.57557e-06
35 *344:32 *398:14 5.36126e-05
36 *344:32 *398:18 0.000572744
37 *344:32 *398:20 0.000943558
38 *344:32 *412:10 0.000471149
39 *4189:A2 *4189:B1 0.000121248
40 *4266:A2 *4266:A1 0.000213725
41 *4276:A2 *344:32 4.55535e-05
42 *342:23 *344:32 0.0002646
43 *342:27 *4189:B1 0.000111708
44 *342:27 *344:32 1.44467e-05
45 *343:28 *344:32 0.0002646
*RES
1 *4188:X *344:5 13.7491
2 *344:5 *344:6 3.90826
3 *344:6 *4372:B1 16.5832
4 *344:6 *4189:B1 28.9163
5 *344:5 *344:28 10.4845
6 *344:28 *344:32 40.1446
7 *344:32 *4260:A1 13.7491
8 *344:32 *4266:A1 28.758
9 *344:28 *4435:A 9.24915
*END
*D_NET *345 0.00096169
*CONN
*I *4190:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4189:X O *D sky130_fd_sc_hd__o21a_1
*CAP
1 *4190:B1 0.000326064
2 *4189:X 0.000326064
3 *4190:B1 *695:16 0
4 *4190:B1 *695:25 0
5 *4280:A *4190:B1 8.83765e-05
6 *4446:D *4190:B1 0.000221185
*RES
1 *4189:X *4190:B1 36.8048
*END
*D_NET *346 0.00076598
*CONN
*I *4191:B I *D sky130_fd_sc_hd__nor2_1
*I *4190:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4191:B 0.000141489
2 *4190:Y 0.000141489
3 *4191:B *695:16 0
4 *4181:B1 *4191:B 7.56859e-06
5 *4190:A1 *4191:B 0.000107496
6 *4280:A *4191:B 0.000195169
7 *4446:D *4191:B 0.000169093
8 *293:11 *4191:B 3.67528e-06
*RES
1 *4190:Y *4191:B 32.6845
*END
*D_NET *347 0.00361598
*CONN
*I *4197:A I *D sky130_fd_sc_hd__or3b_1
*I *4357:A I *D sky130_fd_sc_hd__and3_1
*I *4360:A I *D sky130_fd_sc_hd__and3_1
*I *4363:A I *D sky130_fd_sc_hd__and3_1
*I *4348:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4192:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4197:A 0.000102048
2 *4357:A 0
3 *4360:A 0.000102969
4 *4363:A 7.85883e-05
5 *4348:B1 6.50973e-05
6 *4192:X 0
7 *347:29 0.000171949
8 *347:17 0.000147569
9 *347:15 0.000277086
10 *347:5 0.000240136
11 *4197:A *4479:CLK 0.000156869
12 *4197:A *349:8 0
13 *4197:A *398:20 4.01573e-05
14 *4348:B1 *4348:A2 0.000111708
15 *4348:B1 *4349:B 4.81015e-05
16 *4348:B1 *4352:B 9.60366e-05
17 *4348:B1 *645:105 9.60366e-05
18 *4360:A *348:14 0.000122083
19 *4360:A *373:57 7.58407e-05
20 *4360:A *645:112 8.39059e-05
21 *347:15 *4352:B 5.77352e-05
22 *347:15 *4479:CLK 0.000222684
23 *347:15 *373:57 0
24 *347:15 *479:15 9.73157e-05
25 *347:15 *645:105 0.000241603
26 *347:29 *4363:C 0.000192422
27 *347:29 *348:14 5.41227e-05
28 *347:29 *373:57 4.18989e-05
29 *278:21 *4363:A 0.000111708
30 *278:21 *347:29 0.000373047
31 *288:40 *4360:A 0.000207266
*RES
1 *4192:X *347:5 13.7491
2 *347:5 *4348:B1 16.8591
3 *347:5 *347:15 8.06078
4 *347:15 *347:17 4.5
5 *347:17 *4363:A 11.5158
6 *347:17 *347:29 9.55251
7 *347:29 *4360:A 18.3836
8 *347:29 *4357:A 13.7491
9 *347:15 *4197:A 17.2421
*END
*D_NET *348 0.023842
*CONN
*I *4378:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4267:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4343:A I *D sky130_fd_sc_hd__or2_1
*I *4334:A I *D sky130_fd_sc_hd__or2_1
*I *4197:B I *D sky130_fd_sc_hd__or3b_1
*I *4193:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4378:B1 0
2 *4267:B1 0
3 *4343:A 0.000559151
4 *4334:A 0
5 *4197:B 0.000173645
6 *4193:X 0.000737374
7 *348:59 0.00148335
8 *348:43 0.000738228
9 *348:42 0.00248944
10 *348:33 0.00424282
11 *348:29 0.000871968
12 *348:14 0.00133388
13 *4197:B *4386:B1 0.000260388
14 *4343:A *4342:A1 3.74593e-05
15 *4343:A *4343:B 6.51725e-05
16 *4343:A *4468:CLK 0
17 *4343:A *646:20 0
18 *4343:A *806:31 0.000475079
19 *348:14 *4193:A 0.000113968
20 *348:14 *4352:B 6.50727e-05
21 *348:14 *373:57 0.000159369
22 *348:14 *696:31 0.000690467
23 *348:29 *4360:B 0.000157006
24 *348:29 *351:8 0.000122098
25 *348:29 *373:57 2.5053e-05
26 *348:29 *378:20 0.000260211
27 *348:29 *696:26 0.000989992
28 *348:29 *696:31 8.62625e-06
29 *348:33 *4366:A1 0.000337639
30 *348:33 *349:7 6.50586e-05
31 *348:33 *643:21 0
32 *348:33 *697:10 2.652e-05
33 *348:42 *4144:A 1.8078e-05
34 *348:42 *4228:A 7.80757e-05
35 *348:42 *4239:B 0.000113968
36 *348:42 *4481:CLK 0.000141076
37 *348:42 *375:5 1.37189e-05
38 *348:42 *646:41 0.000334334
39 *348:42 *652:5 2.32702e-05
40 *348:42 *707:9 0.000118166
41 *348:42 *707:16 0.000122378
42 *348:43 *4333:A1 7.56859e-06
43 *348:43 *4334:B 0.000128067
44 *348:43 *646:22 0
45 *348:43 *646:24 0
46 *348:43 *707:9 0
47 *348:43 *806:31 0.000315206
48 *348:59 *4229:B 8.36615e-05
49 *348:59 *4262:A3 3.83683e-05
50 *348:59 *4263:B2 0
51 *348:59 *4267:A2 0.000252327
52 *348:59 *4279:B1 0.000144546
53 *348:59 *4366:A1 5.41377e-05
54 *348:59 *4366:B1 0
55 *348:59 *376:15 0
56 *348:59 *381:14 4.89469e-06
57 *348:59 *381:20 0.000142723
58 *348:59 *381:22 0.000107294
59 *348:59 *382:8 0.00159142
60 *348:59 *398:20 0
61 *348:59 *643:21 0
62 *348:59 *667:10 0.000222317
63 *348:59 *667:23 0.00025439
64 *348:59 *696:17 4.40506e-05
65 *348:59 *697:10 1.55462e-05
66 *4263:A1 *348:59 0.000768448
67 *4360:A *348:14 0.000122083
68 *4481:D *348:42 0.000189699
69 *292:67 *348:59 0.00108309
70 *300:7 *348:42 1.03403e-05
71 *343:41 *348:59 0.000755562
72 *347:29 *348:14 5.41227e-05
*RES
1 *4193:X *348:14 35.1123
2 *348:14 *4197:B 16.691
3 *348:14 *348:29 23.5772
4 *348:29 *348:33 16.7539
5 *348:33 *348:42 45.9655
6 *348:42 *348:43 6.81502
7 *348:43 *4334:A 13.7491
8 *348:43 *4343:A 30.5653
9 *348:33 *348:59 64.1198
10 *348:59 *4267:B1 13.7491
11 *348:29 *4378:B1 9.24915
*END
*D_NET *349 0.0053292
*CONN
*I *4366:A1 I *D sky130_fd_sc_hd__a21oi_2
*I *4386:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4348:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4195:C1 I *D sky130_fd_sc_hd__a211o_1
*I *4194:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4366:A1 0.000227769
2 *4386:A1 0.000160743
3 *4348:A1 0
4 *4195:C1 0.000268554
5 *4194:Y 0.000100319
6 *349:10 0.000585876
7 *349:8 0.00121415
8 *349:7 0.00106417
9 *4195:C1 *4193:A 1.77537e-06
10 *4195:C1 *645:105 6.69343e-05
11 *4195:C1 *751:27 9.0685e-05
12 *4195:C1 *751:44 6.36773e-05
13 *4366:A1 *398:20 0
14 *4366:A1 *643:21 0
15 *4386:A1 *4386:B1 0.000464819
16 *349:8 *4386:B1 0.000143047
17 *349:8 *4479:CLK 9.98029e-06
18 *349:8 *398:20 0
19 *349:8 *643:8 0
20 *349:8 *643:21 0
21 *349:10 *4193:A 4.40506e-05
22 *349:10 *4198:A 0
23 *349:10 *4479:CLK 5.43198e-05
24 *349:10 *643:8 0
25 *349:10 *645:105 0.000109917
26 *4197:A *349:8 0
27 *4444:D *349:8 0.000146174
28 *4444:D *349:10 5.53934e-05
29 *348:33 *4366:A1 0.000337639
30 *348:33 *349:7 6.50586e-05
31 *348:59 *4366:A1 5.41377e-05
*RES
1 *4194:Y *349:7 16.1364
2 *349:7 *349:8 19.6878
3 *349:8 *349:10 9.72179
4 *349:10 *4195:C1 21.7084
5 *349:10 *4348:A1 13.7491
6 *349:8 *4386:A1 18.9094
7 *349:7 *4366:A1 20.9794
*END
*D_NET *350 0.00486414
*CONN
*I *4365:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4196:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4350:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4195:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *4365:A 0.000197458
2 *4196:A 0
3 *4350:A 0
4 *4195:X 0.000154738
5 *350:18 0.00102518
6 *350:8 0.000982462
7 *4365:A *4444:CLK 0.000307023
8 *4365:A *374:50 8.36615e-05
9 *4365:A *387:27 0.000195154
10 *350:8 *4385:B 0.000114909
11 *350:8 *469:14 7.86847e-05
12 *350:8 *643:8 0
13 *350:18 *4138:A 0.000216535
14 *350:18 *4385:B 0.000366736
15 *350:18 *4386:C1 0.000122083
16 *350:18 *4444:CLK 0.000271044
17 *350:18 *643:8 0
18 *350:18 *695:16 6.88629e-05
19 *4195:B1 *350:8 5.56461e-05
20 *4385:A *350:18 1.5254e-05
21 *4444:D *350:18 0.000170039
22 *293:11 *350:18 0.000261566
23 *336:8 *350:18 0.000177099
*RES
1 *4195:X *350:8 18.1049
2 *350:8 *4350:A 13.7491
3 *350:8 *350:18 33.9298
4 *350:18 *4196:A 9.24915
5 *350:18 *4365:A 25.2386
*END
*D_NET *351 0.00310475
*CONN
*I *4354:C I *D sky130_fd_sc_hd__and3_1
*I *4357:C I *D sky130_fd_sc_hd__and3_1
*I *4363:C I *D sky130_fd_sc_hd__and3_1
*I *4360:C I *D sky130_fd_sc_hd__and3_1
*I *4197:C_N I *D sky130_fd_sc_hd__or3b_1
*I *4196:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4354:C 1.98279e-05
2 *4357:C 0
3 *4363:C 0.000212801
4 *4360:C 7.12911e-05
5 *4197:C_N 6.49435e-05
6 *4196:X 5.03081e-05
7 *351:32 0.000275522
8 *351:20 0.000288999
9 *351:17 0.000273307
10 *351:8 0.000213744
11 *4197:C_N *4386:B1 6.46887e-05
12 *4197:C_N *373:57 2.16355e-05
13 *4354:C *373:57 6.89596e-05
14 *4354:C *374:7 7.68538e-06
15 *4354:C *374:50 7.93303e-06
16 *4360:C *4360:B 0.000118485
17 *4360:C *475:9 9.60366e-05
18 *4360:C *645:112 2.94514e-05
19 *4360:C *696:31 5.66868e-06
20 *4363:C *479:15 6.50586e-05
21 *351:8 *373:57 5.56367e-05
22 *351:8 *378:20 2.82537e-05
23 *351:17 *4386:B1 7.53561e-05
24 *351:17 *373:57 6.57427e-05
25 *351:17 *374:7 2.16355e-05
26 *351:17 *387:27 6.64392e-05
27 *351:20 *4282:A1 0
28 *351:20 *4283:A 0.000132046
29 *351:20 *4360:B 0.000150556
30 *351:20 *374:50 5.41227e-05
31 *351:20 *387:27 3.20069e-06
32 *351:20 *473:8 2.57465e-06
33 *351:20 *696:26 1.71577e-05
34 *351:20 *696:31 8.21849e-06
35 *351:32 *4283:A 2.65667e-05
36 *278:21 *4363:C 3.59454e-05
37 *278:21 *351:32 2.14698e-05
38 *288:40 *4360:C 6.89596e-05
39 *347:29 *4363:C 0.000192422
40 *348:29 *351:8 0.000122098
*RES
1 *4196:X *351:8 20.4964
2 *351:8 *4197:C_N 11.0817
3 *351:8 *351:17 3.52053
4 *351:17 *351:20 9.23876
5 *351:20 *4360:C 16.8162
6 *351:20 *351:32 6.1397
7 *351:32 *4363:C 15.9044
8 *351:32 *4357:C 9.24915
9 *351:17 *4354:C 10.069
*END
*D_NET *352 0.00129869
*CONN
*I *4198:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4197:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *4198:A 0.000359976
2 *4197:X 0.000359976
3 *4198:A *4386:B1 6.50727e-05
4 *4198:A *4479:CLK 9.80912e-05
5 *4198:A *643:8 0.00030861
6 *4444:D *4198:A 0.000106966
7 *349:10 *4198:A 0
*RES
1 *4197:X *4198:A 36.564
*END
*D_NET *353 0.00102854
*CONN
*I *4200:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4213:B I *D sky130_fd_sc_hd__or2_1
*I *4199:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4200:A 0.00011207
2 *4213:B 0.000150432
3 *4199:X 0
4 *353:5 0.000262502
5 *4200:A *356:6 1.61918e-05
6 *4213:B *4213:A 7.34948e-06
7 *4213:B *356:6 6.58661e-05
8 *279:26 *4200:A 9.38594e-05
9 *280:14 *4200:A 0.000111358
10 *280:14 *4213:B 0.000208915
*RES
1 *4199:X *353:5 13.7491
2 *353:5 *4213:B 19.0989
3 *353:5 *4200:A 17.2744
*END
*D_NET *354 0.00556226
*CONN
*I *4211:A I *D sky130_fd_sc_hd__nor2_1
*I *4218:A2 I *D sky130_fd_sc_hd__o31a_1
*I *4221:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4214:B I *D sky130_fd_sc_hd__nand2_1
*I *4212:A1 I *D sky130_fd_sc_hd__a211o_1
*I *4200:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4211:A 2.18332e-05
2 *4218:A2 8.98803e-05
3 *4221:B1 5.18445e-05
4 *4214:B 0.000119276
5 *4212:A1 0
6 *4200:X 0.000152551
7 *354:33 0.000521049
8 *354:26 0.000498658
9 *354:8 0.000309239
10 *354:5 0.000440016
11 *4214:B *4223:C1 0
12 *4214:B *4224:B1 5.79689e-05
13 *4214:B *359:8 5.22654e-06
14 *4214:B *359:10 7.37879e-05
15 *4214:B *365:8 0
16 *4218:A2 *4218:A3 0.000213725
17 *4221:B1 *4636:A 0.000151741
18 *354:5 *4215:A2 5.97411e-05
19 *354:5 *4220:A1 3.31745e-05
20 *354:8 *4209:A1 0.000218219
21 *354:8 *4215:B2 0.00020485
22 *354:8 *357:22 0.00013689
23 *354:8 *359:10 4.83486e-05
24 *354:8 *365:8 0
25 *354:26 *4220:A1 0.000108071
26 *354:33 *4220:A1 9.82896e-06
27 *354:33 *4220:A3 0.000311249
28 *354:33 *362:8 0.000499605
29 *354:33 *674:8 0.000207266
30 *176:8 *4214:B 1.07248e-05
31 *275:24 *4221:B1 0.000177787
32 *275:24 *354:33 0.000748449
33 *279:26 *354:5 5.04829e-06
34 *281:24 *4221:B1 5.66868e-06
35 *281:24 *354:33 7.05387e-05
*RES
1 *4200:X *354:5 12.7456
2 *354:5 *354:8 11.7303
3 *354:8 *4212:A1 13.7491
4 *354:8 *4214:B 17.7307
5 *354:5 *354:26 2.94181
6 *354:26 *354:33 22.5888
7 *354:33 *4221:B1 16.8269
8 *354:33 *4218:A2 16.1364
9 *354:26 *4211:A 9.82786
*END
*D_NET *355 0.00661859
*CONN
*I *4206:A I *D sky130_fd_sc_hd__or2b_1
*I *4215:B2 I *D sky130_fd_sc_hd__a32o_1
*I *4217:A I *D sky130_fd_sc_hd__nand2_1
*I *4204:A I *D sky130_fd_sc_hd__nor2b_1
*I *4208:A I *D sky130_fd_sc_hd__nand2_1
*I *4201:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4206:A 0
2 *4215:B2 0.000285996
3 *4217:A 0.000235861
4 *4204:A 2.06324e-05
5 *4208:A 0
6 *4201:X 8.95825e-05
7 *355:25 0.000683856
8 *355:20 0.000759539
9 *355:17 0.000422299
10 *355:9 0.000465701
11 *4215:B2 *4215:A3 0.000107496
12 *4215:B2 *357:15 2.39535e-05
13 *4215:B2 *357:22 8.50452e-05
14 *4215:B2 *359:10 9.52575e-05
15 *4215:B2 *359:15 9.22013e-06
16 *4215:B2 *360:23 0.000165481
17 *4215:B2 *366:8 0.000127179
18 *4215:B2 *366:17 0.000245753
19 *4215:B2 *751:11 3.82228e-05
20 *4217:A *4217:B 0.000220799
21 *4217:A *358:8 5.04829e-06
22 *355:9 *4201:S 5.88662e-05
23 *355:17 *4208:B 1.64789e-05
24 *355:17 *362:8 0.000470585
25 *355:20 *4221:A1 8.62625e-06
26 *355:20 *359:15 4.27003e-05
27 *355:20 *360:23 8.89094e-05
28 *355:25 *4204:B_N 0.000120395
29 *355:25 *4207:B 0.000158371
30 *270:49 *4217:A 0
31 *279:26 *355:9 5.53934e-05
32 *281:24 *4217:A 0.000576244
33 *282:35 *4217:A 0.000730254
34 *354:8 *4215:B2 0.00020485
*RES
1 *4201:X *355:9 21.2198
2 *355:9 *4208:A 9.24915
3 *355:9 *355:17 7.11339
4 *355:17 *355:20 6.332
5 *355:20 *355:25 14.1212
6 *355:25 *4204:A 9.82786
7 *355:25 *4217:A 31.4968
8 *355:20 *4215:B2 25.9947
9 *355:17 *4206:A 9.24915
*END
*D_NET *356 0.00702386
*CONN
*I *4207:A I *D sky130_fd_sc_hd__nor2_1
*I *4210:A I *D sky130_fd_sc_hd__or2_1
*I *4203:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4202:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4207:A 7.05907e-05
2 *4210:A 0.000276833
3 *4203:A 0
4 *4202:X 0
5 *356:11 0.000552217
6 *356:6 0.00119306
7 *356:5 0.00084709
8 *4207:A *4207:B 0.000160617
9 *4207:A *4213:A 6.08467e-05
10 *4207:A *366:8 4.31703e-05
11 *4210:A *4210:B 0
12 *4210:A *4221:A1 0.000209821
13 *4210:A *4679:A 0
14 *356:6 *4205:A0 3.46062e-05
15 *356:6 *4215:A2 0.000120985
16 *356:6 *359:8 0.000527218
17 *356:6 *359:10 0.000923692
18 *356:11 *4210:B 8.07794e-05
19 *356:11 *4221:A1 0
20 *356:11 *359:10 2.09495e-05
21 *356:11 *359:15 0.00018643
22 *4200:A *356:6 1.61918e-05
23 *4213:B *356:6 6.58661e-05
24 *176:8 *356:6 0
25 *279:16 *356:6 0.00156965
26 *279:26 *356:6 6.32405e-05
27 *280:14 *356:6 0
28 *280:14 *356:11 0
*RES
1 *4202:X *356:5 13.7491
2 *356:5 *356:6 38.7894
3 *356:6 *356:11 12.593
4 *356:11 *4203:A 9.24915
5 *356:11 *4210:A 26.0957
6 *356:6 *4207:A 16.1364
*END
*D_NET *357 0.00615108
*CONN
*I *4218:A1 I *D sky130_fd_sc_hd__o31a_1
*I *4209:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4215:A1 I *D sky130_fd_sc_hd__a32o_1
*I *4219:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4204:B_N I *D sky130_fd_sc_hd__nor2b_1
*I *4203:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4218:A1 0.000268939
2 *4209:A1 0.000365107
3 *4215:A1 0.00013587
4 *4219:B1 0
5 *4204:B_N 0.000305352
6 *4203:X 0
7 *357:22 0.000666969
8 *357:15 0.000232487
9 *357:6 0.000528739
10 *357:4 0.000425832
11 *4204:B_N *4207:B 2.65831e-05
12 *4209:A1 *4209:A2 6.86233e-05
13 *4209:A1 *4212:A2 6.15022e-05
14 *4209:A1 *4212:B1 0
15 *4209:A1 *4212:C1 0.000118166
16 *4209:A1 *4224:B1 2.65831e-05
17 *4209:A1 *4658:A 0.000168742
18 *4209:A1 *363:5 0.000401399
19 *4209:A1 *671:11 2.9522e-05
20 *4215:A1 *4215:A3 2.9812e-05
21 *4215:A1 *4215:B1 8.41713e-05
22 *4218:A1 *4218:A3 0.000659442
23 *357:6 *4218:A3 0.000201734
24 *357:6 *4221:A1 0.000247216
25 *357:6 *360:23 0.000163997
26 *357:6 *361:6 0.00010836
27 *357:6 *671:11 2.32311e-05
28 *357:15 *360:23 9.03933e-05
29 *357:15 *671:11 4.01573e-05
30 *357:22 *671:11 8.76467e-05
31 *4215:B2 *357:15 2.39535e-05
32 *4215:B2 *357:22 8.50452e-05
33 *354:8 *4209:A1 0.000218219
34 *354:8 *357:22 0.00013689
35 *355:25 *4204:B_N 0.000120395
*RES
1 *4203:X *357:4 9.24915
2 *357:4 *357:6 11.7303
3 *357:6 *4204:B_N 20.5732
4 *357:6 *357:15 2.6625
5 *357:15 *4219:B1 13.7491
6 *357:15 *357:22 5.98452
7 *357:22 *4215:A1 16.1605
8 *357:22 *4209:A1 27.308
9 *357:4 *4218:A1 17.1824
*END
*D_NET *358 0.006549
*CONN
*I *4223:A1 I *D sky130_fd_sc_hd__a211o_1
*I *4224:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4212:A2 I *D sky130_fd_sc_hd__a211o_1
*I *4220:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4204:Y O *D sky130_fd_sc_hd__nor2b_1
*CAP
1 *4223:A1 0.000148613
2 *4224:A1 0.000312881
3 *4212:A2 0.000641385
4 *4220:A2 0
5 *4204:Y 0.000132998
6 *358:32 0.000585879
7 *358:17 0.00103131
8 *358:8 0.000398533
9 *4212:A2 *4212:B1 8.44819e-06
10 *4212:A2 *4224:B1 6.50727e-05
11 *4212:A2 *4658:A 1.71611e-05
12 *4212:A2 *363:5 0.000576786
13 *4223:A1 *4223:A2 3.25906e-05
14 *4223:A1 *4639:A 0.000111802
15 *4224:A1 *4223:A2 0.000570422
16 *4224:A1 *4223:C1 1.65872e-05
17 *4224:A1 *4224:A2 0.000377647
18 *4224:A1 *4224:B1 2.65831e-05
19 *4224:A1 *677:7 1.19721e-05
20 *358:8 *4220:B1 2.01595e-05
21 *358:17 *4220:B1 1.07248e-05
22 *4209:A1 *4212:A2 6.15022e-05
23 *4217:A *358:8 5.04829e-06
24 *281:22 *4223:A1 5.95232e-05
25 *281:24 *4223:A1 6.85861e-07
26 *281:24 *358:8 0.000346318
27 *281:24 *358:17 0.000185336
28 *281:24 *358:32 0.000127746
29 *282:24 *4223:A1 4.35045e-05
30 *282:24 *358:17 0.000196594
31 *282:24 *358:32 0.000130946
32 *282:35 *358:8 0.000294241
*RES
1 *4204:Y *358:8 20.4571
2 *358:8 *4220:A2 13.7491
3 *358:8 *358:17 8.79358
4 *358:17 *4212:A2 25.227
5 *358:17 *358:32 5.15401
6 *358:32 *4224:A1 24.3348
7 *358:32 *4223:A1 17.9264
*END
*D_NET *359 0.00641162
*CONN
*I *4214:A I *D sky130_fd_sc_hd__nand2_1
*I *4207:B I *D sky130_fd_sc_hd__nor2_1
*I *4213:A I *D sky130_fd_sc_hd__or2_1
*I *4206:B_N I *D sky130_fd_sc_hd__or2b_1
*I *4210:B I *D sky130_fd_sc_hd__or2_1
*I *4205:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4214:A 0
2 *4207:B 9.34515e-05
3 *4213:A 0.000113679
4 *4206:B_N 0
5 *4210:B 0.00041759
6 *4205:X 0.000300071
7 *359:33 0.000207131
8 *359:15 0.000535281
9 *359:10 0.000467069
10 *359:8 0.000649449
11 *4210:B *4208:B 0.000113968
12 *4213:A *366:8 1.19721e-05
13 *359:8 *4205:A0 0.000199836
14 *359:8 *4299:A0 0.000127194
15 *359:10 *366:8 0.000127179
16 *359:10 *366:17 0.000235028
17 *359:15 *4208:B 6.08467e-05
18 *359:15 *4221:A1 1.29348e-05
19 *4204:B_N *4207:B 2.65831e-05
20 *4207:A *4207:B 0.000160617
21 *4207:A *4213:A 6.08467e-05
22 *4210:A *4210:B 0
23 *4213:B *4213:A 7.34948e-06
24 *4214:B *359:8 5.22654e-06
25 *4214:B *359:10 7.37879e-05
26 *4215:B2 *359:10 9.52575e-05
27 *4215:B2 *359:15 9.22013e-06
28 *176:8 *359:8 6.14701e-05
29 *280:14 *4210:B 0.000250091
30 *354:8 *359:10 4.83486e-05
31 *355:20 *359:15 4.27003e-05
32 *355:25 *4207:B 0.000158371
33 *356:6 *359:8 0.000527218
34 *356:6 *359:10 0.000923692
35 *356:11 *4210:B 8.07794e-05
36 *356:11 *359:10 2.09495e-05
37 *356:11 *359:15 0.00018643
*RES
1 *4205:X *359:8 24.8883
2 *359:8 *359:10 16.7811
3 *359:10 *359:15 8.7164
4 *359:15 *4210:B 31.2209
5 *359:15 *4206:B_N 9.24915
6 *359:10 *359:33 4.5
7 *359:33 *4213:A 12.2151
8 *359:33 *4207:B 12.7697
9 *359:8 *4214:A 13.7491
*END
*D_NET *360 0.00598046
*CONN
*I *4209:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4219:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4221:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4206:X O *D sky130_fd_sc_hd__or2b_1
*CAP
1 *4209:A2 0.000892898
2 *4219:A1 5.81894e-05
3 *4221:A1 0.00070428
4 *4206:X 4.51842e-05
5 *360:23 0.00105036
6 *360:7 0.000848736
7 *4209:A2 *4215:B1 1.49709e-05
8 *4209:A2 *4220:B1 2.05814e-05
9 *4209:A2 *4658:TE_B 0.000101133
10 *4209:A2 *364:27 2.53855e-05
11 *4209:A2 *367:8 3.94365e-05
12 *4209:A2 *674:8 0.000196623
13 *4221:A1 *4218:A3 1.2693e-05
14 *4221:A1 *4634:TE_B 0.000220183
15 *4221:A1 *4679:A 0
16 *4221:A1 *364:11 0.00036437
17 *4221:A1 *671:11 3.62816e-05
18 *360:7 *362:8 6.08467e-05
19 *4209:A1 *4209:A2 6.86233e-05
20 *4210:A *4221:A1 0.000209821
21 *4215:B2 *360:23 0.000165481
22 *275:24 *4209:A2 0.000232307
23 *355:20 *4221:A1 8.62625e-06
24 *355:20 *360:23 8.89094e-05
25 *356:11 *4221:A1 0
26 *357:6 *4221:A1 0.000247216
27 *357:6 *360:23 0.000163997
28 *357:15 *360:23 9.03933e-05
29 *359:15 *4221:A1 1.29348e-05
*RES
1 *4206:X *360:7 14.4725
2 *360:7 *4221:A1 30.9229
3 *360:7 *360:23 9.23876
4 *360:23 *4219:A1 9.97254
5 *360:23 *4209:A2 37.0428
*END
*D_NET *361 0.0032454
*CONN
*I *4208:B I *D sky130_fd_sc_hd__nand2_1
*I *4218:A3 I *D sky130_fd_sc_hd__o31a_1
*I *4207:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4208:B 0.000178201
2 *4218:A3 0.000336581
3 *4207:Y 5.82223e-05
4 *361:6 0.000573004
5 *4208:B *362:8 0.00056387
6 *4218:A3 *671:11 9.77871e-05
7 *361:6 *671:11 5.04879e-05
8 *4210:B *4208:B 0.000113968
9 *4218:A1 *4218:A3 0.000659442
10 *4218:A2 *4218:A3 0.000213725
11 *4221:A1 *4218:A3 1.2693e-05
12 *355:17 *4208:B 1.64789e-05
13 *357:6 *4218:A3 0.000201734
14 *357:6 *361:6 0.00010836
15 *359:15 *4208:B 6.08467e-05
*RES
1 *4207:Y *361:6 15.9964
2 *361:6 *4218:A3 26.1452
3 *361:6 *4208:B 20.5973
*END
*D_NET *362 0.00649263
*CONN
*I *4222:A I *D sky130_fd_sc_hd__inv_2
*I *4209:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4208:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4222:A 0.000924945
2 *4209:B1 0
3 *4208:Y 0.000815321
4 *362:8 0.00174027
5 *4222:A *4223:B1 0.00021042
6 *4222:A *367:7 0.00041745
7 *362:8 *4679:A 2.65831e-05
8 *362:8 *4679:TE_B 5.85252e-05
9 *4208:B *362:8 0.00056387
10 *275:24 *4222:A 0.000106645
11 *275:24 *362:8 0.000163408
12 *281:24 *4222:A 5.01115e-05
13 *281:24 *362:8 0.00038405
14 *354:33 *362:8 0.000499605
15 *355:17 *362:8 0.000470585
16 *360:7 *362:8 6.08467e-05
*RES
1 *4208:Y *362:8 42.6244
2 *362:8 *4209:B1 13.7491
3 *362:8 *4222:A 32.0395
*END
*D_NET *363 0.00224931
*CONN
*I *4212:B1 I *D sky130_fd_sc_hd__a211o_1
*I *4224:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4209:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4212:B1 2.06758e-05
2 *4224:B1 0.000290332
3 *4209:Y 6.76499e-05
4 *363:5 0.000378658
5 *4224:B1 *4223:C1 0
6 *363:5 *4212:C1 0.000118166
7 *4209:A1 *4212:B1 0
8 *4209:A1 *4224:B1 2.65831e-05
9 *4209:A1 *363:5 0.000401399
10 *4212:A2 *4212:B1 8.44819e-06
11 *4212:A2 *4224:B1 6.50727e-05
12 *4212:A2 *363:5 0.000576786
13 *4214:B *4224:B1 5.79689e-05
14 *4224:A1 *4224:B1 2.65831e-05
15 *176:8 *4224:B1 0.000210992
*RES
1 *4209:Y *363:5 15.5186
2 *363:5 *4224:B1 26.0957
3 *363:5 *4212:B1 9.82786
*END
*D_NET *364 0.00527647
*CONN
*I *4215:B1 I *D sky130_fd_sc_hd__a32o_1
*I *4211:B I *D sky130_fd_sc_hd__nor2_1
*I *4217:B I *D sky130_fd_sc_hd__nand2_1
*I *4221:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4210:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4215:B1 0.000283538
2 *4211:B 0
3 *4217:B 0.00017342
4 *4221:A2 2.06324e-05
5 *4210:X 0.000259627
6 *364:27 0.000677992
7 *364:11 0.000321529
8 *364:8 0.000781557
9 *4215:B1 *4215:A3 0.00027503
10 *4215:B1 *4220:A3 0.000101349
11 *4215:B1 *4658:TE_B 7.50722e-05
12 *4215:B1 *751:11 1.4091e-06
13 *364:8 *674:8 9.24241e-05
14 *364:8 *675:10 9.60366e-05
15 *364:11 *671:11 5.04829e-06
16 *364:11 *675:10 5.61125e-05
17 *364:27 *4220:A3 3.04443e-05
18 *364:27 *4679:A 0.000144753
19 *364:27 *671:11 0.00015851
20 *364:27 *674:8 0.000926252
21 *364:27 *675:10 6.94439e-05
22 *4209:A2 *4215:B1 1.49709e-05
23 *4209:A2 *364:27 2.53855e-05
24 *4215:A1 *4215:B1 8.41713e-05
25 *4217:A *4217:B 0.000220799
26 *4221:A1 *364:11 0.00036437
27 *282:35 *4217:B 1.65872e-05
*RES
1 *4210:X *364:8 18.523
2 *364:8 *364:11 9.10562
3 *364:11 *4221:A2 9.82786
4 *364:11 *4217:B 13.8789
5 *364:8 *364:27 17.6116
6 *364:27 *4211:B 13.7491
7 *364:27 *4215:B1 21.0722
*END
*D_NET *365 0.00301879
*CONN
*I *4223:C1 I *D sky130_fd_sc_hd__a211o_1
*I *4212:C1 I *D sky130_fd_sc_hd__a211o_1
*I *4211:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4223:C1 0.00028917
2 *4212:C1 1.24136e-05
3 *4211:Y 0.000187694
4 *365:8 0.000489277
5 *4223:C1 *4223:A2 0.000422784
6 *4223:C1 *367:8 0.000207394
7 *4223:C1 *367:28 8.37979e-05
8 *4223:C1 *677:7 0.00043038
9 *365:8 *4220:A1 6.50586e-05
10 *365:8 *4220:A3 9.60366e-05
11 *365:8 *4658:A 7.77309e-06
12 *365:8 *367:8 0.000226313
13 *365:8 *671:11 0.000247779
14 *4209:A1 *4212:C1 0.000118166
15 *4214:B *4223:C1 0
16 *4214:B *365:8 0
17 *4224:A1 *4223:C1 1.65872e-05
18 *4224:B1 *4223:C1 0
19 *275:24 *4223:C1 0
20 *354:8 *365:8 0
21 *363:5 *4212:C1 0.000118166
*RES
1 *4211:Y *365:8 20.4571
2 *365:8 *4212:C1 15.0271
3 *365:8 *4223:C1 25.1698
*END
*D_NET *366 0.00357335
*CONN
*I *4220:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4215:A2 I *D sky130_fd_sc_hd__a32o_1
*I *4219:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4213:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4220:A1 0.000353765
2 *4215:A2 0.000189514
3 *4219:A2 0
4 *4213:X 0.000157825
5 *366:17 0.000612844
6 *366:8 0.000227391
7 *4215:A2 *4215:A3 6.08467e-05
8 *4215:A2 *751:11 2.77625e-06
9 *4215:A2 *751:18 2.41274e-06
10 *4220:A1 *4220:A3 0.000184643
11 *4220:A1 *4658:TE_B 0.000461975
12 *4207:A *366:8 4.31703e-05
13 *4213:A *366:8 1.19721e-05
14 *4215:B2 *366:8 0.000127179
15 *4215:B2 *366:17 0.000245753
16 *279:26 *4215:A2 0.000128044
17 *282:35 *4220:A1 4.17531e-06
18 *354:5 *4215:A2 5.97411e-05
19 *354:5 *4220:A1 3.31745e-05
20 *354:26 *4220:A1 0.000108071
21 *354:33 *4220:A1 9.82896e-06
22 *356:6 *4215:A2 0.000120985
23 *359:10 *366:8 0.000127179
24 *359:10 *366:17 0.000235028
25 *365:8 *4220:A1 6.50586e-05
*RES
1 *4213:X *366:8 17.829
2 *366:8 *4219:A2 13.7491
3 *366:8 *366:17 8.82351
4 *366:17 *4215:A2 23.607
5 *366:17 *4220:A1 20.5341
*END
*D_NET *367 0.00649004
*CONN
*I *4223:A2 I *D sky130_fd_sc_hd__a211o_1
*I *4224:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4215:A3 I *D sky130_fd_sc_hd__a32o_1
*I *4220:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4214:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4223:A2 0.000145288
2 *4224:A2 0.000279098
3 *4215:A3 0.000153948
4 *4220:A3 0.000219039
5 *4214:Y 8.46369e-05
6 *367:28 0.000492985
7 *367:8 0.00065157
8 *367:7 0.00043182
9 *4215:A3 *4658:A 0.000158357
10 *4215:A3 *751:11 5.18123e-05
11 *4220:A3 *4658:TE_B 1.12605e-05
12 *4220:A3 *671:11 2.30104e-05
13 *4220:A3 *674:8 1.65872e-05
14 *4223:A2 *4639:A 5.51483e-06
15 *4223:A2 *677:7 3.58044e-05
16 *367:7 *4223:B1 2.20702e-05
17 *367:8 *4658:TE_B 5.22654e-06
18 *4209:A2 *367:8 3.94365e-05
19 *4215:A1 *4215:A3 2.9812e-05
20 *4215:A2 *4215:A3 6.08467e-05
21 *4215:B1 *4215:A3 0.00027503
22 *4215:B1 *4220:A3 0.000101349
23 *4215:B2 *4215:A3 0.000107496
24 *4220:A1 *4220:A3 0.000184643
25 *4222:A *367:7 0.00041745
26 *4223:A1 *4223:A2 3.25906e-05
27 *4223:C1 *4223:A2 0.000422784
28 *4223:C1 *367:8 0.000207394
29 *4223:C1 *367:28 8.37979e-05
30 *4224:A1 *4223:A2 0.000570422
31 *4224:A1 *4224:A2 0.000377647
32 *176:7 *367:7 0.000127271
33 *275:24 *367:8 0
34 *354:33 *4220:A3 0.000311249
35 *364:27 *4220:A3 3.04443e-05
36 *365:8 *4220:A3 9.60366e-05
37 *365:8 *367:8 0.000226313
*RES
1 *4214:Y *367:7 18.3548
2 *367:7 *367:8 8.06078
3 *367:8 *4220:A3 21.9871
4 *367:8 *4215:A3 20.0427
5 *367:7 *367:28 1.832
6 *367:28 *4224:A2 19.1987
7 *367:28 *4223:A2 21.5988
*END
*D_NET *368 0.00554595
*CONN
*I *4216:A I *D sky130_fd_sc_hd__inv_2
*I *4215:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *4216:A 0
2 *4215:X 0.000235189
3 *368:10 0.000968181
4 *368:7 0.00120337
5 *368:7 *751:18 0.000364356
6 *368:10 *4287:B 0.000513366
7 *368:10 *4303:A 0
8 *368:10 *424:34 0
9 *368:10 *682:8 0
10 *368:10 *683:18 0.00226149
*RES
1 *4215:X *368:7 17.8002
2 *368:7 *368:10 43.2894
3 *368:10 *4216:A 9.24915
*END
*D_NET *369 0.000587506
*CONN
*I *4218:B1 I *D sky130_fd_sc_hd__o31a_1
*I *4217:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4218:B1 0.000140528
2 *4217:Y 0.000140528
3 *270:49 *4218:B1 0.000153225
4 *276:22 *4218:B1 0.000153225
*RES
1 *4217:Y *4218:B1 31.9934
*END
*D_NET *370 0.00175294
*CONN
*I *4220:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4219:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4220:B1 0.000778585
2 *4219:Y 0.000778585
3 *4209:A2 *4220:B1 2.05814e-05
4 *270:49 *4220:B1 3.60268e-05
5 *282:24 *4220:B1 3.31882e-05
6 *282:35 *4220:B1 7.50872e-05
7 *358:8 *4220:B1 2.01595e-05
8 *358:17 *4220:B1 1.07248e-05
*RES
1 *4219:Y *4220:B1 39.3426
*END
*D_NET *371 0.0024404
*CONN
*I *4223:B1 I *D sky130_fd_sc_hd__a211o_1
*I *4222:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4223:B1 0.000861989
2 *4222:Y 0.000861989
3 *4222:A *4223:B1 0.00021042
4 *176:7 *4223:B1 0.000200035
5 *275:24 *4223:B1 3.04973e-05
6 *281:22 *4223:B1 1.5147e-05
7 *281:24 *4223:B1 0.000238255
8 *367:7 *4223:B1 2.20702e-05
*RES
1 *4222:Y *4223:B1 45.9092
*END
*D_NET *372 0.000878874
*CONN
*I *4305:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4226:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4225:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4305:A 0.000184073
2 *4226:A 0
3 *4225:Y 0.000142197
4 *372:9 0.00032627
5 *4305:A *373:7 0.000103022
6 *4305:A *438:7 0.000113968
7 *372:9 *4154:A_N 9.34396e-06
8 *372:9 *751:18 0
9 *4178:B1 *372:9 0
*RES
1 *4225:Y *372:9 21.635
2 *372:9 *4226:A 9.24915
3 *372:9 *4305:A 14.4094
*END
*D_NET *373 0.0137608
*CONN
*I *4227:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4252:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4244:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4285:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4346:A I *D sky130_fd_sc_hd__and2_1
*I *4226:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4227:A 0.000216657
2 *4252:A 7.19654e-05
3 *4244:A 3.37551e-05
4 *4285:A 0.000174702
5 *4346:A 0.00075625
6 *4226:X 0.00015737
7 *373:57 0.00156431
8 *373:41 0.00161783
9 *373:13 0.00160738
10 *373:7 0.00114218
11 *4227:A *374:5 2.38501e-05
12 *4252:A *4282:A1 3.98321e-05
13 *4252:A *374:50 0
14 *4285:A *4306:S 0.000197911
15 *4285:A *424:8 0.000169041
16 *4346:A *4306:S 5.15211e-05
17 *4346:A *4308:A 0
18 *4346:A *4346:B 0.000164829
19 *4346:A *4347:A 4.4379e-05
20 *4346:A *645:31 8.71055e-05
21 *4346:A *645:35 7.58739e-05
22 *373:7 *438:7 0.000113968
23 *373:13 *4306:A0 2.39581e-05
24 *373:13 *4306:A1 0.00026008
25 *373:13 *4306:S 8.47161e-05
26 *373:13 *4307:A 0
27 *373:13 *4467:CLK 6.4628e-05
28 *373:13 *645:10 0.000364186
29 *373:41 *4355:A1 0
30 *373:41 *4358:A1 0
31 *373:41 *4364:A1 0.000313611
32 *373:41 *390:12 0
33 *373:41 *479:15 0.000170592
34 *373:41 *645:8 3.89332e-06
35 *373:41 *645:10 8.64658e-05
36 *373:57 *374:5 3.02812e-05
37 *373:57 *374:50 1.06068e-05
38 *373:57 *378:20 0.000190042
39 *373:57 *387:27 6.3657e-05
40 *373:57 *390:27 0
41 *373:57 *421:8 0.000586437
42 *373:57 *475:9 0
43 *373:57 *479:15 0.00107771
44 *4197:C_N *373:57 2.16355e-05
45 *4305:A *373:7 0.000103022
46 *4354:C *373:57 6.89596e-05
47 *4360:A *373:57 7.58407e-05
48 *4450:D *4346:A 0
49 *4454:D *4346:A 0.000153225
50 *245:11 *373:13 0.000850027
51 *245:11 *373:41 0.000477172
52 *282:54 *4244:A 2.16355e-05
53 *347:15 *373:57 0
54 *347:29 *373:57 4.18989e-05
55 *348:14 *373:57 0.000159369
56 *348:29 *373:57 2.5053e-05
57 *351:8 *373:57 5.56367e-05
58 *351:17 *373:57 6.57427e-05
*RES
1 *4226:X *373:7 17.8002
2 *373:7 *373:13 30.6193
3 *373:13 *4346:A 38.2017
4 *373:13 *4285:A 15.7959
5 *373:7 *373:41 13.5323
6 *373:41 *4244:A 14.4725
7 *373:41 *373:57 42.9881
8 *373:57 *4252:A 20.0811
9 *373:57 *4227:A 12.7697
*END
*D_NET *374 0.0143361
*CONN
*I *4262:C1 I *D sky130_fd_sc_hd__o311a_1
*I *4230:A I *D sky130_fd_sc_hd__and2_1
*I *4436:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4283:A I *D sky130_fd_sc_hd__and2_1
*I *4386:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4227:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4262:C1 0.000515394
2 *4230:A 0.00100691
3 *4436:C1 0
4 *4283:A 0.000177394
5 *4386:B1 0.000439875
6 *4227:X 0.000123393
7 *374:61 0.00186118
8 *374:50 0.00158448
9 *374:7 0.000663266
10 *374:5 0.001415
11 *4230:A *4236:A 0.000165393
12 *4230:A *4261:A 1.03403e-05
13 *4230:A *378:19 9.5562e-05
14 *4230:A *382:38 2.08649e-05
15 *4230:A *695:25 2.95173e-05
16 *4262:C1 *4262:A1 0.000207266
17 *4262:C1 *4262:A3 5.97576e-05
18 *4262:C1 *386:40 0
19 *4262:C1 *387:20 2.82537e-05
20 *4283:A *696:26 1.09738e-05
21 *4283:A *696:31 4.5539e-05
22 *4386:B1 *4354:B 0.000111802
23 *4386:B1 *4479:CLK 0.000168742
24 *374:5 *473:8 5.08751e-05
25 *374:7 *4354:B 9.32983e-05
26 *374:7 *473:8 1.41976e-05
27 *374:50 *4282:A1 0
28 *374:50 *4373:B 5.88662e-05
29 *374:50 *4375:A2 0
30 *374:50 *4377:B1 0.000120584
31 *374:50 *4382:A 1.70638e-05
32 *374:50 *4436:A2 3.67708e-05
33 *374:50 *4436:B1 6.08727e-05
34 *374:50 *387:20 5.92342e-05
35 *374:50 *387:22 0.000113184
36 *374:50 *387:27 0.000944259
37 *374:50 *480:13 0.000203418
38 *374:50 *679:12 0
39 *374:50 *679:18 0
40 *374:50 *679:20 0
41 *374:50 *680:13 0
42 *374:61 *4436:A2 0.000195154
43 *374:61 *386:22 2.21765e-05
44 *374:61 *386:31 4.53586e-05
45 *374:61 *386:40 0
46 *374:61 *387:20 0.000456364
47 *374:61 *419:8 0.000109859
48 *4181:A1 *4386:B1 0
49 *4197:B *4386:B1 0.000260388
50 *4197:C_N *4386:B1 6.46887e-05
51 *4198:A *4386:B1 6.50727e-05
52 *4227:A *374:5 2.38501e-05
53 *4252:A *374:50 0
54 *4354:C *374:7 7.68538e-06
55 *4354:C *374:50 7.93303e-06
56 *4365:A *374:50 8.36615e-05
57 *4372:B1 *374:50 5.56367e-05
58 *4386:A1 *4386:B1 0.000464819
59 *4386:A2 *4386:B1 4.04393e-05
60 *278:13 *4283:A 5.39767e-05
61 *278:21 *4283:A 0.00013082
62 *278:21 *4386:B1 0.000613341
63 *289:30 *4230:A 0
64 *342:20 *4262:C1 5.00082e-05
65 *344:6 *374:50 0.000231378
66 *344:28 *374:50 0.000346318
67 *349:8 *4386:B1 0.000143047
68 *351:17 *4386:B1 7.53561e-05
69 *351:17 *374:7 2.16355e-05
70 *351:20 *4283:A 0.000132046
71 *351:20 *374:50 5.41227e-05
72 *351:32 *4283:A 2.65667e-05
73 *373:57 *374:5 3.02812e-05
74 *373:57 *374:50 1.06068e-05
*RES
1 *4227:X *374:5 12.7456
2 *374:5 *374:7 1.8326
3 *374:7 *4386:B1 36.2476
4 *374:7 *4283:A 23.8535
5 *374:5 *374:50 49.5257
6 *374:50 *4436:C1 13.7491
7 *374:50 *374:61 13.1171
8 *374:61 *4230:A 38.3363
9 *374:61 *4262:C1 26.5605
*END
*D_NET *375 0.00265736
*CONN
*I *4238:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4229:B I *D sky130_fd_sc_hd__nor3_2
*I *4366:A2 I *D sky130_fd_sc_hd__a21oi_2
*I *4228:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4238:A 0.000301213
2 *4229:B 9.63246e-05
3 *4366:A2 0
4 *4228:Y 8.93642e-05
5 *375:11 0.000431196
6 *375:5 0.000123023
7 *4229:B *4366:B1 8.36615e-05
8 *4238:A *4239:B 0.000394058
9 *4238:A *4366:B1 6.92705e-05
10 *4238:A *481:8 1.41853e-05
11 *4238:A *696:17 0.000267571
12 *4238:A *696:26 0.000113968
13 *375:5 *4228:A 0.000210067
14 *375:5 *4239:B 2.15348e-05
15 *375:5 *696:17 0.000122378
16 *375:11 *4239:B 5.73392e-05
17 *375:11 *696:17 0.000164829
18 *348:42 *375:5 1.37189e-05
19 *348:59 *4229:B 8.36615e-05
*RES
1 *4228:Y *375:5 12.7456
2 *375:5 *4366:A2 9.24915
3 *375:5 *375:11 1.8326
4 *375:11 *4229:B 21.7421
5 *375:11 *4238:A 20.1483
*END
*D_NET *376 0.00639463
*CONN
*I *4262:A1 I *D sky130_fd_sc_hd__o311a_1
*I *4236:A I *D sky130_fd_sc_hd__nor2_2
*I *4230:B I *D sky130_fd_sc_hd__and2_1
*I *4366:B1 I *D sky130_fd_sc_hd__a21oi_2
*I *4229:Y O *D sky130_fd_sc_hd__nor3_2
*CAP
1 *4262:A1 0.000339668
2 *4236:A 0.000137391
3 *4230:B 0.000252984
4 *4366:B1 0.000168924
5 *4229:Y 0
6 *376:26 0.000559726
7 *376:15 0.000953228
8 *376:5 0.000786501
9 *4230:B *379:8 0.000162583
10 *4236:A *4236:B 6.50727e-05
11 *4236:A *4262:B1 9.82896e-06
12 *4236:A *382:8 2.65667e-05
13 *4236:A *382:38 7.39264e-05
14 *4262:A1 *4262:A3 2.78272e-05
15 *4262:A1 *379:8 6.42805e-05
16 *4262:A1 *412:10 0.000165495
17 *4366:B1 *398:20 0
18 *4366:B1 *696:17 6.50727e-05
19 *376:15 *4277:A2 6.92004e-05
20 *376:15 *412:10 0.00041939
21 *376:26 *379:8 5.47736e-05
22 *376:26 *412:10 0.000165495
23 *4229:B *4366:B1 8.36615e-05
24 *4230:A *4236:A 0.000165393
25 *4233:B *4230:B 0.000111708
26 *4238:A *4366:B1 6.92705e-05
27 *4262:C1 *4262:A1 0.000207266
28 *4263:A1 *376:15 6.08697e-06
29 *4263:A1 *376:26 2.53624e-06
30 *4276:A2 *376:15 0.000109859
31 *84:11 *4230:B 0.000233678
32 *342:27 *4366:B1 5.41377e-05
33 *342:27 *376:15 0.00056907
34 *343:41 *376:15 0.000204452
35 *344:32 *376:15 9.57557e-06
36 *348:59 *4366:B1 0
37 *348:59 *376:15 0
*RES
1 *4229:Y *376:5 13.7491
2 *376:5 *4366:B1 18.7961
3 *376:5 *376:15 22.5946
4 *376:15 *4230:B 22.2611
5 *376:15 *376:26 3.07775
6 *376:26 *4236:A 18.9335
7 *376:26 *4262:A1 22.5658
*END
*D_NET *377 0.000315542
*CONN
*I *4231:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4230:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4231:A 0.000115249
2 *4230:X 0.000115249
3 *84:11 *4231:A 8.5044e-05
*RES
1 *4230:X *4231:A 21.9947
*END
*D_NET *378 0.0101413
*CONN
*I *4248:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4245:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4243:A1 I *D sky130_fd_sc_hd__o221ai_1
*I *4242:B I *D sky130_fd_sc_hd__xnor2_1
*I *4357:B I *D sky130_fd_sc_hd__and3_1
*I *4232:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4248:A2 0.000237626
2 *4245:A1 0
3 *4243:A1 0.000106601
4 *4242:B 0
5 *4357:B 0.000141359
6 *4232:X 0.00013943
7 *378:41 0.000237626
8 *378:20 0.00150982
9 *378:19 0.00153534
10 *378:15 0.000239665
11 *378:13 0.000301433
12 *378:8 0.000261476
13 *4243:A1 *4243:B2 5.8261e-05
14 *4243:A1 *4245:B1 0.000117007
15 *4243:A1 *4245:C1 1.66626e-05
16 *4243:A1 *384:40 1.55462e-05
17 *4243:A1 *386:40 0
18 *4243:A1 *397:43 0
19 *4248:A2 *4248:B1 6.08467e-05
20 *4248:A2 *4250:A3 5.07314e-05
21 *378:8 *4457:D 4.58003e-05
22 *378:8 *384:40 7.7933e-05
23 *378:8 *397:43 0.000149628
24 *378:13 *384:40 0.000200236
25 *378:13 *387:11 0.000154145
26 *378:13 *397:43 0.000196638
27 *378:15 *387:11 0.000213725
28 *378:19 *387:11 4.81452e-05
29 *378:19 *387:20 0.000265301
30 *378:20 *4243:C1 0.000195139
31 *378:20 *4277:B1 0.000254405
32 *378:20 *4360:B 0.000115235
33 *378:20 *4378:A2 2.15266e-05
34 *378:20 *398:20 0.00129323
35 *378:20 *413:23 0.000490979
36 *378:20 *481:8 4.82328e-05
37 *378:20 *696:17 7.65861e-05
38 *378:20 *696:26 0
39 *4230:A *378:19 9.5562e-05
40 *4438:D *4248:A2 6.22871e-05
41 *278:21 *4357:B 0.000211478
42 *342:20 *378:20 5.1573e-05
43 *343:16 *378:20 0.000190579
44 *343:28 *378:20 0.000175045
45 *348:29 *378:20 0.000260211
46 *351:8 *378:20 2.82537e-05
47 *373:57 *378:20 0.000190042
*RES
1 *4232:X *378:8 17.6896
2 *378:8 *378:13 9.82561
3 *378:13 *378:15 2.38721
4 *378:15 *378:19 9.66022
5 *378:19 *378:20 55.3995
6 *378:20 *4357:B 16.1364
7 *378:15 *4242:B 9.24915
8 *378:13 *4243:A1 21.7421
9 *378:8 *378:41 4.5
10 *378:41 *4245:A1 9.24915
11 *378:41 *4248:A2 15.5186
*END
*D_NET *379 0.00283255
*CONN
*I *4263:B1 I *D sky130_fd_sc_hd__a22o_1
*I *4235:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4233:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4263:B1 5.98618e-05
2 *4235:A2 9.86558e-05
3 *4233:Y 0.000250698
4 *379:8 0.000409215
5 *4235:A2 *4457:D 0.00036013
6 *4235:A2 *381:8 0.000213725
7 *4263:B1 *4262:A3 0.000195139
8 *379:8 *4262:A3 0.000123597
9 *379:8 *412:10 1.57871e-05
10 *4230:B *379:8 0.000162583
11 *4262:A1 *379:8 6.42805e-05
12 *4263:A1 *4263:B1 0.000186513
13 *4263:A1 *379:8 0.000479234
14 *84:11 *379:8 0.000158357
15 *376:26 *379:8 5.47736e-05
*RES
1 *4233:Y *379:8 24.473
2 *379:8 *4235:A2 17.8002
3 *379:8 *4263:B1 17.2421
*END
*D_NET *380 0.00126522
*CONN
*I *4235:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4234:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4235:B1 0.000384292
2 *4234:Y 0.000384292
3 *4235:B1 *4234:A 0.00034351
4 *4235:B1 *4234:B 2.41916e-05
5 *4235:B1 *382:8 0.000127164
6 *4235:B1 *695:25 1.77537e-06
*RES
1 *4234:Y *4235:B1 34.9058
*END
*D_NET *381 0.00635736
*CONN
*I *4262:A2 I *D sky130_fd_sc_hd__o311a_1
*I *4236:B I *D sky130_fd_sc_hd__nor2_2
*I *4278:B I *D sky130_fd_sc_hd__nor2_1
*I *4276:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4435:B I *D sky130_fd_sc_hd__or3b_1
*I *4235:X O *D sky130_fd_sc_hd__o22a_1
*CAP
1 *4262:A2 0
2 *4236:B 2.02875e-05
3 *4278:B 0.000521013
4 *4276:B1 3.51473e-05
5 *4435:B 0.000642108
6 *4235:X 0.000182952
7 *381:22 0.00080869
8 *381:20 0.000868814
9 *381:14 0.000344795
10 *381:8 0.000291094
11 *4236:B *4262:B1 7.34948e-06
12 *4276:B1 *4705:A 3.82228e-05
13 *4278:B *419:8 2.41274e-06
14 *4435:B *667:23 0.000134323
15 *4435:B *696:17 0.000137921
16 *381:8 *382:8 2.68928e-05
17 *381:8 *695:25 9.40059e-05
18 *381:14 *4262:B1 5.39608e-05
19 *381:14 *382:8 2.6777e-05
20 *381:14 *695:25 0.000217976
21 *381:20 *4233:A 0.000172144
22 *381:20 *695:25 7.85799e-05
23 *381:22 *4233:A 8.52802e-05
24 *381:22 *4279:B1 0.00013592
25 *381:22 *667:23 8.62625e-06
26 *381:22 *696:17 0.000302967
27 *4235:A2 *381:8 0.000213725
28 *4236:A *4236:B 6.50727e-05
29 *4276:A1 *4435:B 3.024e-05
30 *4276:A2 *4276:B1 0.000107496
31 *342:23 *4435:B 0.000360159
32 *343:28 *4435:B 4.41269e-05
33 *344:32 *4435:B 4.33655e-05
34 *348:59 *381:14 4.89469e-06
35 *348:59 *381:20 0.000142723
36 *348:59 *381:22 0.000107294
*RES
1 *4235:X *381:8 17.9683
2 *381:8 *381:14 4.81204
3 *381:14 *381:20 7.71881
4 *381:20 *381:22 6.81502
5 *381:22 *4435:B 25.4541
6 *381:22 *4276:B1 15.0271
7 *381:20 *4278:B 23.9008
8 *381:14 *4236:B 14.4725
9 *381:8 *4262:A2 13.7491
*END
*D_NET *382 0.00862908
*CONN
*I *4261:B I *D sky130_fd_sc_hd__or2_1
*I *4243:C1 I *D sky130_fd_sc_hd__o221ai_1
*I *4267:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4237:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4265:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4236:Y O *D sky130_fd_sc_hd__nor2_2
*CAP
1 *4261:B 0
2 *4243:C1 0.000285755
3 *4267:A2 0.000111558
4 *4237:A 0.00043895
5 *4265:A1 7.98254e-05
6 *4236:Y 0
7 *382:38 0.000480014
8 *382:10 0.000518776
9 *382:8 0.000674513
10 *382:4 0.000757213
11 *4237:A *4265:B1 0.000158371
12 *4237:A *4273:B1 0.000428965
13 *4243:C1 *398:18 8.62625e-06
14 *4243:C1 *398:20 0.00022117
15 *4243:C1 *413:23 1.2693e-05
16 *4265:A1 *4265:B1 0.000107496
17 *4267:A2 *4265:A2 4.55115e-05
18 *4267:A2 *4267:A3 9.17188e-05
19 *4267:A2 *4268:A 0
20 *382:8 *4262:B1 0.000182944
21 *382:8 *4265:A2 0.00102021
22 *382:8 *695:25 0
23 *382:38 *4261:A 6.08467e-05
24 *382:38 *4262:B1 0.000253916
25 *4230:A *382:38 2.08649e-05
26 *4235:B1 *382:8 0.000127164
27 *4236:A *382:8 2.65667e-05
28 *4236:A *382:38 7.39264e-05
29 *84:11 *4243:C1 7.58388e-05
30 *292:67 *4267:A2 1.2693e-05
31 *342:17 *4237:A 0.000260388
32 *348:59 *4267:A2 0.000252327
33 *348:59 *382:8 0.00159142
34 *378:20 *4243:C1 0.000195139
35 *381:8 *382:8 2.68928e-05
36 *381:14 *382:8 2.6777e-05
*RES
1 *4236:Y *382:4 9.24915
2 *382:4 *382:8 33.6315
3 *382:8 *382:10 4.5
4 *382:10 *4265:A1 10.5271
5 *382:10 *4237:A 20.1483
6 *382:8 *4267:A2 19.2207
7 *382:4 *382:38 5.73894
8 *382:38 *4243:C1 26.763
9 *382:38 *4261:B 9.24915
*END
*D_NET *383 0.00611218
*CONN
*I *4274:C1 I *D sky130_fd_sc_hd__o211ai_1
*I *4275:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4251:A I *D sky130_fd_sc_hd__nand2_1
*I *4253:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4245:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4237:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4274:C1 0.000279681
2 *4275:A2 4.07002e-05
3 *4251:A 0.000106715
4 *4253:A2 0.00023962
5 *4245:A2 0.00060424
6 *4237:X 5.83915e-05
7 *383:41 0.00046284
8 *383:10 0.000876496
9 *383:8 0.000327444
10 *383:7 0.000388944
11 *4245:A2 *4251:B 5.1573e-05
12 *4245:A2 *384:40 0.000143321
13 *4245:A2 *386:40 0.00010027
14 *4245:A2 *391:10 0.000106246
15 *4245:A2 *391:14 0.000587
16 *4251:A *4253:B1 6.73186e-05
17 *4253:A2 *4253:A1 1.49001e-05
18 *4253:A2 *4253:B1 0.00028923
19 *4274:C1 *4274:A1 0
20 *4274:C1 *4274:B1 3.20274e-05
21 *4274:C1 *4275:B1 0.000320287
22 *4274:C1 *666:10 4.70005e-05
23 *4275:A2 *4275:B1 5.04829e-06
24 *4275:A2 *4275:C1 8.27427e-05
25 *4275:A2 *666:17 2.29406e-05
26 *383:7 *4273:B1 0.000171273
27 *383:8 *4273:B1 9.60334e-05
28 *383:8 *391:8 9.40059e-05
29 *383:8 *391:10 3.31882e-05
30 *383:10 *4251:B 2.04806e-05
31 *383:10 *391:10 7.65861e-05
32 *383:41 *4273:B1 1.09738e-05
33 *383:41 *4274:B1 2.69064e-05
34 *383:41 *4275:C1 0
35 *4442:D *4274:C1 0
36 *268:52 *4274:C1 0
37 *340:16 *4245:A2 8.8567e-05
38 *340:29 *4245:A2 0.000239184
39 *342:20 *383:8 0
40 *342:20 *383:10 0
*RES
1 *4237:X *383:7 15.5817
2 *383:7 *383:8 5.98452
3 *383:8 *383:10 1.41674
4 *383:10 *4245:A2 35.0125
5 *383:10 *4253:A2 18.2342
6 *383:8 *4251:A 16.7151
7 *383:7 *383:41 3.493
8 *383:41 *4275:A2 15.4612
9 *383:41 *4274:C1 22.6727
*END
*D_NET *384 0.012524
*CONN
*I *4273:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4250:B2 I *D sky130_fd_sc_hd__o32a_1
*I *4243:A2 I *D sky130_fd_sc_hd__o221ai_1
*I *4368:B I *D sky130_fd_sc_hd__nor2_1
*I *4381:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4238:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4273:B1 0.000507701
2 *4250:B2 8.46362e-05
3 *4243:A2 4.94286e-05
4 *4368:B 5.49594e-05
5 *4381:B1 0.000288133
6 *4238:X 0.000182518
7 *384:40 0.0011251
8 *384:27 0.00105691
9 *384:15 0.000861635
10 *384:7 0.000802602
11 *4250:B2 *4250:A1 2.53534e-05
12 *4250:B2 *4250:A3 6.50727e-05
13 *4250:B2 *392:11 1.41689e-05
14 *4250:B2 *392:19 0.00011818
15 *4273:B1 *4246:A 0.000419968
16 *4273:B1 *4274:B1 0.000112483
17 *4273:B1 *4275:C1 7.55529e-05
18 *4273:B1 *391:8 9.75356e-05
19 *4273:B1 *391:10 0.000210977
20 *4273:B1 *391:14 0.000182915
21 *4273:B1 *397:43 4.76098e-05
22 *4368:B *4370:A2 0.000217923
23 *4368:B *4370:C1 6.08467e-05
24 *4381:B1 *4367:A 5.64929e-05
25 *4381:B1 *4369:A1 4.23937e-05
26 *4381:B1 *4383:A2 8.01687e-05
27 *4381:B1 *397:8 0.000200221
28 *4381:B1 *397:17 0.000588499
29 *4381:B1 *480:39 1.3807e-05
30 *4381:B1 *480:46 0.000108686
31 *4381:B1 *680:30 0.000179271
32 *384:7 *4374:B1 0.000103123
33 *384:7 *4375:B1 6.08467e-05
34 *384:7 *680:13 6.11872e-05
35 *384:15 *4369:A1 5.1573e-05
36 *384:15 *4369:A2 1.31364e-05
37 *384:15 *4370:A1 0
38 *384:15 *4375:B1 0.000153225
39 *384:15 *397:17 0.000165548
40 *384:15 *397:24 0.000545072
41 *384:27 *397:24 2.09495e-05
42 *384:27 *397:43 0.000756758
43 *384:27 *647:8 0
44 *384:27 *647:19 0
45 *384:27 *647:75 0
46 *384:40 *4245:B1 0.00030755
47 *384:40 *386:40 0
48 *384:40 *391:14 0.000409182
49 *384:40 *397:43 0.000201223
50 *4232:A *384:40 0.000169078
51 *4237:A *4273:B1 0.000428965
52 *4243:A1 *384:40 1.55462e-05
53 *4245:A2 *384:40 0.000143321
54 *84:9 *4243:A2 0.000162663
55 *84:9 *384:27 0.000266846
56 *378:8 *384:40 7.7933e-05
57 *378:13 *384:40 0.000200236
58 *383:7 *4273:B1 0.000171273
59 *383:8 *4273:B1 9.60334e-05
60 *383:41 *4273:B1 1.09738e-05
*RES
1 *4238:X *384:7 18.3548
2 *384:7 *4381:B1 27.6235
3 *384:7 *384:15 12.6286
4 *384:15 *4368:B 16.1364
5 *384:15 *384:27 21.3161
6 *384:27 *4243:A2 11.0817
7 *384:27 *384:40 25.5069
8 *384:40 *4250:B2 16.8839
9 *384:40 *4273:B1 35.2808
*END
*D_NET *385 0.00308191
*CONN
*I *4240:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4271:A I *D sky130_fd_sc_hd__or2_1
*I *4239:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4240:A 5.78843e-05
2 *4271:A 0.000152913
3 *4239:X 0.0004219
4 *385:8 0.000632698
5 *4240:A *4279:A2 3.82228e-05
6 *4271:A *4279:A2 0.000119727
7 *385:8 *4360:B 0.000120366
8 *385:8 *387:20 0.000189511
9 *385:8 *387:22 0.000160467
10 *385:8 *387:27 0.000732535
11 *342:20 *385:8 0.000123974
12 *343:16 *385:8 0.0001549
13 *343:28 *385:8 0.000176813
*RES
1 *4239:X *385:8 37.1065
2 *385:8 *4271:A 12.7456
3 *385:8 *4240:A 10.5271
*END
*D_NET *386 0.00728783
*CONN
*I *4250:A1 I *D sky130_fd_sc_hd__o32a_1
*I *4259:A I *D sky130_fd_sc_hd__nor2_1
*I *4243:B1 I *D sky130_fd_sc_hd__o221ai_1
*I *4278:A I *D sky130_fd_sc_hd__nor2_1
*I *4277:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4240:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4250:A1 0.000277069
2 *4259:A 0.000765243
3 *4243:B1 0
4 *4278:A 3.61343e-05
5 *4277:A2 0.000393017
6 *4240:X 0
7 *386:40 0.00174064
8 *386:31 0.000796978
9 *386:22 0.00027789
10 *386:4 0.000536121
11 *4250:A1 *392:19 2.60704e-05
12 *4259:A *4258:A 3.31745e-05
13 *4259:A *4258:B 0.00046987
14 *4259:A *4259:B 6.04918e-05
15 *4259:A *392:19 8.99279e-05
16 *4259:A *403:7 0.000114584
17 *4277:A2 *4279:A2 0.000546741
18 *4277:A2 *4705:A 8.13693e-06
19 *4277:A2 *412:10 5.41377e-05
20 *4277:A2 *413:7 6.08467e-05
21 *386:22 *419:8 3.92275e-05
22 *386:31 *4243:B2 2.69524e-05
23 *386:31 *397:43 0
24 *386:40 *4243:B2 6.17194e-05
25 *386:40 *4245:C1 6.11144e-05
26 *386:40 *4360:B 2.33103e-06
27 *4243:A1 *386:40 0
28 *4245:A2 *386:40 0.00010027
29 *4250:B2 *4250:A1 2.53534e-05
30 *4262:C1 *386:40 0
31 *4276:A2 *4277:A2 1.78949e-05
32 *4491:D *386:22 0
33 *340:16 *386:40 0.000205332
34 *340:29 *386:40 0.000111343
35 *342:20 *386:40 0
36 *344:32 *4277:A2 0.000212491
37 *374:61 *386:22 2.21765e-05
38 *374:61 *386:31 4.53586e-05
39 *374:61 *386:40 0
40 *376:15 *4277:A2 6.92004e-05
41 *384:40 *386:40 0
*RES
1 *4240:X *386:4 9.24915
2 *386:4 *4277:A2 29.9011
3 *386:4 *386:22 8.30115
4 *386:22 *4278:A 14.4725
5 *386:22 *386:31 3.07775
6 *386:31 *4243:B1 13.7491
7 *386:31 *386:40 23.7726
8 *386:40 *4259:A 25.7185
9 *386:40 *4250:A1 13.7342
*END
*D_NET *387 0.010733
*CONN
*I *4248:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4242:A I *D sky130_fd_sc_hd__xnor2_1
*I *4436:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4435:C_N I *D sky130_fd_sc_hd__or3b_1
*I *4354:A I *D sky130_fd_sc_hd__and3_1
*I *4241:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4248:A1 5.56823e-05
2 *4242:A 0
3 *4436:A1 0.000152155
4 *4435:C_N 4.51247e-05
5 *4354:A 0
6 *4241:X 0.000143866
7 *387:27 0.000624963
8 *387:22 0.000728973
9 *387:20 0.000661206
10 *387:11 0.000864171
11 *387:8 0.000613554
12 *4248:A1 *4247:A 5.54078e-05
13 *4248:A1 *647:19 5.22071e-05
14 *4436:A1 *4277:C1 0
15 *4436:A1 *4436:A2 0.000152083
16 *4436:A1 *4705:A 3.20121e-05
17 *387:8 *4247:A 6.46135e-05
18 *387:8 *647:19 6.46135e-05
19 *387:27 *4360:B 0.000127192
20 *387:27 *696:26 0.00126543
21 *4262:C1 *387:20 2.82537e-05
22 *4365:A *387:27 0.000195154
23 *4372:B1 *387:27 5.92192e-05
24 *84:9 *387:8 0.00011818
25 *342:20 *387:20 0.000473156
26 *343:16 *387:27 1.71442e-05
27 *344:6 *387:27 0.00021767
28 *344:28 *387:22 2.95757e-05
29 *344:28 *387:27 0.000309548
30 *344:32 *4435:C_N 0.000111708
31 *351:17 *387:27 6.64392e-05
32 *351:20 *387:27 3.20069e-06
33 *373:57 *387:27 6.3657e-05
34 *374:50 *387:20 5.92342e-05
35 *374:50 *387:22 0.000113184
36 *374:50 *387:27 0.000944259
37 *374:61 *387:20 0.000456364
38 *378:13 *387:11 0.000154145
39 *378:15 *387:11 0.000213725
40 *378:19 *387:11 4.81452e-05
41 *378:19 *387:20 0.000265301
42 *385:8 *387:20 0.000189511
43 *385:8 *387:22 0.000160467
44 *385:8 *387:27 0.000732535
*RES
1 *4241:X *387:8 17.6896
2 *387:8 *387:11 14.6517
3 *387:11 *387:20 23.197
4 *387:20 *387:22 3.07775
5 *387:22 *387:27 41.1061
6 *387:27 *4354:A 9.24915
7 *387:22 *4435:C_N 15.0271
8 *387:20 *4436:A1 17.6796
9 *387:11 *4242:A 9.24915
10 *387:8 *4248:A1 15.9964
*END
*D_NET *388 0.000591177
*CONN
*I *4243:B2 I *D sky130_fd_sc_hd__o221ai_1
*I *4242:Y O *D sky130_fd_sc_hd__xnor2_1
*CAP
1 *4243:B2 0.000212715
2 *4242:Y 0.000212715
3 *4243:A1 *4243:B2 5.8261e-05
4 *84:9 *4243:B2 1.8814e-05
5 *386:31 *4243:B2 2.69524e-05
6 *386:40 *4243:B2 6.17194e-05
*RES
1 *4242:Y *4243:B2 33.4933
*END
*D_NET *389 0.00112596
*CONN
*I *4245:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4243:Y O *D sky130_fd_sc_hd__o221ai_1
*CAP
1 *4245:B1 0.00022668
2 *4243:Y 0.00022668
3 *4245:B1 *4245:C1 8.46168e-05
4 *4243:A1 *4245:B1 0.000117007
5 *84:9 *4245:B1 0.000163428
6 *384:40 *4245:B1 0.00030755
*RES
1 *4243:Y *4245:B1 34.9002
*END
*D_NET *390 0.0126905
*CONN
*I *4358:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4245:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4361:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4364:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4355:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4244:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4358:B1 5.02656e-05
2 *4245:C1 0.00349396
3 *4361:B1 0
4 *4364:B1 0.000332132
5 *4355:B1 0
6 *4244:X 0.000117703
7 *390:27 0.00388336
8 *390:12 0.000424484
9 *390:9 0.000481755
10 *390:7 0.000167968
11 *4245:C1 *4360:B 2.51223e-05
12 *4245:C1 *397:43 0.000106836
13 *4245:C1 *473:8 2.02035e-05
14 *4245:C1 *475:9 6.34651e-06
15 *4364:B1 *4355:A2 1.64789e-05
16 *4364:B1 *4358:A1 6.50586e-05
17 *4364:B1 *438:47 1.41976e-05
18 *4364:B1 *479:15 0.000113968
19 *390:12 *4358:A1 0.000127179
20 *390:12 *473:8 0.000144531
21 *390:27 *473:8 0.000975554
22 *390:27 *475:9 0.000405471
23 *4075:A *4245:C1 0.00107404
24 *4243:A1 *4245:C1 1.66626e-05
25 *4245:B1 *4245:C1 8.46168e-05
26 *4472:D *390:12 0
27 *245:11 *4364:B1 5.96674e-05
28 *282:54 *4358:B1 3.8122e-05
29 *282:54 *390:7 0.000383703
30 *373:41 *390:12 0
31 *373:57 *390:27 0
32 *386:40 *4245:C1 6.11144e-05
*RES
1 *4244:X *390:7 13.8789
2 *390:7 *390:9 4.5
3 *390:9 *390:12 7.57775
4 *390:12 *4355:B1 9.24915
5 *390:12 *4364:B1 17.7852
6 *390:9 *390:27 17.1963
7 *390:27 *4361:B1 13.7491
8 *390:27 *4245:C1 35.0349
9 *390:7 *4358:B1 10.5271
*END
*D_NET *391 0.0177632
*CONN
*I *4253:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4249:A I *D sky130_fd_sc_hd__xor2_1
*I *4248:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4360:B I *D sky130_fd_sc_hd__and3_1
*I *4255:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4246:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4253:A1 0.000229383
2 *4249:A 0
3 *4248:B1 0.000324056
4 *4360:B 0.00286526
5 *4255:A1 1.59324e-05
6 *4246:X 8.3969e-05
7 *391:15 0.00293007
8 *391:14 0.000560351
9 *391:10 0.000272387
10 *391:8 0.000398325
11 *4248:B1 *4234:B 0.000507516
12 *4248:B1 *397:43 0
13 *4248:B1 *647:19 0.000165481
14 *4248:B1 *647:33 0.000235022
15 *4253:A1 *4253:B1 2.78407e-05
16 *4253:A1 *4253:C1 2.16355e-05
17 *4255:A1 *4234:B 0.000171899
18 *4360:B *413:23 0.000157232
19 *4360:B *473:8 0.0003631
20 *4360:B *475:9 4.44196e-05
21 *4360:B *696:26 0.000211398
22 *391:15 *4234:B 0.000353772
23 *4075:A *4360:B 0.00406199
24 *4245:A2 *391:10 0.000106246
25 *4245:A2 *391:14 0.000587
26 *4245:C1 *4360:B 2.51223e-05
27 *4248:A2 *4248:B1 6.08467e-05
28 *4253:A2 *4253:A1 1.49001e-05
29 *4255:A2 *4255:A1 0.000169107
30 *4255:A2 *391:15 0.000213614
31 *4273:B1 *391:8 9.75356e-05
32 *4273:B1 *391:10 0.000210977
33 *4273:B1 *391:14 0.000182915
34 *4360:C *4360:B 0.000118485
35 *268:51 *391:8 2.16355e-05
36 *340:5 *4248:B1 0.000411971
37 *340:7 *4248:B1 0.000107496
38 *340:7 *391:15 0.000148652
39 *342:20 *4360:B 0
40 *348:29 *4360:B 0.000157006
41 *351:20 *4360:B 0.000150556
42 *378:20 *4360:B 0.000115235
43 *383:8 *391:8 9.40059e-05
44 *383:8 *391:10 3.31882e-05
45 *383:10 *391:10 7.65861e-05
46 *384:40 *391:14 0.000409182
47 *385:8 *4360:B 0.000120366
48 *386:40 *4360:B 2.33103e-06
49 *387:27 *4360:B 0.000127192
*RES
1 *4246:X *391:8 16.3045
2 *391:8 *391:10 3.90826
3 *391:10 *391:14 15.0523
4 *391:14 *391:15 4.05102
5 *391:15 *4255:A1 11.0817
6 *391:15 *4360:B 41.9071
7 *391:14 *4248:B1 31.9176
8 *391:10 *4249:A 13.7491
9 *391:8 *4253:A1 19.5122
*END
*D_NET *392 0.00398384
*CONN
*I *4250:A2 I *D sky130_fd_sc_hd__o32a_1
*I *4257:B I *D sky130_fd_sc_hd__or2_1
*I *4258:B I *D sky130_fd_sc_hd__and2_1
*I *4247:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4250:A2 0
2 *4257:B 0
3 *4258:B 0.000135515
4 *4247:X 0.000338878
5 *392:19 0.000457929
6 *392:11 0.000661292
7 *4258:B *4258:A 0.000307023
8 *4258:B *402:28 2.61147e-05
9 *392:11 *4247:B 6.50727e-05
10 *392:11 *4250:A3 0.000755987
11 *392:11 *647:33 0.00036367
12 *392:19 *4251:B 0.000154145
13 *4250:A1 *392:19 2.60704e-05
14 *4250:B2 *392:11 1.41689e-05
15 *4250:B2 *392:19 0.00011818
16 *4259:A *4258:B 0.00046987
17 *4259:A *392:19 8.99279e-05
*RES
1 *4247:X *392:11 30.5325
2 *392:11 *392:19 9.25947
3 *392:19 *4258:B 15.5186
4 *392:19 *4257:B 9.24915
5 *392:11 *4250:A2 9.24915
*END
*D_NET *393 0.00251917
*CONN
*I *4250:A3 I *D sky130_fd_sc_hd__o32a_1
*I *4248:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4250:A3 0.000594292
2 *4248:Y 0.000594292
3 *4250:A3 *4247:A 0.000133814
4 *4250:A3 *647:19 7.77309e-06
5 *4250:A3 *647:33 8.15603e-05
6 *4248:A2 *4250:A3 5.07314e-05
7 *4250:B2 *4250:A3 6.50727e-05
8 *4438:D *4250:A3 0.00023565
9 *392:11 *4250:A3 0.000755987
*RES
1 *4248:Y *4250:A3 46.9803
*END
*D_NET *394 0.000644771
*CONN
*I *4250:B1 I *D sky130_fd_sc_hd__o32a_1
*I *4249:X O *D sky130_fd_sc_hd__xor2_1
*CAP
1 *4250:B1 0.000196879
2 *4249:X 0.000196879
3 *4250:B1 *397:43 2.14936e-05
4 *4250:B1 *663:6 5.82465e-05
5 *4250:B1 *663:28 0.000171273
*RES
1 *4249:X *4250:B1 31.9934
*END
*D_NET *395 0.000987926
*CONN
*I *4251:B I *D sky130_fd_sc_hd__nand2_1
*I *4250:X O *D sky130_fd_sc_hd__o32a_1
*CAP
1 *4251:B 0.000319815
2 *4250:X 0.000319815
3 *4245:A2 *4251:B 5.1573e-05
4 *273:20 *4251:B 0
5 *340:29 *4251:B 0.000122098
6 *342:20 *4251:B 0
7 *383:10 *4251:B 2.04806e-05
8 *392:19 *4251:B 0.000154145
*RES
1 *4250:X *4251:B 34.9002
*END
*D_NET *396 0.000762374
*CONN
*I *4253:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4251:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4253:B1 0.000158569
2 *4251:Y 0.000158569
3 *4253:B1 *4253:C1 6.08467e-05
4 *4251:A *4253:B1 6.73186e-05
5 *4253:A1 *4253:B1 2.78407e-05
6 *4253:A2 *4253:B1 0.00028923
*RES
1 *4251:Y *4253:B1 23.6826
*END
*D_NET *397 0.0113612
*CONN
*I *4275:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4253:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4370:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4375:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4384:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4252:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4275:C1 0.000583017
2 *4253:C1 1.98947e-05
3 *4370:C1 6.41814e-05
4 *4375:C1 0
5 *4384:C1 2.86212e-05
6 *4252:X 0.000555624
7 *397:43 0.00198031
8 *397:24 0.00173943
9 *397:17 0.000573589
10 *397:8 0.000859983
11 *4275:C1 *4246:A 0.000212589
12 *4275:C1 *4275:B1 1.19721e-05
13 *4275:C1 *666:17 2.01874e-05
14 *4370:C1 *4370:A2 2.15348e-05
15 *4370:C1 *4370:B1 1.64789e-05
16 *4384:C1 *680:30 6.08467e-05
17 *397:8 *4282:A1 0
18 *397:8 *4382:B 0.000148144
19 *397:8 *4444:CLK 0.000191791
20 *397:8 *680:30 0.000139862
21 *397:8 *681:26 3.61138e-05
22 *397:17 *4373:A 0.000100284
23 *397:17 *4376:A1 9.22013e-06
24 *397:17 *4382:B 0.000370897
25 *397:24 *4373:A 3.61659e-05
26 *397:24 *679:12 0
27 *397:43 *4246:A 0.000207379
28 *397:43 *4247:B 0
29 *397:43 *647:19 0
30 *397:43 *647:33 0
31 *397:43 *663:6 0
32 *4232:A *397:43 7.09395e-05
33 *4243:A1 *397:43 0
34 *4245:C1 *397:43 0.000106836
35 *4248:B1 *397:43 0
36 *4250:B1 *397:43 2.14936e-05
37 *4253:A1 *4253:C1 2.16355e-05
38 *4253:B1 *4253:C1 6.08467e-05
39 *4273:B1 *4275:C1 7.55529e-05
40 *4273:B1 *397:43 4.76098e-05
41 *4275:A2 *4275:C1 8.27427e-05
42 *4368:B *4370:C1 6.08467e-05
43 *4381:B1 *397:8 0.000200221
44 *4381:B1 *397:17 0.000588499
45 *4491:D *397:43 0
46 *268:52 *4275:C1 0
47 *378:8 *397:43 0.000149628
48 *378:13 *397:43 0.000196638
49 *383:41 *4275:C1 0
50 *384:15 *397:17 0.000165548
51 *384:15 *397:24 0.000545072
52 *384:27 *397:24 2.09495e-05
53 *384:27 *397:43 0.000756758
54 *384:40 *397:43 0.000201223
55 *386:31 *397:43 0
*RES
1 *4252:X *397:8 27.6613
2 *397:8 *4384:C1 14.4725
3 *397:8 *397:17 13.4591
4 *397:17 *4375:C1 13.7491
5 *397:17 *397:24 10.137
6 *397:24 *4370:C1 15.6059
7 *397:24 *397:43 41.9893
8 *397:43 *4253:C1 14.4725
9 *397:43 *4275:C1 27.9507
*END
*D_NET *398 0.0107021
*CONN
*I *4257:A I *D sky130_fd_sc_hd__or2_1
*I *4256:A I *D sky130_fd_sc_hd__or3_1
*I *4255:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4261:A I *D sky130_fd_sc_hd__or2_1
*I *4363:B I *D sky130_fd_sc_hd__and3_1
*I *4254:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4257:A 0
2 *4256:A 8.59109e-05
3 *4255:B1 0
4 *4261:A 2.3451e-05
5 *4363:B 0.000101677
6 *4254:X 0.000342187
7 *398:20 0.00169656
8 *398:18 0.00187247
9 *398:14 0.000360378
10 *398:10 0.000264295
11 *398:8 0.000414323
12 *4256:A *4260:A3 0.0003225
13 *398:8 *4258:A 1.32509e-05
14 *398:8 *402:28 0.00025439
15 *398:8 *413:23 0.000346882
16 *398:10 *4260:B1 3.45797e-05
17 *398:10 *413:23 0.000116986
18 *398:14 *4260:B1 3.20069e-06
19 *398:14 *413:23 0.000212491
20 *398:18 *4234:B 5.07314e-05
21 *398:18 *399:7 4.58003e-05
22 *398:18 *413:23 0.000161781
23 *4197:A *398:20 4.01573e-05
24 *4230:A *4261:A 1.03403e-05
25 *4243:C1 *398:18 8.62625e-06
26 *4243:C1 *398:20 0.00022117
27 *4266:A1 *398:8 7.20409e-06
28 *4266:A1 *398:10 5.66868e-06
29 *4366:A1 *398:20 0
30 *4366:B1 *398:20 0
31 *276:76 *4256:A 0.000420322
32 *278:21 *4363:B 0.000171288
33 *342:27 *398:20 0.0001695
34 *344:32 *398:14 5.36126e-05
35 *344:32 *398:18 0.000572744
36 *344:32 *398:20 0.000943558
37 *348:59 *398:20 0
38 *349:8 *398:20 0
39 *378:20 *398:20 0.00129323
40 *382:38 *4261:A 6.08467e-05
*RES
1 *4254:X *398:8 22.5361
2 *398:8 *398:10 2.24725
3 *398:10 *398:14 8.40826
4 *398:14 *398:18 15.915
5 *398:18 *398:20 55.8148
6 *398:20 *4363:B 15.5817
7 *398:18 *4261:A 14.4725
8 *398:14 *4255:B1 9.24915
9 *398:10 *4256:A 18.9335
10 *398:8 *4257:A 13.7491
*END
*D_NET *399 0.00190448
*CONN
*I *4260:A2 I *D sky130_fd_sc_hd__a32o_1
*I *4263:A2 I *D sky130_fd_sc_hd__a22o_1
*I *4255:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4260:A2 5.23195e-05
2 *4263:A2 0.000302657
3 *4255:Y 0.000205402
4 *399:7 0.000560378
5 *4260:A2 *412:10 0.00013592
6 *4263:A2 *4234:B 0.000172957
7 *4263:A2 *412:10 0.000132276
8 *399:7 *4234:B 1.40953e-05
9 *4263:A1 *4263:A2 1.09551e-05
10 *344:32 *4260:A2 0.000143047
11 *344:32 *4263:A2 0.000128678
12 *398:18 *399:7 4.58003e-05
*RES
1 *4255:Y *399:7 16.691
2 *399:7 *4263:A2 20.4814
3 *399:7 *4260:A2 16.4116
*END
*D_NET *400 0.00112176
*CONN
*I *4260:A3 I *D sky130_fd_sc_hd__a32o_1
*I *4256:X O *D sky130_fd_sc_hd__or3_1
*CAP
1 *4260:A3 0.000210949
2 *4256:X 0.000210949
3 *4260:A3 *4260:B1 3.11717e-05
4 *4260:A3 *4260:B2 0.000288744
5 *4256:A *4260:A3 0.0003225
6 *276:76 *4260:A3 5.74459e-05
*RES
1 *4256:X *4260:A3 27.0826
*END
*D_NET *401 0.000315275
*CONN
*I *4260:B1 I *D sky130_fd_sc_hd__a32o_1
*I *4257:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4260:B1 5.42913e-05
2 *4257:X 5.42913e-05
3 *4260:A3 *4260:B1 3.11717e-05
4 *4266:A1 *4260:B1 9.96342e-05
5 *276:76 *4260:B1 2.7381e-05
6 *344:32 *4260:B1 1.07248e-05
7 *398:10 *4260:B1 3.45797e-05
8 *398:14 *4260:B1 3.20069e-06
*RES
1 *4257:X *4260:B1 29.6558
*END
*D_NET *402 0.0055226
*CONN
*I *4269:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4270:C I *D sky130_fd_sc_hd__and3_1
*I *4263:B2 I *D sky130_fd_sc_hd__a22o_1
*I *4259:B I *D sky130_fd_sc_hd__nor2_1
*I *4258:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4269:A2 0.000212073
2 *4270:C 5.84946e-05
3 *4263:B2 0.000213237
4 *4259:B 0.000264337
5 *4258:X 0
6 *402:28 0.000936575
7 *402:15 0.000923629
8 *402:4 0.000308722
9 *4259:B *4258:A 0.000211478
10 *4263:B2 *4262:A3 0.000203818
11 *4263:B2 *412:10 0.000451111
12 *4269:A2 *4273:A2 3.94229e-05
13 *4269:A2 *666:20 0.00031669
14 *4269:A2 *666:31 0.000191624
15 *4270:C *4270:B 4.82743e-06
16 *402:15 *4258:A 1.92172e-05
17 *402:28 *4254:A 3.77804e-05
18 *402:28 *4258:A 0.000112928
19 *402:28 *412:10 0
20 *402:28 *413:23 0.000299604
21 *402:28 *664:8 2.22198e-05
22 *402:28 *666:20 3.31733e-05
23 *4258:B *402:28 2.61147e-05
24 *4259:A *4259:B 6.04918e-05
25 *4266:A1 *402:28 5.66868e-06
26 *292:67 *4263:B2 4.8478e-05
27 *342:10 *4269:A2 0.000119367
28 *342:10 *402:28 0.000147127
29 *348:59 *4263:B2 0
30 *398:8 *402:28 0.00025439
*RES
1 *4258:X *402:4 9.24915
2 *402:4 *4259:B 15.9767
3 *402:4 *402:15 0.723396
4 *402:15 *4263:B2 26.3099
5 *402:15 *402:28 24.2229
6 *402:28 *4270:C 14.569
7 *402:28 *4269:A2 22.6404
*END
*D_NET *403 0.00259933
*CONN
*I *4266:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4260:B2 I *D sky130_fd_sc_hd__a32o_1
*I *4259:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4266:B1 0.000328736
2 *4260:B2 0.000437157
3 *4259:Y 7.36523e-05
4 *403:7 0.000839545
5 *4260:B2 *4265:A2 2.33334e-05
6 *4266:B1 *4265:A2 0.000112039
7 *4259:A *403:7 0.000114584
8 *4260:A3 *4260:B2 0.000288744
9 *276:76 *4260:B2 7.029e-05
10 *292:66 *4260:B2 0.000311249
*RES
1 *4259:Y *403:7 15.0271
2 *403:7 *4260:B2 26.3892
3 *403:7 *4266:B1 22.2574
*END
*D_NET *404 0.00161928
*CONN
*I *4262:A3 I *D sky130_fd_sc_hd__o311a_1
*I *4260:X O *D sky130_fd_sc_hd__a32o_1
*CAP
1 *4262:A3 0.000396422
2 *4260:X 0.000396422
3 *4262:A3 *412:10 0.000139848
4 *4262:A1 *4262:A3 2.78272e-05
5 *4262:C1 *4262:A3 5.97576e-05
6 *4263:A1 *4262:A3 3.8079e-05
7 *4263:B1 *4262:A3 0.000195139
8 *4263:B2 *4262:A3 0.000203818
9 *348:59 *4262:A3 3.83683e-05
10 *379:8 *4262:A3 0.000123597
*RES
1 *4260:X *4262:A3 40.3012
*END
*D_NET *405 0.00111132
*CONN
*I *4262:B1 I *D sky130_fd_sc_hd__o311a_1
*I *4261:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4262:B1 0.00030166
2 *4261:X 0.00030166
3 *4236:A *4262:B1 9.82896e-06
4 *4236:B *4262:B1 7.34948e-06
5 *381:14 *4262:B1 5.39608e-05
6 *382:8 *4262:B1 0.000182944
7 *382:38 *4262:B1 0.000253916
*RES
1 *4261:X *4262:B1 33.7966
*END
*D_NET *406 0.00244615
*CONN
*I *4265:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4263:X O *D sky130_fd_sc_hd__a22o_1
*CAP
1 *4265:A2 0.000465681
2 *4263:X 0.000465681
3 *4265:A2 *4267:A3 0.000313692
4 *4260:B2 *4265:A2 2.33334e-05
5 *4266:B1 *4265:A2 0.000112039
6 *4267:A2 *4265:A2 4.55115e-05
7 *382:8 *4265:A2 0.00102021
*RES
1 *4263:X *4265:A2 46.3556
*END
*D_NET *407 0.00448944
*CONN
*I *4269:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4272:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4267:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4270:B I *D sky130_fd_sc_hd__and3_1
*I *4265:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4264:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4269:A1 0.000155775
2 *4272:A1 5.56659e-05
3 *4267:A1 0.000130152
4 *4270:B 0.00019098
5 *4265:B1 0.00023006
6 *4264:X 0.000218735
7 *407:42 0.000346777
8 *407:10 0.000445671
9 *407:8 0.000208841
10 *407:7 0.00040813
11 *4269:A1 *4269:B1 1.41976e-05
12 *4269:A1 *4272:B1 0.000100588
13 *4269:A1 *4273:A2 0.000111708
14 *4270:B *412:7 6.08467e-05
15 *407:7 *4264:A 0.00014642
16 *407:7 *665:7 0.000115934
17 *4237:A *4265:B1 0.000158371
18 *4265:A1 *4265:B1 0.000107496
19 *4270:C *4270:B 4.82743e-06
20 *4272:A2 *4269:A1 0.000171273
21 *4272:A2 *4272:A1 1.61631e-05
22 *4272:A2 *407:42 2.64881e-05
23 *292:67 *4265:B1 0.000205332
24 *292:67 *407:8 0.000144614
25 *292:67 *407:10 7.45404e-05
26 *292:67 *407:42 0.000344288
27 *341:21 *4265:B1 9.0014e-05
28 *341:21 *407:8 6.4628e-05
29 *341:21 *407:10 3.63743e-05
30 *341:21 *407:42 0.000104549
*RES
1 *4264:X *407:7 18.9094
2 *407:7 *407:8 2.6625
3 *407:8 *407:10 1.41674
4 *407:10 *4265:B1 21.2932
5 *407:10 *4270:B 17.8243
6 *407:8 *4267:A1 16.1605
7 *407:7 *407:42 11.0632
8 *407:42 *4272:A1 10.5513
9 *407:42 *4269:A1 14.4335
*END
*D_NET *408 0.000969541
*CONN
*I *4268:A I *D sky130_fd_sc_hd__nor2_1
*I *4265:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4268:A 0.000455337
2 *4265:Y 0.000455337
3 *4268:A *4267:A3 5.88662e-05
4 *4267:A2 *4268:A 0
5 *291:38 *4268:A 0
6 *292:67 *4268:A 0
*RES
1 *4265:Y *4268:A 38.6374
*END
*D_NET *409 0.000959975
*CONN
*I *4267:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4266:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4267:A3 0.000247849
2 *4266:Y 0.000247849
3 *4265:A2 *4267:A3 0.000313692
4 *4267:A2 *4267:A3 9.17188e-05
5 *4268:A *4267:A3 5.88662e-05
*RES
1 *4266:Y *4267:A3 36.3896
*END
*D_NET *410 0.00128788
*CONN
*I *4268:B I *D sky130_fd_sc_hd__nor2_1
*I *4267:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4268:B 0.000433608
2 *4267:X 0.000433608
3 *4441:D *4268:B 7.07299e-05
4 *291:38 *4268:B 0.000349931
*RES
1 *4267:X *4268:B 37.7026
*END
*D_NET *411 0.000551104
*CONN
*I *4274:A1 I *D sky130_fd_sc_hd__o211ai_1
*I *4269:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4274:A1 0.000271877
2 *4269:Y 0.000271877
3 *4274:A1 *4269:B1 7.34948e-06
4 *4274:A1 *666:31 0
5 *4274:C1 *4274:A1 0
*RES
1 *4269:Y *4274:A1 33.7938
*END
*D_NET *412 0.00609685
*CONN
*I *4271:B I *D sky130_fd_sc_hd__or2_1
*I *4279:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4270:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4271:B 2.22023e-05
2 *4279:A1 0.000145124
3 *4270:X 4.51842e-05
4 *412:10 0.00119015
5 *412:7 0.00106801
6 *4271:B *4279:A2 6.08467e-05
7 *4279:A1 *4279:A2 8.41339e-05
8 *412:10 *4273:A2 0.00015321
9 *4260:A2 *412:10 0.00013592
10 *4262:A1 *412:10 0.000165495
11 *4262:A3 *412:10 0.000139848
12 *4263:A2 *412:10 0.000132276
13 *4263:B2 *412:10 0.000451111
14 *4266:A1 *412:10 0.000420656
15 *4270:B *412:7 6.08467e-05
16 *4277:A2 *412:10 5.41377e-05
17 *292:67 *412:10 0
18 *341:8 *412:10 0.000139435
19 *341:21 *412:10 0.000539442
20 *342:10 *412:10 1.70077e-05
21 *344:32 *412:10 0.000471149
22 *376:15 *412:10 0.00041939
23 *376:26 *412:10 0.000165495
24 *379:8 *412:10 1.57871e-05
25 *402:28 *412:10 0
*RES
1 *4270:X *412:7 14.4725
2 *412:7 *412:10 47.0267
3 *412:10 *4279:A1 12.7697
4 *412:10 *4271:B 9.97254
*END
*D_NET *413 0.00819228
*CONN
*I *4274:A2 I *D sky130_fd_sc_hd__o211ai_1
*I *4277:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4271:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4274:A2 0.000243939
2 *4277:B1 0.000193655
3 *4271:X 4.51842e-05
4 *413:23 0.00129477
5 *413:7 0.00128967
6 *4277:B1 *4277:C1 0.000268945
7 *4277:B1 *4705:A 2.59219e-05
8 *413:23 *4274:B1 0
9 *413:23 *666:20 0.000294256
10 *4243:C1 *413:23 1.2693e-05
11 *4276:A2 *4277:B1 1.27782e-05
12 *4277:A2 *413:7 6.08467e-05
13 *4360:B *413:23 0.000157232
14 *342:20 *4277:B1 0.000254405
15 *342:20 *413:23 0.00215486
16 *378:20 *4277:B1 0.000254405
17 *378:20 *413:23 0.000490979
18 *398:8 *413:23 0.000346882
19 *398:10 *413:23 0.000116986
20 *398:14 *413:23 0.000212491
21 *398:18 *413:23 0.000161781
22 *402:28 *413:23 0.000299604
*RES
1 *4271:X *413:7 14.4725
2 *413:7 *4277:B1 22.5148
3 *413:7 *413:23 47.925
4 *413:23 *4274:A2 17.2456
*END
*D_NET *414 0.00194507
*CONN
*I *4273:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4272:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4273:A2 0.000334658
2 *4272:Y 0.000334658
3 *4273:A2 *4186:B 0.000127194
4 *4269:A1 *4273:A2 0.000111708
5 *4269:A2 *4273:A2 3.94229e-05
6 *4272:A2 *4273:A2 0.000101118
7 *341:21 *4273:A2 0.000187817
8 *342:10 *4273:A2 0.00055528
9 *412:10 *4273:A2 0.00015321
*RES
1 *4272:Y *4273:A2 41.4048
*END
*D_NET *415 0.0015323
*CONN
*I *4274:B1 I *D sky130_fd_sc_hd__o211ai_1
*I *4273:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4274:B1 0.000607599
2 *4273:X 0.000607599
3 *4274:B1 *4275:B1 0.00014568
4 *4274:B1 *666:20 0
5 *4273:B1 *4274:B1 0.000112483
6 *4274:C1 *4274:B1 3.20274e-05
7 *383:41 *4274:B1 2.69064e-05
8 *413:23 *4274:B1 0
*RES
1 *4273:X *4274:B1 40.9952
*END
*D_NET *416 0.000801166
*CONN
*I *4275:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4274:Y O *D sky130_fd_sc_hd__o211ai_1
*CAP
1 *4275:B1 0.000128666
2 *4274:Y 0.000128666
3 *4275:B1 *666:17 6.08467e-05
4 *4274:B1 *4275:B1 0.00014568
5 *4274:C1 *4275:B1 0.000320287
6 *4275:A2 *4275:B1 5.04829e-06
7 *4275:C1 *4275:B1 1.19721e-05
*RES
1 *4274:Y *4275:B1 33.791
*END
*D_NET *417 0.00225669
*CONN
*I *4277:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4276:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4277:C1 0.000699675
2 *4276:Y 0.000699675
3 *4277:C1 *4280:B 0.000247443
4 *4277:C1 *4436:A2 0
5 *4277:C1 *4705:A 0.000259464
6 *4276:A2 *4277:C1 8.14875e-05
7 *4277:B1 *4277:C1 0.000268945
8 *4436:A1 *4277:C1 0
*RES
1 *4276:Y *4277:C1 32.4357
*END
*D_NET *418 0.00125446
*CONN
*I *4280:B I *D sky130_fd_sc_hd__nor3_1
*I *4277:X O *D sky130_fd_sc_hd__o211a_1
*CAP
1 *4280:B 0.000265087
2 *4277:X 0.000265087
3 *4280:B *4280:C 2.57986e-05
4 *4276:A2 *4280:B 0.000390192
5 *4277:C1 *4280:B 0.000247443
6 *4443:D *4280:B 6.08467e-05
*RES
1 *4277:X *4280:B 26.4315
*END
*D_NET *419 0.00256862
*CONN
*I *4279:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4436:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4278:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4279:A2 0.000285498
2 *4436:A2 0.000147468
3 *4278:Y 0.000120577
4 *419:8 0.000553543
5 *4436:A2 *4436:B1 3.31882e-05
6 *4436:A2 *4705:A 4.31703e-05
7 *4240:A *4279:A2 3.82228e-05
8 *4271:A *4279:A2 0.000119727
9 *4271:B *4279:A2 6.08467e-05
10 *4277:A2 *4279:A2 0.000546741
11 *4277:C1 *4436:A2 0
12 *4278:B *419:8 2.41274e-06
13 *4279:A1 *4279:A2 8.41339e-05
14 *4436:A1 *4436:A2 0.000152083
15 *4491:D *4436:A2 0
16 *374:50 *4436:A2 3.67708e-05
17 *374:61 *4436:A2 0.000195154
18 *374:61 *419:8 0.000109859
19 *386:22 *419:8 3.92275e-05
*RES
1 *4278:Y *419:8 16.7198
2 *419:8 *4436:A2 19.5141
3 *419:8 *4279:A2 23.3703
*END
*D_NET *420 0.00110273
*CONN
*I *4280:C I *D sky130_fd_sc_hd__nor3_1
*I *4279:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4280:C 0.000377746
2 *4279:Y 0.000377746
3 *4280:C *4279:B1 2.41274e-06
4 *4280:C *4704:A 7.69213e-05
5 *4280:C *646:8 0.00015324
6 *4280:C *646:94 8.88627e-05
7 *4280:B *4280:C 2.57986e-05
*RES
1 *4279:Y *4280:C 37.2902
*END
*D_NET *421 0.00846247
*CONN
*I *4290:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4282:S I *D sky130_fd_sc_hd__mux2_1
*I *4291:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4286:S I *D sky130_fd_sc_hd__mux2_1
*I *4348:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4281:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4290:A2 0.000103035
2 *4282:S 0
3 *4291:A1 2.79544e-05
4 *4286:S 0.000144018
5 *4348:A2 0.000465465
6 *4281:X 0.000251369
7 *421:43 0.000353026
8 *421:25 0.000442307
9 *421:20 0.0015197
10 *421:8 0.0017162
11 *4286:S *4291:B1 1.07248e-05
12 *4291:A1 *4291:A2 6.08467e-05
13 *4291:A1 *4635:A 0.000107496
14 *4291:A1 *819:11 1.15389e-05
15 *4348:A2 *4349:B 3.21548e-05
16 *421:8 *4473:CLK 0.000148144
17 *421:8 *475:9 0
18 *421:20 *680:30 0
19 *421:20 *682:8 4.15008e-05
20 *421:25 *4635:A 0.000176932
21 *421:25 *819:11 0.00081851
22 *421:43 *4282:A0 3.00073e-05
23 *421:43 *680:30 0
24 *421:43 *682:8 0.0001031
25 *4348:B1 *4348:A2 0.000111708
26 *4473:D *421:8 0
27 *278:13 *4290:A2 0.000231564
28 *279:10 *4286:S 0.000367283
29 *280:14 *4286:S 0.000103012
30 *288:40 *4290:A2 1.41976e-05
31 *288:40 *421:43 9.98029e-06
32 *288:44 *4290:A2 0.000226516
33 *293:35 *4348:A2 2.2765e-05
34 *293:46 *4348:A2 5.20546e-06
35 *293:46 *421:20 0.000219772
36 *373:57 *421:8 0.000586437
*RES
1 *4281:X *421:8 28.3862
2 *421:8 *4348:A2 16.5072
3 *421:8 *421:20 21.1964
4 *421:20 *421:25 15.2304
5 *421:25 *4286:S 24.6489
6 *421:25 *4291:A1 10.5271
7 *421:20 *421:43 11.315
8 *421:43 *4282:S 9.24915
9 *421:43 *4290:A2 15.5427
*END
*D_NET *422 0.00063543
*CONN
*I *4283:B I *D sky130_fd_sc_hd__and2_1
*I *4282:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4283:B 0.000104035
2 *4282:X 0.000104035
3 *4283:B *4282:A1 0.00033061
4 *278:13 *4283:B 9.67494e-05
5 *288:40 *4283:B 0
*RES
1 *4282:X *4283:B 23.538
*END
*D_NET *423 0.000699541
*CONN
*I *4284:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4283:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4284:A 0.000283198
2 *4283:X 0.000283198
3 *4284:A *4282:A1 6.50586e-05
4 *4284:A *4361:A2 0
5 *4284:A *473:8 0
6 *4284:A *680:30 0
7 *4447:D *4284:A 6.80864e-05
*RES
1 *4283:X *4284:A 34.2062
*END
*D_NET *424 0.00651264
*CONN
*I *4300:A I *D sky130_fd_sc_hd__and2_1
*I *4303:A I *D sky130_fd_sc_hd__and2_1
*I *4287:A I *D sky130_fd_sc_hd__and2_1
*I *4297:A I *D sky130_fd_sc_hd__and2_1
*I *4294:A I *D sky130_fd_sc_hd__and2_1
*I *4285:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4300:A 0
2 *4303:A 0.000242026
3 *4287:A 8.29674e-05
4 *4297:A 5.87126e-05
5 *4294:A 0
6 *4285:X 0.000134478
7 *424:34 0.000514322
8 *424:31 0.00106616
9 *424:15 0.00103009
10 *424:8 0.000229022
11 *4303:A *4287:B 0
12 *4303:A *4448:CLK 0.000139747
13 *4303:A *429:16 0.000277488
14 *4303:A *682:8 0.000168546
15 *424:8 *4294:B 0.000231378
16 *424:8 *4306:S 0.000321919
17 *424:8 *429:26 0.00010618
18 *424:15 *4297:B 0
19 *424:15 *429:26 8.07794e-05
20 *424:31 *4297:B 0
21 *424:31 *4299:A1 6.36909e-05
22 *424:31 *4301:A 0.000559563
23 *424:31 *4353:B1_N 0
24 *424:31 *4356:B1_N 3.58321e-05
25 *424:31 *429:16 2.19131e-05
26 *424:31 *429:22 0.000343549
27 *424:31 *429:26 0.000236789
28 *424:31 *687:12 0
29 *424:34 *682:8 0.00039844
30 *4285:A *424:8 0.000169041
31 *368:10 *4303:A 0
32 *368:10 *424:34 0
*RES
1 *4285:X *424:8 21.1538
2 *424:8 *4294:A 13.7491
3 *424:8 *424:15 3.07775
4 *424:15 *4297:A 15.0271
5 *424:15 *424:31 32.3253
6 *424:31 *424:34 11.315
7 *424:34 *4287:A 15.5817
8 *424:34 *4303:A 22.5658
9 *424:31 *4300:A 9.24915
*END
*D_NET *425 0.00131362
*CONN
*I *4287:B I *D sky130_fd_sc_hd__and2_1
*I *4286:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4287:B 0.000385
2 *4286:X 0.000385
3 *4287:B *4286:A1 3.02534e-05
4 *4287:B *682:8 0
5 *4303:A *4287:B 0
6 *368:10 *4287:B 0.000513366
*RES
1 *4286:X *4287:B 38.2222
*END
*D_NET *426 0.000430855
*CONN
*I *4288:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4287:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4288:A 0.000215427
2 *4287:X 0.000215427
*RES
1 *4287:X *4288:A 22.5493
*END
*D_NET *427 0.00222182
*CONN
*I *4379:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4290:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4289:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4379:A1 8.93473e-05
2 *4290:A1 0.000574385
3 *4289:Y 7.92242e-05
4 *427:5 0.000742956
5 *4290:A1 *4444:CLK 0
6 *4290:A1 *647:81 0
7 *4290:A1 *682:8 0
8 *4379:A1 *4379:B1 4.87301e-05
9 *4477:D *4290:A1 5.22654e-06
10 *4478:D *4379:A1 9.19784e-05
11 *4478:D *427:5 0.000160617
12 *275:17 *427:5 1.43848e-05
13 *293:46 *4290:A1 5.22654e-06
14 *293:53 *4290:A1 0.000409743
*RES
1 *4289:Y *427:5 11.6364
2 *427:5 *4290:A1 34.615
3 *427:5 *4379:A1 12.7938
*END
*D_NET *428 0.00128657
*CONN
*I *4291:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4290:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4291:B1 0.000243062
2 *4290:Y 0.000243062
3 *4286:S *4291:B1 1.07248e-05
4 *278:13 *4291:B1 0.000213725
5 *279:10 *4291:B1 0.00017586
6 *280:8 *4291:B1 7.50722e-05
7 *280:12 *4291:B1 0.000237836
8 *280:14 *4291:B1 1.28463e-05
9 *282:14 *4291:B1 2.78452e-05
10 *288:44 *4291:B1 4.65396e-05
*RES
1 *4290:Y *4291:B1 37.531
*END
*D_NET *429 0.0123558
*CONN
*I *4302:S I *D sky130_fd_sc_hd__mux2_1
*I *4299:S I *D sky130_fd_sc_hd__mux2_1
*I *4296:S I *D sky130_fd_sc_hd__mux2_1
*I *4293:S I *D sky130_fd_sc_hd__mux2_1
*I *4306:S I *D sky130_fd_sc_hd__mux2_1
*I *4292:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4302:S 0
2 *4299:S 8.75152e-05
3 *4296:S 0.000125774
4 *4293:S 0.000435671
5 *4306:S 0.000223192
6 *4292:X 0.000853893
7 *429:26 0.00108371
8 *429:22 0.000826069
9 *429:16 0.00141357
10 *429:8 0.0019045
11 *4293:S *4201:S 0
12 *4293:S *4293:A0 1.2693e-05
13 *4293:S *682:8 0.000482253
14 *4296:S *4296:A0 0.000377245
15 *4296:S *751:18 0.000228796
16 *4299:S *4299:A0 0.000115934
17 *4299:S *4299:A1 3.58208e-05
18 *4306:S *4306:A0 0.000817453
19 *429:8 *4292:A 2.65667e-05
20 *429:8 *4359:B1_N 0
21 *429:8 *4361:A1 5.79399e-05
22 *429:8 *689:6 0
23 *429:16 *4300:B 0.000102374
24 *429:16 *4301:A 0.000325401
25 *429:16 *4359:B1_N 0
26 *429:16 *4361:A1 5.88662e-05
27 *429:16 *469:14 1.93378e-05
28 *429:16 *469:24 0.000213725
29 *429:16 *469:29 0
30 *429:16 *471:16 1.03986e-05
31 *429:16 *473:8 0
32 *429:16 *682:8 0.000151924
33 *429:22 *4301:A 0.000208915
34 *429:22 *682:8 4.81548e-05
35 *429:26 *4294:B 4.3116e-06
36 *429:26 *682:8 0
37 *429:26 *773:11 7.50872e-05
38 *4285:A *4306:S 0.000197911
39 *4303:A *429:16 0.000277488
40 *4346:A *4306:S 5.15211e-05
41 *4452:D *429:16 0.000169093
42 *4452:D *429:22 0.000127179
43 *4473:D *429:8 9.71182e-06
44 *279:26 *4293:S 0
45 *279:30 *4293:S 0
46 *373:13 *4306:S 8.47161e-05
47 *424:8 *4306:S 0.000321919
48 *424:8 *429:26 0.00010618
49 *424:15 *429:26 8.07794e-05
50 *424:31 *429:16 2.19131e-05
51 *424:31 *429:22 0.000343549
52 *424:31 *429:26 0.000236789
*RES
1 *4292:X *429:8 26.5633
2 *429:8 *429:16 36.6527
3 *429:16 *429:22 11.0408
4 *429:22 *429:26 18.3743
5 *429:26 *4306:S 21.6674
6 *429:26 *4293:S 30.9127
7 *429:22 *4296:S 20.0427
8 *429:16 *4299:S 16.1364
9 *429:8 *4302:S 13.7491
*END
*D_NET *430 0.00143927
*CONN
*I *4294:B I *D sky130_fd_sc_hd__and2_1
*I *4293:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4294:B 0.000475363
2 *4293:X 0.000475363
3 *4294:B *4201:A1 0
4 *4294:B *4293:A0 0
5 *4294:B *4295:A 0.000181381
6 *4294:B *4306:A0 7.14746e-05
7 *4294:B *682:8 0
8 *424:8 *4294:B 0.000231378
9 *429:26 *4294:B 4.3116e-06
*RES
1 *4293:X *4294:B 41.4048
*END
*D_NET *431 0.0012402
*CONN
*I *4295:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4294:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4295:A 0.000493062
2 *4294:X 0.000493062
3 *4295:A *4293:A0 0
4 *4295:A *4306:A0 2.99929e-05
5 *4294:B *4295:A 0.000181381
6 *4450:D *4295:A 4.27003e-05
7 *4454:D *4295:A 0
*RES
1 *4294:X *4295:A 41.2655
*END
*D_NET *432 0.000925954
*CONN
*I *4297:B I *D sky130_fd_sc_hd__and2_1
*I *4296:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4297:B 0.0003201
2 *4296:X 0.0003201
3 *4297:B *4296:A0 0.000171288
4 *4297:B *687:12 0.000114467
5 *424:15 *4297:B 0
6 *424:31 *4297:B 0
*RES
1 *4296:X *4297:B 35.3154
*END
*D_NET *433 0.00103586
*CONN
*I *4298:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4297:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4298:A 0.000374969
2 *4297:X 0.000374969
3 *4298:A *4306:A1 0
4 *4298:A *4451:CLK 3.58321e-05
5 *4298:A *687:12 0.000224381
6 *4451:D *4298:A 2.57071e-05
*RES
1 *4297:X *4298:A 34.7664
*END
*D_NET *434 0.00128597
*CONN
*I *4300:B I *D sky130_fd_sc_hd__and2_1
*I *4299:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4300:B 0.000272444
2 *4299:X 0.000272444
3 *4300:B *4299:A1 0.00020502
4 *4300:B *682:8 0.000336155
5 *4452:D *4300:B 9.75356e-05
6 *429:16 *4300:B 0.000102374
*RES
1 *4299:X *4300:B 35.87
*END
*D_NET *435 0.00147793
*CONN
*I *4301:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4300:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4301:A 0.000192023
2 *4300:X 0.000192023
3 *424:31 *4301:A 0.000559563
4 *429:16 *4301:A 0.000325401
5 *429:22 *4301:A 0.000208915
*RES
1 *4300:X *4301:A 37.5282
*END
*D_NET *436 0.00078831
*CONN
*I *4303:B I *D sky130_fd_sc_hd__and2_1
*I *4302:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4303:B 0.000218383
2 *4302:X 0.000218383
3 *4303:B *4302:A0 0.000224381
4 *4303:B *4359:B1_N 0
5 *4303:B *4448:CLK 0.000127164
6 *4303:B *682:8 0
*RES
1 *4302:X *4303:B 32.548
*END
*D_NET *437 0.000889142
*CONN
*I *4304:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4303:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4304:A 0.000250993
2 *4303:X 0.000250993
3 *4304:A *4286:A1 0.000171273
4 *4304:A *4359:B1_N 0
5 *4304:A *4448:CLK 0.00014663
6 *4304:A *682:8 0
7 *4304:A *689:6 0
8 *4453:D *4304:A 6.92525e-05
*RES
1 *4303:X *4304:A 34.9002
*END
*D_NET *438 0.0161727
*CONN
*I *4325:A I *D sky130_fd_sc_hd__and2_1
*I *4331:A I *D sky130_fd_sc_hd__and2_1
*I *4340:A I *D sky130_fd_sc_hd__and2_1
*I *4337:A I *D sky130_fd_sc_hd__and2_1
*I *4307:A I *D sky130_fd_sc_hd__and2_1
*I *4305:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4325:A 0
2 *4331:A 0.000716622
3 *4340:A 0
4 *4337:A 2.06324e-05
5 *4307:A 0.000351704
6 *4305:X 1.77893e-05
7 *438:51 0.00218674
8 *438:47 0.00298085
9 *438:30 0.00170477
10 *438:18 0.00197622
11 *438:8 0.0010208
12 *438:7 0.00190555
13 *4307:A *4306:A1 0
14 *4307:A *4307:B 5.88662e-05
15 *4307:A *4467:CLK 6.31665e-05
16 *4307:A *451:27 0
17 *4307:A *645:10 9.22013e-06
18 *4307:A *709:8 4.15008e-05
19 *4331:A *4166:A_N 0
20 *4331:A *4166:B 0
21 *4331:A *4172:A 0
22 *4331:A *4324:S 0
23 *4331:A *4325:B 0.000351415
24 *4331:A *4330:A0 0
25 *4331:A *4331:B 2.7618e-05
26 *4331:A *4332:A 6.92705e-05
27 *4331:A *644:75 0
28 *4331:A *704:6 0
29 *438:8 *4154:A_N 0
30 *438:8 *4339:A0 1.36691e-05
31 *438:8 *645:10 0.00034372
32 *438:8 *709:8 3.9739e-05
33 *438:8 *751:18 9.2771e-05
34 *438:18 *4341:A 1.43983e-05
35 *438:30 *4153:B 0.000201734
36 *438:30 *4159:A 0
37 *438:30 *4160:B 0
38 *438:30 *650:6 0
39 *438:30 *806:13 7.48633e-05
40 *438:47 *645:8 0.000119972
41 *438:47 *645:10 0.000159214
42 *438:47 *659:7 3.17192e-06
43 *438:47 *751:18 8.33404e-05
44 *438:47 *751:22 0
45 *438:51 *4172:B_N 6.50586e-05
46 *438:51 *4422:B 0.000147308
47 *438:51 *4488:CLK 0.000107496
48 *438:51 *525:7 0.000220183
49 *4173:D *438:51 2.72743e-05
50 *4175:A2 *438:51 3.58208e-05
51 *4175:B1 *438:51 6.50586e-05
52 *4177:A1 *438:8 0.000153225
53 *4305:A *438:7 0.000113968
54 *4364:B1 *438:47 1.41976e-05
55 *4474:D *438:47 7.58739e-05
56 *4488:D *438:47 1.87611e-05
57 *4490:D *438:30 2.13584e-05
58 *268:12 *438:30 0
59 *308:8 *438:30 0
60 *315:8 *438:30 0.000101148
61 *316:11 *438:8 0
62 *316:11 *438:30 0
63 *331:10 *438:47 3.02281e-06
64 *331:10 *438:51 0.000239628
65 *373:7 *438:7 0.000113968
66 *373:13 *4307:A 0
*RES
1 *4305:X *438:7 15.0271
2 *438:7 *438:8 13.4591
3 *438:8 *4307:A 23.8862
4 *438:8 *438:18 10.7694
5 *438:18 *438:30 49.4769
6 *438:30 *4337:A 9.82786
7 *438:18 *4340:A 9.24915
8 *438:7 *438:47 32.2986
9 *438:47 *438:51 35.1329
10 *438:51 *4331:A 37.972
11 *438:51 *4325:A 9.24915
*END
*D_NET *439 0.000316279
*CONN
*I *4307:B I *D sky130_fd_sc_hd__and2_1
*I *4306:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4307:B 0.000128707
2 *4306:X 0.000128707
3 *4307:B *645:31 0
4 *4307:A *4307:B 5.88662e-05
*RES
1 *4306:X *4307:B 30.4689
*END
*D_NET *440 0.000338727
*CONN
*I *4308:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4307:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4308:A 0.000169363
2 *4307:X 0.000169363
3 *4308:A *4469:CLK 0
4 *4308:A *451:27 0
5 *4308:A *645:35 0
6 *4346:A *4308:A 0
*RES
1 *4307:X *4308:A 30.8842
*END
*D_NET *441 0.0120574
*CONN
*I *4318:A I *D sky130_fd_sc_hd__or2_1
*I *4321:A I *D sky130_fd_sc_hd__or2_1
*I *4315:A I *D sky130_fd_sc_hd__or2_1
*I *4312:A I *D sky130_fd_sc_hd__or2_1
*I *4328:A I *D sky130_fd_sc_hd__or2_1
*I *4309:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4318:A 0.000208772
2 *4321:A 3.58004e-05
3 *4315:A 0.000604781
4 *4312:A 0
5 *4328:A 0.000294081
6 *4309:X 0.000112109
7 *441:35 0.00213561
8 *441:21 0.0007079
9 *441:11 0.00161541
10 *441:6 0.00322135
11 *4315:A *4312:B 8.93454e-05
12 *4315:A *4313:A 7.69735e-05
13 *4315:A *4314:A1 0
14 *4315:A *4315:B 0.000159805
15 *4315:A *4327:S 0.000341222
16 *4315:A *442:14 0
17 *4315:A *701:9 0
18 *4318:A *4318:B 6.92705e-05
19 *4318:A *702:14 0
20 *4328:A *4327:S 0.00044692
21 *4328:A *4329:A 0.000171273
22 *4328:A *644:98 0
23 *441:21 *4312:B 5.22654e-06
24 *441:21 *4327:S 0.000226296
25 *441:21 *644:98 0
26 *441:35 *4140:A_N 0.000221185
27 *441:35 *4140:B 0
28 *441:35 *4143:B 0
29 *441:35 *4148:A 0
30 *441:35 *4321:B 0.000104731
31 *441:35 *4322:A 0
32 *441:35 *4390:A2 0.000217587
33 *441:35 *4396:C 0
34 *441:35 *4399:A 0
35 *441:35 *442:13 0
36 *441:35 *702:14 0
37 *4150:A2 *441:35 1.2693e-05
38 *4150:B1_N *441:35 4.55115e-05
39 *4151:A2 *441:35 0
40 *4151:B2 *441:35 0
41 *4179:A *441:35 0.000550183
42 *4182:A *441:6 0
43 *4182:A *441:35 0
44 *4190:A1 *441:35 0
45 *4458:D *4315:A 4.83622e-05
46 *4459:D *4315:A 0
47 *4461:D *441:35 3.68867e-05
48 *4463:D *4328:A 6.50727e-05
49 *4483:D *441:11 5.56461e-05
50 *278:28 *4315:A 7.14746e-05
51 *294:16 *441:6 0
52 *294:16 *441:35 0
53 *295:40 *441:35 0.000105953
54 *307:11 *441:35 0
*RES
1 *4309:X *441:6 16.4116
2 *441:6 *441:11 36.3444
3 *441:11 *4328:A 24.3365
4 *441:11 *441:21 3.90826
5 *441:21 *4312:A 13.7491
6 *441:21 *4315:A 33.437
7 *441:6 *441:35 52.908
8 *441:35 *4321:A 14.4725
9 *441:35 *4318:A 19.0989
*END
*D_NET *442 0.0112922
*CONN
*I *4317:S I *D sky130_fd_sc_hd__mux2_1
*I *4320:S I *D sky130_fd_sc_hd__mux2_1
*I *4314:S I *D sky130_fd_sc_hd__mux2_1
*I *4311:S I *D sky130_fd_sc_hd__mux2_1
*I *4327:S I *D sky130_fd_sc_hd__mux2_1
*I *4310:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4317:S 0.000361295
2 *4320:S 0
3 *4314:S 0
4 *4311:S 0
5 *4327:S 0.000673058
6 *4310:X 0.000191108
7 *442:43 0.000948845
8 *442:19 0.000983992
9 *442:14 0.00136476
10 *442:13 0.00220747
11 *442:5 0.0019323
12 *4317:S *4320:A0 4.84944e-05
13 *4317:S *702:12 3.52976e-05
14 *4317:S *702:14 0.000147599
15 *4327:S *4311:A0 6.08467e-05
16 *4327:S *4313:A 0.000315176
17 *4327:S *4328:B 0
18 *4327:S *644:85 0
19 *4327:S *644:98 0
20 *4327:S *654:6 0
21 *4327:S *654:8 0
22 *442:5 *646:19 4.55936e-05
23 *442:13 *699:8 0
24 *442:13 *699:12 0
25 *442:14 *4335:A 0
26 *442:14 *646:20 0
27 *442:14 *806:25 0
28 *442:19 *4311:A0 0.00027329
29 *442:19 *4313:A 0
30 *442:19 *4314:A1 0.000142207
31 *442:19 *698:8 1.09738e-05
32 *442:19 *806:25 0
33 *442:43 *4320:A0 0.000122098
34 *442:43 *646:15 9.14261e-05
35 *442:43 *646:19 2.81717e-05
36 *4315:A *4327:S 0.000341222
37 *4315:A *442:14 0
38 *4328:A *4327:S 0.00044692
39 *4459:D *442:14 0.000149628
40 *4461:D *442:13 0
41 *4461:D *442:43 2.71452e-05
42 *4463:D *4327:S 4.70005e-05
43 *4465:D *442:14 4.34007e-05
44 *84:11 *4317:S 2.65667e-05
45 *291:12 *4317:S 0
46 *291:12 *442:43 0
47 *441:21 *4327:S 0.000226296
48 *441:35 *442:13 0
*RES
1 *4310:X *442:5 12.191
2 *442:5 *442:13 38.2339
3 *442:13 *442:14 26.3319
4 *442:14 *442:19 14.2568
5 *442:19 *4327:S 41.9824
6 *442:19 *4311:S 9.24915
7 *442:14 *4314:S 13.7491
8 *442:5 *442:43 14.6805
9 *442:43 *4320:S 13.7491
10 *442:43 *4317:S 25.0248
*END
*D_NET *443 0.000900807
*CONN
*I *4312:B I *D sky130_fd_sc_hd__or2_1
*I *4311:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4312:B 0.000257109
2 *4311:X 0.000257109
3 *4312:B *4311:A1 6.73022e-05
4 *4312:B *644:98 0
5 *4315:A *4312:B 8.93454e-05
6 *4458:D *4312:B 0.000149628
7 *278:28 *4312:B 7.50872e-05
8 *441:21 *4312:B 5.22654e-06
*RES
1 *4311:X *4312:B 34.4849
*END
*D_NET *444 0.00140376
*CONN
*I *4313:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4312:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4313:A 0.000476236
2 *4312:X 0.000476236
3 *4313:A *4314:A1 3.25751e-05
4 *4313:A *698:8 0
5 *4315:A *4313:A 7.69735e-05
6 *4327:S *4313:A 0.000315176
7 *4458:D *4313:A 2.65667e-05
8 *442:19 *4313:A 0
*RES
1 *4312:X *4313:A 40.9952
*END
*D_NET *445 0.000793066
*CONN
*I *4315:B I *D sky130_fd_sc_hd__or2_1
*I *4314:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4315:B 0.000257548
2 *4314:X 0.000257548
3 *4315:B *701:9 0.000118166
4 *4315:A *4315:B 0.000159805
*RES
1 *4314:X *4315:B 34.7608
*END
*D_NET *446 0.000364078
*CONN
*I *4316:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4315:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4316:A 0.000176869
2 *4315:X 0.000176869
3 *4459:D *4316:A 1.03403e-05
*RES
1 *4315:X *4316:A 22.5493
*END
*D_NET *447 0.000284759
*CONN
*I *4318:B I *D sky130_fd_sc_hd__or2_1
*I *4317:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4318:B 9.71253e-05
2 *4317:X 9.71253e-05
3 *4318:B *4319:A 2.12377e-05
4 *4318:B *702:14 0
5 *4318:A *4318:B 6.92705e-05
*RES
1 *4317:X *4318:B 30.0537
*END
*D_NET *448 0.000424058
*CONN
*I *4319:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4318:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4319:A 0.00016649
2 *4318:X 0.00016649
3 *4319:A *702:12 0
4 *4318:B *4319:A 2.12377e-05
5 *4460:D *4319:A 3.14978e-05
6 *84:11 *4319:A 3.83429e-05
*RES
1 *4318:X *4319:A 32.1327
*END
*D_NET *449 0.000216611
*CONN
*I *4321:B I *D sky130_fd_sc_hd__or2_1
*I *4320:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4321:B 4.08583e-05
2 *4320:X 4.08583e-05
3 *4321:B *702:14 3.01634e-05
4 *441:35 *4321:B 0.000104731
*RES
1 *4320:X *4321:B 29.3303
*END
*D_NET *450 0.000454578
*CONN
*I *4322:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4321:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4322:A 0.000227289
2 *4321:X 0.000227289
3 *441:35 *4322:A 0
*RES
1 *4321:X *4322:A 31.5781
*END
*D_NET *451 0.0141279
*CONN
*I *4339:S I *D sky130_fd_sc_hd__mux2_1
*I *4330:S I *D sky130_fd_sc_hd__mux2_1
*I *4324:S I *D sky130_fd_sc_hd__mux2_1
*I *4345:S I *D sky130_fd_sc_hd__mux2_1
*I *4336:S I *D sky130_fd_sc_hd__mux2_1
*I *4323:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4339:S 9.21959e-05
2 *4330:S 0
3 *4324:S 0.000295377
4 *4345:S 0
5 *4336:S 0
6 *4323:X 0.000466586
7 *451:36 0.00122379
8 *451:33 0.00197064
9 *451:27 0.00207882
10 *451:18 0.00144498
11 *451:9 0.000967159
12 *4324:S *4166:B 0
13 *4324:S *4330:A0 6.50727e-05
14 *4324:S *806:18 0
15 *4339:S *709:11 0.000152878
16 *4339:S *773:11 1.84293e-05
17 *451:9 *4336:A0 0.000175485
18 *451:9 *4338:A 0
19 *451:18 *4336:A0 0.00015511
20 *451:18 *795:10 7.58739e-05
21 *451:27 *4160:B 0.000106949
22 *451:27 *4339:A0 4.3116e-06
23 *451:27 *4340:B 4.01437e-05
24 *451:27 *4341:A 0.000154885
25 *451:27 *4346:B 0
26 *451:27 *4469:CLK 0
27 *451:27 *709:8 8.44967e-05
28 *451:27 *795:10 5.22654e-06
29 *451:33 *4154:A_N 0.000157916
30 *451:33 *4154:B 0.000171273
31 *451:33 *499:10 0.000152524
32 *451:33 *502:57 0
33 *451:33 *699:20 7.84038e-05
34 *451:33 *709:11 0.000521534
35 *451:36 *4161:B_N 6.23875e-05
36 *451:36 *4330:A0 9.16621e-05
37 *451:36 *4412:A 0.000419593
38 *451:36 *4412:B 0.000132235
39 *4156:C1 *451:33 7.04973e-05
40 *4307:A *451:27 0
41 *4308:A *451:27 0
42 *4331:A *4324:S 0
43 *273:55 *451:9 0.00106767
44 *273:55 *451:18 0.00162376
45 *316:11 *451:27 0
*RES
1 *4323:X *451:9 35.0793
2 *451:9 *4336:S 9.24915
3 *451:9 *451:18 24.9393
4 *451:18 *4345:S 13.7491
5 *451:18 *451:27 31.2471
6 *451:27 *451:33 38.3073
7 *451:33 *451:36 21.8224
8 *451:36 *4324:S 25.7876
9 *451:36 *4330:S 9.24915
10 *451:27 *4339:S 12.191
*END
*D_NET *452 0.0007993
*CONN
*I *4325:B I *D sky130_fd_sc_hd__and2_1
*I *4324:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4325:B 0.000214728
2 *4324:X 0.000214728
3 *4325:B *644:75 0
4 *4325:B *644:85 0
5 *4325:B *733:9 1.84293e-05
6 *4331:A *4325:B 0.000351415
*RES
1 *4324:X *4325:B 34.2062
*END
*D_NET *453 0.00102854
*CONN
*I *4326:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4325:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4326:A 0.000514272
2 *4325:X 0.000514272
3 *4326:A *644:75 0
4 *4326:A *644:85 0
*RES
1 *4325:X *4326:A 35.8756
*END
*D_NET *454 0.000737107
*CONN
*I *4328:B I *D sky130_fd_sc_hd__or2_1
*I *4327:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4328:B 0.00027726
2 *4327:X 0.00027726
3 *4328:B *644:85 5.53934e-05
4 *4328:B *644:98 0.000127194
5 *4327:S *4328:B 0
6 *4463:D *4328:B 0
*RES
1 *4327:X *4328:B 33.9303
*END
*D_NET *455 0.000477909
*CONN
*I *4329:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4328:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4329:A 0.000132942
2 *4328:X 0.000132942
3 *4328:A *4329:A 0.000171273
4 *4463:D *4329:A 4.0752e-05
*RES
1 *4328:X *4329:A 22.5493
*END
*D_NET *456 0.000440487
*CONN
*I *4331:B I *D sky130_fd_sc_hd__and2_1
*I *4330:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4331:B 0.000182672
2 *4330:X 0.000182672
3 *4331:B *4330:A1 6.91561e-06
4 *4331:B *644:75 4.06095e-05
5 *4331:A *4331:B 2.7618e-05
*RES
1 *4330:X *4331:B 31.4388
*END
*D_NET *457 0.000388094
*CONN
*I *4332:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4331:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4332:A 0.000159412
2 *4331:X 0.000159412
3 *4331:A *4332:A 6.92705e-05
*RES
1 *4331:X *4332:A 22.5493
*END
*D_NET *458 0.00053675
*CONN
*I *4334:B I *D sky130_fd_sc_hd__or2_1
*I *4333:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4334:B 0.000141168
2 *4333:X 0.000141168
3 *4334:B *646:20 9.63545e-05
4 *4334:B *646:22 2.99929e-05
5 *348:43 *4334:B 0.000128067
*RES
1 *4333:X *4334:B 32.6523
*END
*D_NET *459 0.00156882
*CONN
*I *4335:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4334:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4335:A 0.000656055
2 *4334:X 0.000656055
3 *4335:A *646:20 8.18934e-05
4 *4335:A *646:22 3.74593e-05
5 *4335:A *806:25 5.92342e-05
6 *4465:D *4335:A 7.81229e-05
7 *442:14 *4335:A 0
*RES
1 *4334:X *4335:A 41.0302
*END
*D_NET *460 0.000898246
*CONN
*I *4337:B I *D sky130_fd_sc_hd__and2_1
*I *4336:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4337:B 0.000355405
2 *4336:X 0.000355405
3 *4337:B *4338:A 0
4 *4337:B *806:10 0
5 *4337:B *806:13 6.50586e-05
6 *273:55 *4337:B 0.000122378
*RES
1 *4336:X *4337:B 35.069
*END
*D_NET *461 0.000542543
*CONN
*I *4338:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4337:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4338:A 0.000242879
2 *4337:X 0.000242879
3 *4338:A *806:13 5.67857e-05
4 *4337:B *4338:A 0
5 *451:9 *4338:A 0
*RES
1 *4337:X *4338:A 32.1327
*END
*D_NET *462 0.000580935
*CONN
*I *4340:B I *D sky130_fd_sc_hd__and2_1
*I *4339:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4340:B 0.000135435
2 *4339:X 0.000135435
3 *4340:B *709:11 0.00011818
4 *316:11 *4340:B 0.000151741
5 *451:27 *4340:B 4.01437e-05
*RES
1 *4339:X *4340:B 31.4388
*END
*D_NET *463 0.000707865
*CONN
*I *4341:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4340:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4341:A 0.000192564
2 *4340:X 0.000192564
3 *316:11 *4341:A 0.000153453
4 *438:18 *4341:A 1.43983e-05
5 *451:27 *4341:A 0.000154885
*RES
1 *4340:X *4341:A 34.2062
*END
*D_NET *464 0.000638576
*CONN
*I *4343:B I *D sky130_fd_sc_hd__or2_1
*I *4342:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4343:B 0.000215238
2 *4342:X 0.000215238
3 *4343:B *4342:A1 7.50722e-05
4 *4343:B *806:31 6.78549e-05
5 *4343:A *4343:B 6.51725e-05
6 *4468:D *4343:B 0
*RES
1 *4342:X *4343:B 33.3757
*END
*D_NET *465 0.000345832
*CONN
*I *4344:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4343:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4344:A 0.000172916
2 *4343:X 0.000172916
*RES
1 *4343:X *4344:A 22.5493
*END
*D_NET *466 0.000811713
*CONN
*I *4346:B I *D sky130_fd_sc_hd__and2_1
*I *4345:X O *D sky130_fd_sc_hd__mux2_1
*CAP
1 *4346:B 0.000269513
2 *4345:X 0.000269513
3 *4346:B *4347:A 1.43848e-05
4 *4346:B *4469:CLK 9.34724e-05
5 *4346:A *4346:B 0.000164829
6 *451:27 *4346:B 0
*RES
1 *4345:X *4346:B 35.3154
*END
*D_NET *467 0.000766192
*CONN
*I *4347:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4346:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4347:A 0.000353714
2 *4346:X 0.000353714
3 *4346:A *4347:A 4.4379e-05
4 *4346:B *4347:A 1.43848e-05
*RES
1 *4346:X *4347:A 33.6572
*END
*D_NET *468 0.000526252
*CONN
*I *4349:B I *D sky130_fd_sc_hd__nor2_1
*I *4348:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4349:B 9.16523e-05
2 *4348:Y 9.16523e-05
3 *4348:A2 *4349:B 3.21548e-05
4 *4348:B1 *4349:B 4.81015e-05
5 *4349:A *4349:B 1.64789e-05
6 *293:35 *4349:B 0.000246212
*RES
1 *4348:Y *4349:B 22.5975
*END
*D_NET *469 0.00951424
*CONN
*I *4385:B I *D sky130_fd_sc_hd__nand2_1
*I *4356:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *4362:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *4353:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *4359:A1 I *D sky130_fd_sc_hd__a21boi_1
*I *4350:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4385:B 0.000226666
2 *4356:A1 0
3 *4362:A1 0.000302947
4 *4353:A1 0.000106138
5 *4359:A1 2.86286e-05
6 *4350:X 0
7 *469:29 0.000817987
8 *469:24 0.000752696
9 *469:14 0.00143413
10 *469:5 0.00128838
11 *4353:A1 *471:21 0.000164815
12 *4359:A1 *471:16 6.08467e-05
13 *4362:A1 *4353:A2 0
14 *4362:A1 *4355:A1 5.41227e-05
15 *4362:A1 *4362:A2 0.000405596
16 *4362:A1 *4362:B1_N 6.08467e-05
17 *4362:A1 *471:21 9.16621e-05
18 *469:14 *4352:A 0.000182971
19 *469:14 *4352:B 9.65932e-05
20 *469:14 *471:7 0.000769841
21 *469:14 *471:16 3.31745e-05
22 *469:14 *473:8 7.65861e-05
23 *469:24 *4359:B1_N 1.65872e-05
24 *469:24 *471:16 0.000817498
25 *469:29 *4299:A1 0
26 *469:29 *4353:B1_N 1.00846e-05
27 *469:29 *4356:B1_N 0
28 *469:29 *471:16 3.67708e-05
29 *469:29 *471:21 0.000658534
30 *4195:A2 *469:14 7.09251e-05
31 *4385:A *4385:B 1.07248e-05
32 *268:12 *4385:B 0
33 *268:12 *469:14 0
34 *288:30 *4385:B 6.08467e-05
35 *334:8 *469:14 8.42523e-05
36 *350:8 *4385:B 0.000114909
37 *350:8 *469:14 7.86847e-05
38 *350:18 *4385:B 0.000366736
39 *429:16 *469:14 1.93378e-05
40 *429:16 *469:24 0.000213725
41 *429:16 *469:29 0
*RES
1 *4350:X *469:5 13.7491
2 *469:5 *469:14 42.9727
3 *469:14 *4359:A1 9.97254
4 *469:14 *469:24 17.4423
5 *469:24 *469:29 14.9508
6 *469:29 *4353:A1 11.0817
7 *469:29 *4362:A1 28.3466
8 *469:24 *4356:A1 13.7491
9 *469:5 *4385:B 22.5333
*END
*D_NET *470 0.00868704
*CONN
*I *4352:A I *D sky130_fd_sc_hd__or2_1
*I *4388:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4404:A I *D sky130_fd_sc_hd__nand3_1
*I *4423:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4413:A I *D sky130_fd_sc_hd__nand2_1
*I *4351:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4352:A 0.000655051
2 *4388:A1 0
3 *4404:A 1.81917e-05
4 *4423:A1 0
5 *4413:A 0.0001919
6 *4351:X 0.000411485
7 *470:22 0.00074367
8 *470:17 0.00138319
9 *470:10 0.00187976
10 *4352:A *4352:B 0.000122378
11 *4352:A *4393:A 5.25312e-05
12 *4404:A *4410:A 0
13 *4413:A *4414:B 0.000384067
14 *4413:A *518:8 4.31703e-05
15 *4413:A *657:18 0.000385581
16 *470:10 *4388:B1 0
17 *470:10 *4428:B 6.08697e-06
18 *470:17 *4388:B1 0.000110161
19 *470:17 *4389:A 9.65932e-05
20 *470:17 *4393:A 2.20484e-05
21 *470:17 *498:5 2.15235e-05
22 *470:17 *499:7 9.19886e-06
23 *470:17 *502:7 2.95094e-05
24 *470:17 *502:9 4.15824e-05
25 *470:22 *4171:B 9.22013e-06
26 *470:22 *4410:A 2.65831e-05
27 *470:22 *4414:B 0.00111367
28 *470:22 *502:9 4.82966e-05
29 *470:22 *502:57 0
30 *470:22 *511:9 0.000171288
31 *470:22 *657:18 3.67708e-05
32 *470:22 *657:28 0.000161951
33 *4351:A *470:10 1.31657e-05
34 *4388:A2 *4352:A 0.000315447
35 *329:12 *470:10 0
36 *469:14 *4352:A 0.000182971
*RES
1 *4351:X *470:10 24.8233
2 *470:10 *470:17 13.6963
3 *470:17 *470:22 26.0204
4 *470:22 *4413:A 22.3968
5 *470:22 *4423:A1 13.7491
6 *470:17 *4404:A 9.82786
7 *470:10 *4388:A1 9.24915
8 *470:10 *4352:A 26.056
*END
*D_NET *471 0.00593578
*CONN
*I *4356:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *4353:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *4362:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *4359:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *4352:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4356:A2 0
2 *4353:A2 2.06324e-05
3 *4362:A2 0.000235384
4 *4359:A2 0
5 *4352:X 0.000207879
6 *471:21 0.00052483
7 *471:16 0.00065992
8 *471:7 0.000598986
9 *4362:A2 *4364:A1 6.50727e-05
10 *471:7 *4281:A 0.000107496
11 *471:7 *4352:B 0.000171273
12 *471:16 *4359:B1_N 0.000167076
13 *471:16 *473:8 0
14 *471:21 *4353:B1_N 0.000128091
15 *471:21 *4355:A1 0
16 *4353:A1 *471:21 0.000164815
17 *4359:A1 *471:16 6.08467e-05
18 *4362:A1 *4353:A2 0
19 *4362:A1 *4362:A2 0.000405596
20 *4362:A1 *471:21 9.16621e-05
21 *4472:D *471:16 0
22 *4472:D *471:21 0
23 *429:16 *471:16 1.03986e-05
24 *469:14 *471:7 0.000769841
25 *469:14 *471:16 3.31745e-05
26 *469:24 *471:16 0.000817498
27 *469:29 *471:16 3.67708e-05
28 *469:29 *471:21 0.000658534
*RES
1 *4352:X *471:7 18.3157
2 *471:7 *4359:A2 9.24915
3 *471:7 *471:16 18.8274
4 *471:16 *471:21 16.6146
5 *471:21 *4362:A2 16.0973
6 *471:21 *4353:A2 9.82786
7 *471:16 *4356:A2 13.7491
*END
*D_NET *472 0.000775966
*CONN
*I *4355:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4353:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *4355:A1 0.000309405
2 *4353:Y 0.000309405
3 *4355:A1 *4355:A2 2.65831e-05
4 *4355:A1 *4358:A1 1.41291e-05
5 *4355:A1 *690:8 0
6 *4362:A1 *4355:A1 5.41227e-05
7 *4472:D *4355:A1 6.23202e-05
8 *245:11 *4355:A1 0
9 *373:41 *4355:A1 0
10 *471:21 *4355:A1 0
*RES
1 *4353:Y *4355:A1 35.1761
*END
*D_NET *473 0.00419013
*CONN
*I *4355:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4354:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4355:A2 2.78742e-05
2 *4354:X 0.000863328
3 *473:8 0.000891202
4 *4355:A2 *4358:A1 6.50727e-05
5 *473:8 *4282:A1 0
6 *473:8 *4361:A1 0.000227573
7 *473:8 *4361:A2 0.00031725
8 *473:8 *475:9 8.48524e-05
9 *4245:C1 *473:8 2.02035e-05
10 *4284:A *473:8 0
11 *4355:A1 *4355:A2 2.65831e-05
12 *4360:B *473:8 0.0003631
13 *4364:B1 *4355:A2 1.64789e-05
14 *4472:D *473:8 2.22923e-05
15 *351:20 *473:8 2.57465e-06
16 *374:5 *473:8 5.08751e-05
17 *374:7 *473:8 1.41976e-05
18 *390:12 *473:8 0.000144531
19 *390:27 *473:8 0.000975554
20 *429:16 *473:8 0
21 *469:14 *473:8 7.65861e-05
22 *471:16 *473:8 0
*RES
1 *4354:X *473:8 46.2027
2 *473:8 *4355:A2 15.0513
*END
*D_NET *474 0.00127963
*CONN
*I *4358:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4356:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *4358:A1 0.000504096
2 *4356:Y 0.000504096
3 *4355:A1 *4358:A1 1.41291e-05
4 *4355:A2 *4358:A1 6.50727e-05
5 *4364:B1 *4358:A1 6.50586e-05
6 *373:41 *4358:A1 0
7 *390:12 *4358:A1 0.000127179
*RES
1 *4356:Y *4358:A1 36.015
*END
*D_NET *475 0.00270559
*CONN
*I *4358:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4357:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4358:A2 0
2 *4357:X 0.000827796
3 *475:9 0.000827796
4 *475:9 *4473:CLK 0
5 *475:9 *696:31 0.000145074
6 *4245:C1 *475:9 6.34651e-06
7 *4360:B *475:9 4.44196e-05
8 *4360:C *475:9 9.60366e-05
9 *4473:D *475:9 0.000149628
10 *282:54 *475:9 0.000118166
11 *373:57 *475:9 0
12 *390:27 *475:9 0.000405471
13 *421:8 *475:9 0
14 *473:8 *475:9 8.48524e-05
*RES
1 *4357:X *475:9 44.198
2 *475:9 *4358:A2 9.24915
*END
*D_NET *476 0.000854047
*CONN
*I *4361:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4359:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *4361:A1 0.000254834
2 *4359:Y 0.000254834
3 *4361:A1 *680:30 0
4 *429:8 *4361:A1 5.79399e-05
5 *429:16 *4361:A1 5.88662e-05
6 *473:8 *4361:A1 0.000227573
*RES
1 *4359:Y *4361:A1 36.3896
*END
*D_NET *477 0.000643857
*CONN
*I *4361:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4360:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4361:A2 0.000163303
2 *4360:X 0.000163303
3 *4284:A *4361:A2 0
4 *473:8 *4361:A2 0.00031725
*RES
1 *4360:X *4361:A2 33.0676
*END
*D_NET *478 0.000971911
*CONN
*I *4364:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4362:Y O *D sky130_fd_sc_hd__a21boi_1
*CAP
1 *4364:A1 0.000134705
2 *4362:Y 0.000134705
3 *4364:A1 *645:8 0.000200236
4 *4364:A1 *645:10 0.000123582
5 *4362:A2 *4364:A1 6.50727e-05
6 *373:41 *4364:A1 0.000313611
*RES
1 *4362:Y *4364:A1 33.791
*END
*D_NET *479 0.00389209
*CONN
*I *4364:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4363:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4364:A2 0
2 *4363:X 0.000789222
3 *479:15 0.000789222
4 *479:15 *4281:A 0.000268195
5 *479:15 *4292:A 0.000122083
6 *479:15 *4352:B 0.000151265
7 *479:15 *645:8 0.000133814
8 *479:15 *645:91 0.000113646
9 *4363:C *479:15 6.50586e-05
10 *4364:B1 *479:15 0.000113968
11 *347:15 *479:15 9.73157e-05
12 *373:41 *479:15 0.000170592
13 *373:57 *479:15 0.00107771
*RES
1 *4363:X *479:15 49.9777
2 *479:15 *4364:A2 9.24915
*END
*D_NET *480 0.00628472
*CONN
*I *4369:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4374:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4383:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4367:A I *D sky130_fd_sc_hd__nand2_1
*I *4378:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4365:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4369:A1 0.000199763
2 *4374:A1 5.16302e-05
3 *4383:A1 0
4 *4367:A 0.000250841
5 *4378:A1 0.00019694
6 *4365:X 0.000319474
7 *480:46 0.000403789
8 *480:39 0.000174295
9 *480:27 0.000748915
10 *480:13 0.000992589
11 *4367:A *4367:B 6.50586e-05
12 *4367:A *4383:B1 8.82603e-05
13 *4367:A *4384:A2 0.000122083
14 *4367:A *482:8 5.0715e-05
15 *4367:A *680:30 0.000179271
16 *4367:A *682:17 6.75302e-05
17 *4367:A *682:19 5.0715e-05
18 *4369:A1 *4369:A2 0.000341207
19 *4369:A1 *4369:B1 6.50586e-05
20 *4369:A1 *4375:B1 0.000153225
21 *4369:A1 *482:23 1.46079e-05
22 *4374:A1 *4369:A2 7.34948e-06
23 *4378:A1 *4378:A3 0.000233695
24 *4378:A1 *481:18 3.99086e-06
25 *480:13 *4282:A1 0
26 *480:13 *4382:A 0.000266674
27 *480:27 *4377:A1 0.000107496
28 *480:27 *4377:B1 2.79907e-05
29 *480:27 *4378:A3 4.64157e-05
30 *480:27 *4379:B1 8.77154e-06
31 *480:27 *681:11 0.000239718
32 *480:39 *4383:A2 1.07248e-05
33 *480:39 *4384:A2 5.41377e-05
34 *480:46 *4383:A2 6.94439e-05
35 *480:46 *4384:A2 0.000143017
36 *480:46 *482:23 5.29627e-05
37 *4381:B1 *4367:A 5.64929e-05
38 *4381:B1 *4369:A1 4.23937e-05
39 *4381:B1 *480:39 1.3807e-05
40 *4381:B1 *480:46 0.000108686
41 *374:50 *480:13 0.000203418
42 *384:15 *4369:A1 5.1573e-05
*RES
1 *4365:X *480:13 28.9056
2 *480:13 *4378:A1 14.1803
3 *480:13 *480:27 12.1559
4 *480:27 *4367:A 23.3935
5 *480:27 *480:39 1.00149
6 *480:39 *4383:A1 13.7491
7 *480:39 *480:46 5.98452
8 *480:46 *4374:A1 14.4725
9 *480:46 *4369:A1 21.2876
*END
*D_NET *481 0.00786155
*CONN
*I *4374:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4369:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4367:B I *D sky130_fd_sc_hd__nand2_1
*I *4383:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4378:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4366:Y O *D sky130_fd_sc_hd__a21oi_2
*CAP
1 *4374:A2 0
2 *4369:A2 0.000264611
3 *4367:B 0.000270541
4 *4383:A2 2.368e-05
5 *4378:A2 1.51369e-05
6 *4366:Y 0.000411995
7 *481:47 0.000524301
8 *481:30 0.000778573
9 *481:18 0.000904856
10 *481:8 0.00105997
11 *4367:B *4379:B1 0.000196653
12 *4367:B *4383:B1 2.21765e-05
13 *4367:B *4444:CLK 1.77537e-06
14 *4367:B *482:8 5.91784e-05
15 *4367:B *482:23 8.62321e-06
16 *4367:B *647:79 3.46062e-05
17 *4367:B *647:81 0.000127428
18 *4367:B *682:17 6.92705e-05
19 *4369:A2 *4369:B1 0.00011818
20 *4369:A2 *4370:A1 6.35771e-05
21 *4369:A2 *482:23 0.000102707
22 *4378:A2 *696:26 5.92192e-05
23 *481:8 *4239:B 0.000324151
24 *481:8 *696:17 6.50586e-05
25 *481:8 *696:26 0.000306136
26 *481:18 *4378:A3 1.58551e-05
27 *481:18 *4379:B1 0.000741922
28 *481:30 *4379:B1 0.00029284
29 *481:30 *681:11 3.99086e-06
30 *481:47 *482:23 8.83765e-05
31 *481:47 *647:79 0.000138719
32 *4238:A *481:8 1.41853e-05
33 *4367:A *4367:B 6.50586e-05
34 *4369:A1 *4369:A2 0.000341207
35 *4374:A1 *4369:A2 7.34948e-06
36 *4378:A1 *481:18 3.99086e-06
37 *4381:B1 *4383:A2 8.01687e-05
38 *343:16 *481:8 9.24241e-05
39 *378:20 *4378:A2 2.15266e-05
40 *378:20 *481:8 4.82328e-05
41 *384:15 *4369:A2 1.31364e-05
42 *480:39 *4383:A2 1.07248e-05
43 *480:46 *4383:A2 6.94439e-05
*RES
1 *4366:Y *481:8 23.6481
2 *481:8 *4378:A2 14.7506
3 *481:8 *481:18 14.6517
4 *481:18 *4383:A2 19.6659
5 *481:18 *481:30 8.55102
6 *481:30 *4367:B 23.4371
7 *481:30 *481:47 12.4565
8 *481:47 *4369:A2 27.9693
9 *481:47 *4374:A2 9.24915
*END
*D_NET *482 0.0037609
*CONN
*I *4370:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4375:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4379:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4384:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4367:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4370:A1 0.00033672
2 *4375:A1 4.64251e-05
3 *4379:A2 9.24715e-05
4 *4384:A1 1.71772e-05
5 *4367:Y 0.000131264
6 *482:23 0.000765067
7 *482:10 0.000109649
8 *482:8 0.000513186
9 *4370:A1 *4370:B1 0.000315176
10 *4370:A1 *647:77 0
11 *4375:A1 *680:7 4.58003e-05
12 *4379:A2 *4379:B1 0.000265225
13 *4379:A2 *4384:B1 6.50727e-05
14 *4384:A1 *4384:B1 3.75221e-05
15 *482:8 *4383:B1 0.000156823
16 *482:23 *4379:B1 4.23937e-05
17 *482:23 *4383:B1 0.000161981
18 *482:23 *4384:A2 5.30055e-05
19 *482:23 *4384:B1 0.000101148
20 *482:23 *647:77 0
21 *482:23 *647:79 0
22 *4367:A *482:8 5.0715e-05
23 *4367:B *482:8 5.91784e-05
24 *4367:B *482:23 8.62321e-06
25 *4369:A1 *482:23 1.46079e-05
26 *4369:A2 *4370:A1 6.35771e-05
27 *4369:A2 *482:23 0.000102707
28 *4478:D *4379:A2 6.4049e-05
29 *384:15 *4370:A1 0
30 *480:46 *482:23 5.29627e-05
31 *481:47 *482:23 8.83765e-05
*RES
1 *4367:Y *482:8 17.6896
2 *482:8 *482:10 4.5
3 *482:10 *4384:A1 9.69524
4 *482:10 *4379:A2 13.3002
5 *482:8 *482:23 13.4591
6 *482:23 *4375:A1 15.0271
7 *482:23 *4370:A1 23.4709
*END
*D_NET *483 0.000950851
*CONN
*I *4370:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4368:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4370:A2 9.59558e-05
2 *4368:Y 9.59558e-05
3 *4370:A2 *4370:B1 4.24529e-05
4 *4370:A2 *679:7 0.00047703
5 *4368:B *4370:A2 0.000217923
6 *4370:C1 *4370:A2 2.15348e-05
*RES
1 *4368:Y *4370:A2 24.2372
*END
*D_NET *484 0.00104347
*CONN
*I *4370:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4369:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4370:B1 0.000325677
2 *4369:X 0.000325677
3 *4370:B1 *647:75 0
4 *4370:B1 *647:77 0
5 *4370:B1 *679:7 1.80122e-05
6 *4370:A1 *4370:B1 0.000315176
7 *4370:A2 *4370:B1 4.24529e-05
8 *4370:C1 *4370:B1 1.64789e-05
*RES
1 *4369:X *4370:B1 36.6175
*END
*D_NET *485 0.00444052
*CONN
*I *4373:A I *D sky130_fd_sc_hd__nor2_1
*I *4376:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4377:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4381:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4380:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4371:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4373:A 0.000323666
2 *4376:A2 0.000171452
3 *4377:A2 0
4 *4381:A3 9.47982e-06
5 *4380:A2 0.000168215
6 *4371:X 5.76242e-05
7 *485:32 0.000552701
8 *485:25 0.000107792
9 *485:10 0.000262269
10 *485:7 0.000192409
11 *4373:A *4369:B1 6.08467e-05
12 *4373:A *4376:A1 7.50722e-05
13 *4373:A *679:12 5.41227e-05
14 *4373:A *679:18 0.000168557
15 *4373:A *679:20 5.65074e-05
16 *4373:A *680:18 0.000200252
17 *4376:A2 *4376:A1 2.15184e-05
18 *4376:A2 *4382:A 0.000311315
19 *4380:A2 *4380:A1 0.000424557
20 *4380:A2 *4382:B 2.65667e-05
21 *4381:A3 *4380:A1 5.31074e-05
22 *4381:A3 *4382:B 2.65831e-05
23 *485:7 *4371:A 2.41274e-06
24 *485:7 *680:30 1.03403e-05
25 *485:10 *4282:A1 7.41203e-05
26 *485:10 *680:18 8.16827e-05
27 *485:10 *681:26 0.00023706
28 *485:25 *4376:A1 2.4562e-05
29 *485:25 *680:18 0.00010836
30 *485:25 *681:26 9.24241e-05
31 *485:32 *4376:A1 0.000172676
32 *485:32 *680:18 0.000161951
33 *289:47 *4376:A2 1.38625e-05
34 *397:17 *4373:A 0.000100284
35 *397:24 *4373:A 3.61659e-05
*RES
1 *4371:X *485:7 14.4725
2 *485:7 *485:10 8.82351
3 *485:10 *4380:A2 14.9881
4 *485:10 *4381:A3 9.97254
5 *485:7 *485:25 2.24725
6 *485:25 *4377:A2 13.7491
7 *485:25 *485:32 3.07775
8 *485:32 *4376:A2 18.9335
9 *485:32 *4373:A 25.0248
*END
*D_NET *486 0.000420327
*CONN
*I *4373:B I *D sky130_fd_sc_hd__nor2_1
*I *4372:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4373:B 0.000180731
2 *4372:Y 0.000180731
3 *4373:B *4375:A2 0
4 *4373:B *679:12 0
5 *374:50 *4373:B 5.88662e-05
*RES
1 *4372:Y *4373:B 32.1327
*END
*D_NET *487 0.000971754
*CONN
*I *4375:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4373:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4375:A2 0.000233854
2 *4373:Y 0.000233854
3 *4375:A2 *4372:A2 1.21461e-06
4 *4375:A2 *679:18 9.60366e-05
5 *4375:A2 *680:7 0.000406794
6 *4373:B *4375:A2 0
7 *374:50 *4375:A2 0
*RES
1 *4373:Y *4375:A2 34.5146
*END
*D_NET *488 0.000624225
*CONN
*I *4375:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4374:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4375:B1 0.000122471
2 *4374:X 0.000122471
3 *4375:B1 *4374:B1 1.19856e-05
4 *4369:A1 *4375:B1 0.000153225
5 *384:7 *4375:B1 6.08467e-05
6 *384:15 *4375:B1 0.000153225
*RES
1 *4374:X *4375:B1 31.4388
*END
*D_NET *489 0.000973838
*CONN
*I *4377:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4376:Y O *D sky130_fd_sc_hd__o21ai_1
*CAP
1 *4377:B1 0.000129754
2 *4376:Y 0.000129754
3 *4377:B1 *4378:A3 0.000218567
4 *4377:B1 *4379:B1 0.000320584
5 *4377:B1 *4382:A 2.66039e-05
6 *374:50 *4377:B1 0.000120584
7 *480:27 *4377:B1 2.79907e-05
*RES
1 *4376:Y *4377:B1 33.8207
*END
*D_NET *490 0.000703005
*CONN
*I *4378:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4377:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4378:A3 8.48284e-05
2 *4377:X 8.48284e-05
3 *4378:A3 *4379:B1 1.88152e-05
4 *4377:B1 *4378:A3 0.000218567
5 *4378:A1 *4378:A3 0.000233695
6 *480:27 *4378:A3 4.64157e-05
7 *481:18 *4378:A3 1.58551e-05
*RES
1 *4377:X *4378:A3 22.5493
*END
*D_NET *491 0.00318945
*CONN
*I *4379:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4378:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4379:B1 0.000516599
2 *4378:X 0.000516599
3 *4379:B1 *4377:A1 1.82679e-05
4 *4379:B1 *4384:B1 0.000101148
5 *4379:B1 *681:11 9.41185e-05
6 *4367:B *4379:B1 0.000196653
7 *4377:B1 *4379:B1 0.000320584
8 *4378:A3 *4379:B1 1.88152e-05
9 *4379:A1 *4379:B1 4.87301e-05
10 *4379:A2 *4379:B1 0.000265225
11 *4478:D *4379:B1 6.78364e-06
12 *480:27 *4379:B1 8.77154e-06
13 *481:18 *4379:B1 0.000741922
14 *481:30 *4379:B1 0.00029284
15 *482:23 *4379:B1 4.23937e-05
*RES
1 *4378:X *4379:B1 47.4124
*END
*D_NET *492 0.00170099
*CONN
*I *4382:A I *D sky130_fd_sc_hd__nor2_1
*I *4380:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4382:A 0.000384008
2 *4380:Y 0.000384008
3 *4382:A *4282:A1 0
4 *4382:A *4376:A1 0.000311315
5 *4382:A *679:20 0
6 *4376:A2 *4382:A 0.000311315
7 *4377:B1 *4382:A 2.66039e-05
8 *374:50 *4382:A 1.70638e-05
9 *480:13 *4382:A 0.000266674
*RES
1 *4380:Y *4382:A 40.3012
*END
*D_NET *493 0.00168151
*CONN
*I *4382:B I *D sky130_fd_sc_hd__nor2_1
*I *4381:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4382:B 0.000281214
2 *4381:X 0.000281214
3 *4382:B *4376:A1 0.000247231
4 *4382:B *4380:A1 1.41291e-05
5 *4382:B *681:26 0.000285532
6 *4380:A2 *4382:B 2.65667e-05
7 *4381:A3 *4382:B 2.65831e-05
8 *397:8 *4382:B 0.000148144
9 *397:17 *4382:B 0.000370897
*RES
1 *4381:X *4382:B 38.6374
*END
*D_NET *494 0.00126138
*CONN
*I *4384:A2 I *D sky130_fd_sc_hd__o211a_1
*I *4382:Y O *D sky130_fd_sc_hd__nor2_1
*CAP
1 *4384:A2 0.000353369
2 *4382:Y 0.000353369
3 *4384:A2 *4383:B1 0.000172706
4 *4367:A *4384:A2 0.000122083
5 *289:47 *4384:A2 9.69669e-06
6 *480:39 *4384:A2 5.41377e-05
7 *480:46 *4384:A2 0.000143017
8 *482:23 *4384:A2 5.30055e-05
*RES
1 *4382:Y *4384:A2 36.0094
*END
*D_NET *495 0.000654174
*CONN
*I *4384:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4383:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4384:B1 0.000174641
2 *4383:X 0.000174641
3 *4379:A2 *4384:B1 6.50727e-05
4 *4379:B1 *4384:B1 0.000101148
5 *4384:A1 *4384:B1 3.75221e-05
6 *482:23 *4384:B1 0.000101148
*RES
1 *4383:X *4384:B1 32.2962
*END
*D_NET *496 0.000643343
*CONN
*I *4386:C1 I *D sky130_fd_sc_hd__o211a_1
*I *4385:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4386:C1 7.92018e-05
2 *4385:Y 7.92018e-05
3 *4385:A *4386:C1 0.000101133
4 *4386:A2 *4386:C1 0.000164829
5 *278:21 *4386:C1 6.73186e-05
6 *336:8 *4386:C1 2.95757e-05
7 *350:18 *4386:C1 0.000122083
*RES
1 *4385:Y *4386:C1 31.5781
*END
*D_NET *497 0.00474137
*CONN
*I *4395:B I *D sky130_fd_sc_hd__nand2_1
*I *4394:B I *D sky130_fd_sc_hd__or2_1
*I *4398:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4391:A_N I *D sky130_fd_sc_hd__and3b_1
*I *4387:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4395:B 3.13337e-05
2 *4394:B 0
3 *4398:A2 3.12922e-05
4 *4391:A_N 0.000110823
5 *4387:X 5.81894e-05
6 *497:31 0.000249943
7 *497:17 0.000525529
8 *497:7 0.00044464
9 *4391:A_N *4391:B 0.000164829
10 *4391:A_N *502:34 0.000285532
11 *4391:A_N *507:17 0.000292742
12 *4395:B *4446:CLK 0.00033061
13 *4398:A2 *653:17 0.000111722
14 *497:17 *4396:A 0.000417344
15 *497:17 *4401:B 5.75768e-05
16 *497:17 *502:34 0.000405599
17 *497:17 *507:8 4.52469e-05
18 *497:17 *507:17 0.00026615
19 *497:17 *653:10 0.000127179
20 *497:31 *4396:A 0.000196638
21 *497:31 *4446:CLK 9.80912e-05
22 *4398:A1 *4398:A2 6.50727e-05
23 *278:21 *4391:A_N 0.000169041
24 *300:21 *4395:B 0.000142276
25 *300:21 *497:31 0.000113968
*RES
1 *4387:X *497:7 14.4725
2 *497:7 *4391:A_N 20.7358
3 *497:7 *497:17 14.2896
4 *497:17 *4398:A2 15.0271
5 *497:17 *497:31 11.5135
6 *497:31 *4394:B 9.24915
7 *497:31 *4395:B 12.7456
*END
*D_NET *498 0.00198819
*CONN
*I *4393:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4428:B I *D sky130_fd_sc_hd__and3b_1
*I *4389:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4388:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4393:A 0.000150028
2 *4428:B 0.000232768
3 *4389:A 5.65674e-05
4 *4388:Y 0
5 *498:5 0.00030968
6 *498:4 0.000170373
7 *4389:A *4388:B1 6.08467e-05
8 *4389:A *502:7 6.46998e-05
9 *4393:A *502:7 0.000158902
10 *4428:B *4388:B1 2.95757e-05
11 *4428:B *4426:C 0.00017419
12 *4428:B *644:6 0
13 *498:5 *4388:B1 4.51026e-05
14 *498:5 *502:7 2.54559e-05
15 *4352:A *4393:A 5.25312e-05
16 *4388:A2 *4393:A 0.000311221
17 *294:20 *4428:B 0
18 *329:10 *4428:B 0
19 *329:12 *4428:B 0
20 *470:10 *4428:B 6.08697e-06
21 *470:17 *4389:A 9.65932e-05
22 *470:17 *4393:A 2.20484e-05
23 *470:17 *498:5 2.15235e-05
*RES
1 *4388:Y *498:4 9.24915
2 *498:4 *498:5 1.15743
3 *498:5 *4389:A 12.191
4 *498:5 *4428:B 24.7222
5 *498:4 *4393:A 15.8562
*END
*D_NET *499 0.0098291
*CONN
*I *4391:B I *D sky130_fd_sc_hd__and3b_1
*I *4424:B I *D sky130_fd_sc_hd__and3b_1
*I *4415:A I *D sky130_fd_sc_hd__and3_1
*I *4419:A I *D sky130_fd_sc_hd__and3_1
*I *4432:A I *D sky130_fd_sc_hd__and3_1
*I *4389:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4391:B 0.0010059
2 *4424:B 0.00022181
3 *4415:A 0
4 *4419:A 0.000239066
5 *4432:A 0.000242153
6 *4389:X 1.73668e-05
7 *499:24 0.000511879
8 *499:10 0.00127176
9 *499:8 0.0014338
10 *499:7 0.00147847
11 *4391:B *4390:B1 8.01837e-05
12 *4391:B *699:12 0
13 *4391:B *699:20 0
14 *4419:A *4415:B 5.20546e-06
15 *4419:A *4415:C 2.81678e-06
16 *4419:A *4417:B 0.000231363
17 *4419:A *4419:C 1.2693e-05
18 *4419:A *518:10 0.000141016
19 *4419:A *656:10 0
20 *4424:B *4424:A_N 0.00011818
21 *4424:B *4425:A 3.03051e-05
22 *4432:A *657:9 0
23 *499:7 *502:7 6.50727e-05
24 *499:8 *4424:A_N 0
25 *499:8 *4426:C 0
26 *499:8 *4427:A 6.31665e-05
27 *499:8 *4427:B 0
28 *499:8 *524:8 0
29 *499:8 *699:20 0
30 *499:10 *524:8 0
31 *499:10 *699:20 0
32 *499:24 *4415:B 1.21461e-06
33 *499:24 *657:9 0.000102003
34 *499:24 *657:18 0.000222149
35 *4171:A *499:10 0.000382282
36 *4391:A_N *4391:B 0.000164829
37 *4418:A *4432:A 0.000118166
38 *4422:A *499:8 0.000176772
39 *4487:D *499:10 0
40 *278:21 *4391:B 0.000192383
41 *294:16 *4391:B 7.03408e-05
42 *294:18 *4391:B 6.63327e-05
43 *294:20 *4391:B 8.85525e-05
44 *294:20 *499:8 8.33259e-05
45 *295:18 *4391:B 0.000179271
46 *295:29 *4391:B 0.000504784
47 *326:7 *499:24 5.44727e-05
48 *326:8 *4432:A 3.92275e-05
49 *326:8 *499:10 4.90673e-05
50 *451:33 *499:10 0.000152524
51 *470:17 *499:7 9.19886e-06
*RES
1 *4389:X *499:7 14.4725
2 *499:7 *499:8 13.0438
3 *499:8 *499:10 21.7641
4 *499:10 *4432:A 18.5471
5 *499:10 *499:24 11.8786
6 *499:24 *4419:A 25.7876
7 *499:24 *4415:A 9.24915
8 *499:8 *4424:B 17.2456
9 *499:7 *4391:B 41.0915
*END
*D_NET *500 0.0007743
*CONN
*I *4391:C I *D sky130_fd_sc_hd__and3b_1
*I *4390:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4391:C 0.000329622
2 *4390:X 0.000329622
3 *278:21 *4391:C 0.000115055
*RES
1 *4390:X *4391:C 23.6585
*END
*D_NET *501 0.000617518
*CONN
*I *4392:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4391:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *4392:A 0.000226849
2 *4391:X 0.000226849
3 *4392:A *507:17 9.34224e-05
4 *4480:D *4392:A 3.89004e-05
5 *278:21 *4392:A 3.14978e-05
*RES
1 *4391:X *4392:A 33.791
*END
*D_NET *502 0.0156417
*CONN
*I *4434:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *4396:A I *D sky130_fd_sc_hd__and3_1
*I *4401:A I *D sky130_fd_sc_hd__and3_1
*I *4405:A I *D sky130_fd_sc_hd__and3_1
*I *4410:A I *D sky130_fd_sc_hd__and3_1
*I *4393:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4434:B1_N 0.000747217
2 *4396:A 0.000476046
3 *4401:A 0.000102096
4 *4405:A 7.16536e-05
5 *4410:A 0.000102123
6 *4393:X 0.00103619
7 *502:57 0.00225753
8 *502:34 0.00145989
9 *502:24 0.00110991
10 *502:9 0.000335272
11 *502:7 0.00262315
12 *4396:A *4396:B 0.000116806
13 *4396:A *4399:D 1.77537e-06
14 *4396:A *699:12 0
15 *4401:A *4401:B 1.31657e-05
16 *4401:A *507:8 0.000160617
17 *4405:A *514:8 0.000107496
18 *4410:A *4410:B 9.03914e-06
19 *4410:A *511:9 8.23371e-05
20 *4434:B1_N *4420:A 3.94584e-05
21 *502:24 *4404:B 3.25751e-05
22 *502:24 *4404:C 0
23 *502:24 *4414:B 2.36813e-05
24 *502:24 *507:22 0.000143032
25 *502:24 *514:8 2.47808e-05
26 *502:24 *654:39 0.000217587
27 *502:34 *4399:D 0.000112039
28 *502:34 *4408:B 9.35753e-06
29 *502:34 *507:17 0.000263308
30 *502:34 *507:22 0.000118873
31 *502:34 *514:8 9.75243e-05
32 *502:34 *699:12 0
33 *502:34 *699:20 0
34 *502:57 *4155:A_N 0
35 *502:57 *4171:B 1.03986e-05
36 *502:57 *4404:B 3.31733e-05
37 *502:57 *4404:C 3.31733e-05
38 *502:57 *4412:B 0.000571804
39 *502:57 *4413:B 0.000129301
40 *502:57 *4414:B 0
41 *502:57 *4417:B 3.46062e-05
42 *502:57 *4419:C 0.000191541
43 *502:57 *4420:A 6.56617e-05
44 *502:57 *517:19 3.77659e-05
45 *502:57 *518:10 7.06619e-05
46 *502:57 *657:9 0
47 *502:57 *657:18 0.000350593
48 *502:57 *657:28 0
49 *502:57 *699:20 0
50 *4389:A *502:7 6.46998e-05
51 *4391:A_N *502:34 0.000285532
52 *4393:A *502:7 0.000158902
53 *4394:A *4396:A 2.04806e-05
54 *4399:B *4396:A 0.000172498
55 *4404:A *4410:A 0
56 *4408:A *502:34 2.65667e-05
57 *4486:D *4434:B1_N 0.000153225
58 *300:22 *4396:A 8.04463e-05
59 *451:33 *502:57 0
60 *470:17 *502:7 2.95094e-05
61 *470:17 *502:9 4.15824e-05
62 *470:22 *4410:A 2.65831e-05
63 *470:22 *502:9 4.82966e-05
64 *470:22 *502:57 0
65 *497:17 *4396:A 0.000417344
66 *497:17 *502:34 0.000405599
67 *497:31 *4396:A 0.000196638
68 *498:5 *502:7 2.54559e-05
69 *499:7 *502:7 6.50727e-05
*RES
1 *4393:X *502:7 25.3809
2 *502:7 *502:9 2.38721
3 *502:9 *4410:A 12.2392
4 *502:9 *502:24 14.9845
5 *502:24 *4405:A 11.0817
6 *502:24 *502:34 33.0797
7 *502:34 *4401:A 16.691
8 *502:34 *4396:A 31.776
9 *502:7 *502:57 49.5793
10 *502:57 *4434:B1_N 32.8632
*END
*D_NET *503 0.000749088
*CONN
*I *4396:B I *D sky130_fd_sc_hd__and3_1
*I *4394:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4396:B 0.000201101
2 *4394:X 0.000201101
3 *4396:B *4446:CLK 0.00011818
4 *4396:B *699:12 0.000111901
5 *4396:A *4396:B 0.000116806
*RES
1 *4394:X *4396:B 33.5151
*END
*D_NET *504 0.00135253
*CONN
*I *4396:C I *D sky130_fd_sc_hd__and3_1
*I *4395:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4396:C 0.000401652
2 *4395:Y 0.000401652
3 *4396:C *4397:A 0.000395984
4 *4396:C *699:12 0
5 *4481:D *4396:C 0.00015324
6 *441:35 *4396:C 0
*RES
1 *4395:Y *4396:C 39.4817
*END
*D_NET *505 0.000719837
*CONN
*I *4397:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4396:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4397:A 0.000161927
2 *4396:X 0.000161927
3 *4396:C *4397:A 0.000395984
*RES
1 *4396:X *4397:A 24.0926
*END
*D_NET *506 0.000698408
*CONN
*I *4401:B I *D sky130_fd_sc_hd__and3_1
*I *4398:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4401:B 0.000297246
2 *4398:X 0.000297246
3 *4401:B *4401:C 3.16749e-06
4 *4401:B *653:10 3.00073e-05
5 *4401:A *4401:B 1.31657e-05
6 *497:17 *4401:B 5.75768e-05
*RES
1 *4398:X *4401:B 34.0697
*END
*D_NET *507 0.00447243
*CONN
*I *4403:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4404:C I *D sky130_fd_sc_hd__nand3_1
*I *4407:C I *D sky130_fd_sc_hd__and3_1
*I *4400:B I *D sky130_fd_sc_hd__nand2_1
*I *4399:X O *D sky130_fd_sc_hd__and4_1
*CAP
1 *4403:A2 0
2 *4404:C 0.000114387
3 *4407:C 2.27371e-05
4 *4400:B 0
5 *4399:X 0.00027053
6 *507:22 0.000370479
7 *507:17 0.000863231
8 *507:8 0.000900406
9 *4404:C *4404:B 4.87198e-05
10 *4404:C *4408:B 7.45404e-05
11 *4404:C *4412:B 0.000151726
12 *507:8 *4401:C 1.15766e-05
13 *507:22 *4407:A 6.50727e-05
14 *507:22 *4407:B 1.43983e-05
15 *507:22 *4408:B 0
16 *507:22 *654:39 0.000140713
17 *4391:A_N *507:17 0.000292742
18 *4392:A *507:17 9.34224e-05
19 *4399:B *507:8 7.34948e-06
20 *4401:A *507:8 0.000160617
21 *497:17 *507:8 4.52469e-05
22 *497:17 *507:17 0.00026615
23 *502:24 *4404:C 0
24 *502:24 *507:22 0.000143032
25 *502:34 *507:17 0.000263308
26 *502:34 *507:22 0.000118873
27 *502:57 *4404:C 3.31733e-05
*RES
1 *4399:X *507:8 18.9382
2 *507:8 *4400:B 13.7491
3 *507:8 *507:17 20.8359
4 *507:17 *507:22 13.2441
5 *507:22 *4407:C 9.97254
6 *507:22 *4404:C 22.7843
7 *507:17 *4403:A2 13.7491
*END
*D_NET *508 0.000425188
*CONN
*I *4401:C I *D sky130_fd_sc_hd__and3_1
*I *4400:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4401:C 0.000171292
2 *4400:Y 0.000171292
3 *4401:C *701:17 6.78596e-05
4 *4401:B *4401:C 3.16749e-06
5 *507:8 *4401:C 1.15766e-05
*RES
1 *4400:Y *4401:C 30.4583
*END
*D_NET *509 0.000657317
*CONN
*I *4402:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4401:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4402:A 0.000307301
2 *4401:X 0.000307301
3 *4402:A *707:16 0
4 *4482:D *4402:A 4.27148e-05
*RES
1 *4401:X *4402:A 34.3456
*END
*D_NET *510 0.000797627
*CONN
*I *4405:B I *D sky130_fd_sc_hd__and3_1
*I *4403:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4405:B 0.00033499
2 *4403:X 0.00033499
3 *4405:B *4405:C 5.92006e-06
4 *4405:B *4406:A 6.64392e-05
5 *4405:B *654:27 5.52874e-05
*RES
1 *4403:X *4405:B 33.1348
*END
*D_NET *511 0.00212776
*CONN
*I *4405:C I *D sky130_fd_sc_hd__and3_1
*I *4409:B I *D sky130_fd_sc_hd__nand2_1
*I *4404:Y O *D sky130_fd_sc_hd__nand3_1
*CAP
1 *4405:C 0.000368124
2 *4409:B 3.36863e-05
3 *4404:Y 0.00030143
4 *511:9 0.000703241
5 *4405:C *4406:A 8.97566e-06
6 *4405:C *4411:A 0
7 *4405:C *705:8 0
8 *4409:B *4410:C 0.000227177
9 *4409:B *4411:A 5.67722e-05
10 *511:9 *4410:B 1.95837e-05
11 *511:9 *4410:C 0.000135093
12 *511:9 *4411:A 1.41307e-05
13 *4405:B *4405:C 5.92006e-06
14 *4409:A *4405:C 0
15 *4410:A *511:9 8.23371e-05
16 *470:22 *511:9 0.000171288
*RES
1 *4404:Y *511:9 16.1214
2 *511:9 *4409:B 11.6364
3 *511:9 *4405:C 25.9095
*END
*D_NET *512 0.00116481
*CONN
*I *4406:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4405:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4406:A 0.00036973
2 *4405:X 0.00036973
3 *4406:A *707:21 0.000349931
4 *4405:B *4406:A 6.64392e-05
5 *4405:C *4406:A 8.97566e-06
*RES
1 *4405:X *4406:A 38.0884
*END
*D_NET *513 0.00376318
*CONN
*I *4408:B I *D sky130_fd_sc_hd__nand2_1
*I *4412:B I *D sky130_fd_sc_hd__and2_1
*I *4407:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4408:B 0.000154276
2 *4412:B 0.000755179
3 *4407:X 0
4 *513:5 0.000909454
5 *4408:B *699:20 0.000118858
6 *4412:B *4155:A_N 3.20069e-06
7 *4412:B *4424:C 0.000252906
8 *4412:B *658:16 4.87198e-05
9 *4412:B *699:20 0.000462756
10 *4404:C *4408:B 7.45404e-05
11 *4404:C *4412:B 0.000151726
12 *4408:A *4408:B 0.000118166
13 *451:36 *4412:B 0.000132235
14 *502:34 *4408:B 9.35753e-06
15 *502:57 *4412:B 0.000571804
16 *507:22 *4408:B 0
*RES
1 *4407:X *513:5 13.7491
2 *513:5 *4412:B 42.7469
3 *513:5 *4408:B 19.3507
*END
*D_NET *514 0.00524626
*CONN
*I *4410:B I *D sky130_fd_sc_hd__and3_1
*I *4414:B I *D sky130_fd_sc_hd__nand2_1
*I *4408:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4410:B 0.000126379
2 *4414:B 0.000983657
3 *4408:Y 0.000314268
4 *514:8 0.0014243
5 *4414:B *4163:A 0.000225473
6 *4414:B *4404:B 0
7 *4414:B *4412:A 0
8 *4414:B *4415:C 2.41274e-06
9 *4414:B *518:8 5.54078e-05
10 *4414:B *657:18 1.60597e-05
11 *514:8 *4163:A 6.1664e-05
12 *514:8 *654:39 0.000200221
13 *4405:A *514:8 0.000107496
14 *4410:A *4410:B 9.03914e-06
15 *4413:A *4414:B 0.000384067
16 *4414:A *4414:B 5.65746e-05
17 *320:8 *4414:B 0
18 *325:8 *4414:B 0
19 *470:22 *4414:B 0.00111367
20 *502:24 *4414:B 2.36813e-05
21 *502:24 *514:8 2.47808e-05
22 *502:34 *514:8 9.75243e-05
23 *502:57 *4414:B 0
24 *511:9 *4410:B 1.95837e-05
*RES
1 *4408:Y *514:8 20.8751
2 *514:8 *4414:B 45.3722
3 *514:8 *4410:B 15.5817
*END
*D_NET *515 0.000648372
*CONN
*I *4410:C I *D sky130_fd_sc_hd__and3_1
*I *4409:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4410:C 0.000138004
2 *4409:Y 0.000138004
3 *4410:C *4411:A 1.00937e-05
4 *4409:B *4410:C 0.000227177
5 *511:9 *4410:C 0.000135093
*RES
1 *4409:Y *4410:C 22.911
*END
*D_NET *516 0.00101729
*CONN
*I *4411:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4410:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4411:A 0.000407526
2 *4410:X 0.000407526
3 *4411:A *705:8 2.99929e-05
4 *4411:A *707:21 4.85353e-05
5 *4405:C *4411:A 0
6 *4409:B *4411:A 5.67722e-05
7 *4410:C *4411:A 1.00937e-05
8 *4484:D *4411:A 4.27148e-05
9 *511:9 *4411:A 1.41307e-05
*RES
1 *4410:X *4411:A 36.564
*END
*D_NET *517 0.003266
*CONN
*I *4421:C I *D sky130_fd_sc_hd__and3_1
*I *4423:A3 I *D sky130_fd_sc_hd__a31o_1
*I *4413:B I *D sky130_fd_sc_hd__nand2_1
*I *4412:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4421:C 0.000357424
2 *4423:A3 4.24154e-05
3 *4413:B 0.000191715
4 *4412:X 0
5 *517:19 0.000459112
6 *517:5 0.000250988
7 *4413:B *657:18 0.00011364
8 *4421:C *4421:A 0.00112121
9 *4421:C *4421:B 7.79747e-06
10 *4421:C *4423:B1 0.000299312
11 *4421:C *4424:C 3.12677e-05
12 *4421:C *524:8 3.4272e-05
13 *4421:C *644:11 6.73351e-05
14 *4423:A3 *4423:B1 6.50586e-05
15 *517:19 *657:18 3.60268e-05
16 *4487:D *4421:C 2.13584e-05
17 *502:57 *4413:B 0.000129301
18 *502:57 *517:19 3.77659e-05
*RES
1 *4412:X *517:5 13.7491
2 *517:5 *4413:B 19.9441
3 *517:5 *517:19 6.332
4 *517:19 *4423:A3 10.5513
5 *517:19 *4421:C 24.7539
*END
*D_NET *518 0.00256935
*CONN
*I *4415:B I *D sky130_fd_sc_hd__and3_1
*I *4418:B I *D sky130_fd_sc_hd__or2_1
*I *4417:B I *D sky130_fd_sc_hd__nand2_1
*I *4413:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4415:B 9.92156e-05
2 *4418:B 4.17153e-05
3 *4417:B 0.000244277
4 *4413:Y 0.000198972
5 *518:10 0.000372697
6 *518:8 0.000384893
7 *4417:B *4419:B 8.67835e-05
8 *4417:B *4419:C 0.000161173
9 *518:8 *4415:C 1.15039e-05
10 *518:8 *656:10 0
11 *518:8 *657:18 0.000269664
12 *518:10 *4415:C 3.0547e-05
13 *518:10 *657:18 8.52652e-05
14 *4413:A *518:8 4.31703e-05
15 *4414:B *518:8 5.54078e-05
16 *4419:A *4415:B 5.20546e-06
17 *4419:A *4417:B 0.000231363
18 *4419:A *518:10 0.000141016
19 *499:24 *4415:B 1.21461e-06
20 *502:57 *4417:B 3.46062e-05
21 *502:57 *518:10 7.06619e-05
*RES
1 *4413:Y *518:8 19.7659
2 *518:8 *518:10 4.32351
3 *518:10 *4417:B 22.263
4 *518:10 *4418:B 14.4725
5 *518:8 *4415:B 15.0271
*END
*D_NET *519 0.00043053
*CONN
*I *4415:C I *D sky130_fd_sc_hd__and3_1
*I *4414:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4415:C 0.000191625
2 *4414:Y 0.000191625
3 *4415:C *656:10 0
4 *4414:B *4415:C 2.41274e-06
5 *4419:A *4415:C 2.81678e-06
6 *518:8 *4415:C 1.15039e-05
7 *518:10 *4415:C 3.0547e-05
*RES
1 *4414:Y *4415:C 31.8299
*END
*D_NET *520 0.00126045
*CONN
*I *4416:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4415:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4416:A 0.000576112
2 *4415:X 0.000576112
3 *4416:A *644:61 0
4 *4416:A *656:10 4.01437e-05
5 *4416:A *806:18 0
6 *4485:D *4416:A 6.80864e-05
*RES
1 *4415:X *4416:A 38.643
*END
*D_NET *521 0.000689624
*CONN
*I *4419:B I *D sky130_fd_sc_hd__and3_1
*I *4417:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4419:B 0.00030142
2 *4417:Y 0.00030142
3 *4417:B *4419:B 8.67835e-05
*RES
1 *4417:Y *4419:B 23.1039
*END
*D_NET *522 0.000729225
*CONN
*I *4419:C I *D sky130_fd_sc_hd__and3_1
*I *4418:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4419:C 0.000175314
2 *4418:X 0.000175314
3 *4417:B *4419:C 0.000161173
4 *4418:A *4419:C 1.31897e-05
5 *4419:A *4419:C 1.2693e-05
6 *502:57 *4419:C 0.000191541
*RES
1 *4418:X *4419:C 32.5345
*END
*D_NET *523 0.000557118
*CONN
*I *4420:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4419:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4420:A 0.000191956
2 *4419:X 0.000191956
3 *4420:A *657:9 0
4 *4434:B1_N *4420:A 3.94584e-05
5 *4486:D *4420:A 6.80864e-05
6 *502:57 *4420:A 6.56617e-05
*RES
1 *4419:X *4420:A 33.4828
*END
*D_NET *524 0.00298186
*CONN
*I *4426:C I *D sky130_fd_sc_hd__and3_1
*I *4422:B I *D sky130_fd_sc_hd__and2_1
*I *4421:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4426:C 0.000334851
2 *4422:B 6.83594e-05
3 *4421:X 0.000593532
4 *524:8 0.000996742
5 *4426:C *528:8 0.000122098
6 *4426:C *528:18 0
7 *4426:C *644:6 0
8 *4426:C *659:36 3.77286e-05
9 *524:8 *528:18 0
10 *524:8 *644:11 6.23875e-05
11 *4421:C *524:8 3.4272e-05
12 *4422:A *4426:C 0
13 *4428:B *4426:C 0.00017419
14 *4487:D *524:8 0.000263084
15 *331:10 *4422:B 0.000147308
16 *438:51 *4422:B 0.000147308
17 *499:8 *4426:C 0
18 *499:8 *524:8 0
19 *499:10 *524:8 0
*RES
1 *4421:X *524:8 26.6914
2 *524:8 *4422:B 17.8243
3 *524:8 *4426:C 23.0344
*END
*D_NET *525 0.00134741
*CONN
*I *4427:B I *D sky130_fd_sc_hd__or2_1
*I *4424:A_N I *D sky130_fd_sc_hd__and3b_1
*I *4422:X O *D sky130_fd_sc_hd__and2_1
*CAP
1 *4427:B 5.53197e-05
2 *4424:A_N 0.000142106
3 *4422:X 0.000133974
4 *525:7 0.0003314
5 *4424:A_N *4425:A 3.25584e-05
6 *4424:A_N *699:20 0.000219686
7 *4427:B *699:20 9.40059e-05
8 *4424:B *4424:A_N 0.00011818
9 *438:51 *525:7 0.000220183
10 *499:8 *4424:A_N 0
11 *499:8 *4427:B 0
*RES
1 *4422:X *525:7 16.1364
2 *525:7 *4424:A_N 18.9354
3 *525:7 *4427:B 15.5811
*END
*D_NET *526 0.00106378
*CONN
*I *4424:C I *D sky130_fd_sc_hd__and3b_1
*I *4423:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4424:C 0.000266642
2 *4423:X 0.000266642
3 *4424:C *699:20 0.000246318
4 *4412:B *4424:C 0.000252906
5 *4421:C *4424:C 3.12677e-05
*RES
1 *4423:X *4424:C 34.6243
*END
*D_NET *527 0.000714521
*CONN
*I *4425:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4424:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *4425:A 0.000325829
2 *4424:X 0.000325829
3 *4424:A_N *4425:A 3.25584e-05
4 *4424:B *4425:A 3.03051e-05
*RES
1 *4424:X *4425:A 25.9252
*END
*D_NET *528 0.00560622
*CONN
*I *4431:B I *D sky130_fd_sc_hd__nand2_1
*I *4430:B I *D sky130_fd_sc_hd__or2_1
*I *4428:A_N I *D sky130_fd_sc_hd__and3b_1
*I *4426:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4431:B 3.29888e-05
2 *4430:B 0.000122558
3 *4428:A_N 1.77625e-05
4 *4426:X 7.67847e-05
5 *528:18 0.00113203
6 *528:8 0.00107103
7 *4428:A_N *4428:C 3.25584e-05
8 *4430:B *4430:A 6.08467e-05
9 *4430:B *4434:A2 0.000394828
10 *4430:B *708:17 0.000398425
11 *4431:B *4432:C 2.16355e-05
12 *528:18 *4161:A 9.49244e-05
13 *528:18 *4428:C 2.20702e-05
14 *528:18 *4429:A 6.50586e-05
15 *528:18 *4434:A2 5.56367e-05
16 *528:18 *644:6 0.000184414
17 *528:18 *644:11 0.000581341
18 *528:18 *644:17 0.000110147
19 *528:18 *708:17 0.000590325
20 *4422:A *528:8 0
21 *4426:C *528:8 0.000122098
22 *4426:C *528:18 0
23 *4487:D *528:18 0
24 *282:54 *4428:A_N 0.000118166
25 *282:54 *528:18 0.000266832
26 *291:12 *528:18 0
27 *318:6 *528:18 3.37543e-05
28 *524:8 *528:18 0
*RES
1 *4426:X *528:8 20.4964
2 *528:8 *4428:A_N 10.5271
3 *528:8 *528:18 37.511
4 *528:18 *4430:B 21.2876
5 *528:18 *4431:B 14.4725
*END
*D_NET *529 0.000764008
*CONN
*I *4428:C I *D sky130_fd_sc_hd__and3b_1
*I *4427:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4428:C 0.000334484
2 *4427:X 0.000334484
3 *4428:A_N *4428:C 3.25584e-05
4 *282:54 *4428:C 4.04121e-05
5 *528:18 *4428:C 2.20702e-05
*RES
1 *4427:X *4428:C 23.6585
*END
*D_NET *530 0.000445625
*CONN
*I *4429:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4428:X O *D sky130_fd_sc_hd__and3b_1
*CAP
1 *4429:A 0.000154635
2 *4428:X 0.000154635
3 *282:54 *4429:A 7.12965e-05
4 *528:18 *4429:A 6.50586e-05
*RES
1 *4428:X *4429:A 22.4287
*END
*D_NET *531 0.0008831
*CONN
*I *4432:B I *D sky130_fd_sc_hd__and3_1
*I *4430:X O *D sky130_fd_sc_hd__or2_1
*CAP
1 *4432:B 0.000290214
2 *4430:X 0.000290214
3 *4432:B *4432:C 7.88396e-08
4 *4432:B *4433:A 4.53941e-05
5 *4432:B *644:53 3.82228e-05
6 *4417:A *4432:B 4.47713e-05
7 *4489:D *4432:B 0.000174205
*RES
1 *4430:X *4432:B 35.4842
*END
*D_NET *532 0.00229332
*CONN
*I *4434:A2 I *D sky130_fd_sc_hd__a21boi_1
*I *4432:C I *D sky130_fd_sc_hd__and3_1
*I *4431:Y O *D sky130_fd_sc_hd__nand2_1
*CAP
1 *4434:A2 0.000454197
2 *4432:C 0.000288674
3 *4431:Y 4.90823e-05
4 *532:5 0.000791953
5 *4434:A2 *708:17 0.00017218
6 *4430:B *4434:A2 0.000394828
7 *4431:B *4432:C 2.16355e-05
8 *4432:B *4432:C 7.88396e-08
9 *4434:A1 *4434:A2 6.50586e-05
10 *291:12 *4434:A2 0
11 *528:18 *4434:A2 5.56367e-05
*RES
1 *4431:Y *532:5 9.97254
2 *532:5 *4432:C 13.1434
3 *532:5 *4434:A2 33.2621
*END
*D_NET *533 0.00104373
*CONN
*I *4433:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4432:X O *D sky130_fd_sc_hd__and3_1
*CAP
1 *4433:A 0.000213844
2 *4432:X 0.000213844
3 *4433:A *644:17 6.81008e-05
4 *4433:A *644:28 0.000127194
5 *4433:A *644:30 0.000252327
6 *4433:A *708:17 0.000123025
7 *4432:B *4433:A 4.53941e-05
*RES
1 *4432:X *4433:A 36.8048
*END
*D_NET *534 0.000409561
*CONN
*I *4436:B1 I *D sky130_fd_sc_hd__o211a_1
*I *4435:X O *D sky130_fd_sc_hd__or3b_1
*CAP
1 *4436:B1 0.00015775
2 *4435:X 0.00015775
3 *4436:A2 *4436:B1 3.31882e-05
4 *374:50 *4436:B1 6.08727e-05
*RES
1 *4435:X *4436:B1 30.8842
*END
*D_NET *535 0.000420045
*CONN
*I *4593:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4007:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4593:TE_B 0.000128718
2 *4007:Y 0.000128718
3 la1_data_out[0] *4593:TE_B 9.75356e-05
4 *80:9 *4593:TE_B 6.50727e-05
5 *269:28 *4593:TE_B 0
*RES
1 *4007:Y *4593:TE_B 30.4689
*END
*D_NET *536 0.0160431
*CONN
*I *4594:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4008:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4594:TE_B 5.50419e-05
2 *4008:Y 0.000486672
3 *536:16 0.00426972
4 *536:14 0.00483848
5 *536:10 0.00111048
6 *536:10 *4596:A 2.55314e-05
7 *536:10 *4699:A 9.71323e-06
8 *536:10 *4699:TE_B 0.000325354
9 *536:14 *4656:A 0.000182869
10 *536:14 *4673:A 0.000369835
11 *536:14 *4673:TE_B 0.000123135
12 *536:14 *4699:A 7.44184e-05
13 *536:16 *4644:A 0.000172696
14 *536:16 *4644:TE_B 0.000123135
15 *536:16 *4646:A 0.000119523
16 *536:16 *4646:TE_B 0.000104731
17 *536:16 *4668:A 0.000127164
18 *536:16 *4668:TE_B 0.000104731
19 *536:16 *4677:A 0.000377476
20 *536:16 *4698:A 0.000124157
21 *536:16 *4698:TE_B 4.61732e-05
22 *536:16 *4700:A 0.000377461
23 *536:16 *4700:TE_B 0.000130777
24 *536:16 *711:8 7.86847e-05
25 io_oeb[36] *536:10 1.93781e-05
26 io_out[21] *536:16 0
27 io_out[3] *536:16 1.20291e-05
28 *4095:A *536:16 2.6777e-05
29 *4133:A *536:16 0
30 *4709:A *536:16 0.000389394
31 *4715:A *536:16 4.51176e-05
32 *4720:A *536:16 9.61451e-05
33 *286:12 *536:16 0.000609977
34 *286:16 *536:14 0.000586296
35 *286:16 *536:16 0.000312128
36 *292:8 *536:16 0
37 *292:18 *536:10 0.00018786
38 *292:18 *536:14 0
*RES
1 *4008:Y *536:10 28.3202
2 *536:10 *536:14 24.9884
3 *536:14 *536:16 128.276
4 *536:16 *4594:TE_B 15.0513
*END
*D_NET *537 0.000683276
*CONN
*I *4595:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4009:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4595:TE_B 0.000226721
2 *4009:Y 0.000226721
3 *4595:TE_B *4595:A 8.84861e-05
4 *4595:TE_B *806:10 0.000101118
5 *276:45 *4595:TE_B 4.02303e-05
*RES
1 *4009:Y *4595:TE_B 32.2721
*END
*D_NET *538 0.000461329
*CONN
*I *4596:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4010:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4596:TE_B 0.000212749
2 *4010:Y 0.000212749
3 *292:18 *4596:TE_B 3.58321e-05
*RES
1 *4010:Y *4596:TE_B 31.5781
*END
*D_NET *539 0.00041224
*CONN
*I *4597:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4012:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4597:TE_B 0.000103188
2 *4012:Y 0.000103188
3 *276:27 *4597:TE_B 0.000101133
4 *282:36 *4597:TE_B 0.000104731
*RES
1 *4012:Y *4597:TE_B 30.6083
*END
*D_NET *540 0.000354126
*CONN
*I *4598:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4013:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4598:TE_B 0.000126489
2 *4013:Y 0.000126489
3 *154:8 *4598:TE_B 0
4 *287:52 *4598:TE_B 0.000101148
*RES
1 *4013:Y *4598:TE_B 30.6083
*END
*D_NET *541 0.000385488
*CONN
*I *4599:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4014:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4599:TE_B 9.84596e-05
2 *4014:Y 9.84596e-05
3 *4599:TE_B *4599:A 5.53789e-05
4 *270:49 *4599:TE_B 2.41274e-06
5 *289:56 *4599:TE_B 0.000130777
*RES
1 *4014:Y *4599:TE_B 30.4689
*END
*D_NET *542 0.000317397
*CONN
*I *4600:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4015:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4600:TE_B 0.000141795
2 *4015:Y 0.000141795
3 *4015:A *4600:TE_B 4.30017e-06
4 *177:9 *4600:TE_B 2.95065e-05
5 *292:18 *4600:TE_B 0
*RES
1 *4015:Y *4600:TE_B 30.4689
*END
*D_NET *543 0.00115818
*CONN
*I *4601:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4019:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4601:TE_B 0.000579091
2 *4019:Y 0.000579091
3 *83:10 *4601:TE_B 0
4 *278:43 *4601:TE_B 0
*RES
1 *4019:Y *4601:TE_B 36.1543
*END
*D_NET *544 0.000577136
*CONN
*I *4602:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4020:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4602:TE_B 0.000238001
2 *4020:Y 0.000238001
3 *275:66 *4602:TE_B 0
4 *279:72 *4602:TE_B 0.000101133
*RES
1 *4020:Y *4602:TE_B 32.8267
*END
*D_NET *545 0.000384243
*CONN
*I *4603:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4021:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4603:TE_B 9.68833e-05
2 *4021:Y 9.68833e-05
3 *4603:TE_B *4603:A 4.31703e-05
4 *281:11 *4603:TE_B 4.61732e-05
5 *289:56 *4603:TE_B 0.000101133
*RES
1 *4021:Y *4603:TE_B 30.6083
*END
*D_NET *546 0.00407652
*CONN
*I *4604:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4022:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4604:TE_B 0.000210019
2 *4022:Y 0.00123393
3 *546:8 0.00144394
4 *546:8 *4647:A 9.46346e-05
5 *546:8 *4649:TE_B 0.000104944
6 *546:8 *4663:A 3.04407e-05
7 *546:8 *795:10 6.63129e-05
8 io_oeb[0] *546:8 0
9 *4126:A *546:8 7.34948e-06
10 *275:35 *4604:TE_B 0.00020857
11 *279:40 *546:8 0.000299281
12 *285:23 *546:8 0.000377095
*RES
1 *4022:Y *546:8 45.8351
2 *546:8 *4604:TE_B 20.2115
*END
*D_NET *547 0.00129181
*CONN
*I *4605:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4023:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4605:TE_B 0.00057503
2 *4023:Y 0.00057503
3 *4605:TE_B *4605:A 5.88662e-05
4 *4020:A *4605:TE_B 8.28869e-05
5 *278:43 *4605:TE_B 0
*RES
1 *4023:Y *4605:TE_B 37.1242
*END
*D_NET *548 0.00078837
*CONN
*I *4606:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4025:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4606:TE_B 0.000366496
2 *4025:Y 0.000366496
3 *4606:TE_B *4606:A 5.53789e-05
4 *287:19 *4606:TE_B 0
*RES
1 *4025:Y *4606:TE_B 33.7966
*END
*D_NET *549 0.000341005
*CONN
*I *4607:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4026:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4607:TE_B 0.000170502
2 *4026:Y 0.000170502
*RES
1 *4026:Y *4607:TE_B 31.1629
*END
*D_NET *550 0.00140681
*CONN
*I *4608:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4027:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4608:TE_B 0.000549951
2 *4027:Y 0.000549951
3 *4608:TE_B *4608:A 0.000147308
4 *45:8 *4608:TE_B 0.000101118
5 *273:55 *4608:TE_B 5.84768e-05
6 *284:24 *4608:TE_B 0
*RES
1 *4027:Y *4608:TE_B 37.2635
*END
*D_NET *551 0.00063587
*CONN
*I *4609:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4028:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4609:TE_B 0.000104694
2 *4028:Y 0.000104694
3 *4609:TE_B *755:12 0.000131202
4 *289:56 *4609:TE_B 0.000295279
*RES
1 *4028:Y *4609:TE_B 32.6523
*END
*D_NET *552 0.00102895
*CONN
*I *4610:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4029:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4610:TE_B 0.000413342
2 *4029:Y 0.000413342
3 *272:10 *4610:TE_B 0.000101133
4 *274:21 *4610:TE_B 0.000101133
*RES
1 *4029:Y *4610:TE_B 36.7089
*END
*D_NET *553 0.000443611
*CONN
*I *4611:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4031:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4611:TE_B 0.000158216
2 *4031:Y 0.000158216
3 *287:19 *4611:TE_B 0.000127179
*RES
1 *4031:Y *4611:TE_B 31.5781
*END
*D_NET *554 0.000333125
*CONN
*I *4612:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4035:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4612:TE_B 0.00010944
2 *4035:Y 0.00010944
3 *4612:TE_B *4612:A 5.53789e-05
4 *4032:A *4612:TE_B 5.88662e-05
*RES
1 *4035:Y *4612:TE_B 30.4689
*END
*D_NET *555 0.00094522
*CONN
*I *4613:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4037:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4613:TE_B 0.000386753
2 *4037:Y 0.000386753
3 *4613:TE_B *4613:A 5.21759e-05
4 *154:8 *4613:TE_B 0.000119538
*RES
1 *4037:Y *4613:TE_B 34.3512
*END
*D_NET *556 0.000420248
*CONN
*I *4614:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4038:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4614:TE_B 0.000173754
2 *4038:Y 0.000173754
3 *4614:TE_B *4614:A 2.65667e-05
4 la1_data_out[9] *4614:TE_B 0
5 *275:77 *4614:TE_B 4.61732e-05
*RES
1 *4038:Y *4614:TE_B 31.7175
*END
*D_NET *557 0.000182369
*CONN
*I *4615:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4039:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4615:TE_B 6.87201e-05
2 *4039:Y 6.87201e-05
3 *4615:TE_B *4615:A 4.12533e-05
4 la1_data_out[22] *4615:TE_B 3.67528e-06
*RES
1 *4039:Y *4615:TE_B 29.3303
*END
*D_NET *558 0.00023147
*CONN
*I *4616:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4040:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4616:TE_B 9.12219e-05
2 *4040:Y 9.12219e-05
3 *4616:TE_B *4672:A 0
4 *4616:TE_B *817:6 4.90264e-05
*RES
1 *4040:Y *4616:TE_B 29.7455
*END
*D_NET *559 0.000636493
*CONN
*I *4617:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4041:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4617:TE_B 0.000226975
2 *4041:Y 0.000226975
3 *4617:TE_B *4617:A 5.53789e-05
4 *289:56 *4617:TE_B 0.000127164
*RES
1 *4041:Y *4617:TE_B 32.1327
*END
*D_NET *560 0.000507473
*CONN
*I *4618:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4043:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4618:TE_B 0.000185099
2 *4043:Y 0.000185099
3 *4618:TE_B *4618:A 4.12533e-05
4 *292:25 *4618:TE_B 9.60216e-05
*RES
1 *4043:Y *4618:TE_B 31.1629
*END
*D_NET *561 0.000309947
*CONN
*I *4619:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4044:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4619:TE_B 9.19448e-05
2 *4044:Y 9.19448e-05
3 *270:49 *4619:TE_B 2.75459e-05
4 *289:60 *4619:TE_B 9.8511e-05
*RES
1 *4044:Y *4619:TE_B 30.0537
*END
*D_NET *562 0.000531774
*CONN
*I *4620:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4045:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4620:TE_B 0.000228788
2 *4045:Y 0.000228788
3 *4620:TE_B *4620:A 3.14978e-05
4 *287:19 *4620:TE_B 4.27003e-05
*RES
1 *4045:Y *4620:TE_B 32.8267
*END
*D_NET *563 0.00109049
*CONN
*I *4621:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4046:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4621:TE_B 0.000455437
2 *4046:Y 0.000455437
3 *275:24 *4621:TE_B 2.69064e-05
4 *276:31 *4621:TE_B 0.000152713
*RES
1 *4046:Y *4621:TE_B 35.5997
*END
*D_NET *564 0.000327352
*CONN
*I *4622:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4047:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4622:TE_B 0.000118365
2 *4047:Y 0.000118365
3 io_oeb[22] *4622:TE_B 2.55493e-05
4 *4102:A *4622:TE_B 6.50727e-05
*RES
1 *4047:Y *4622:TE_B 30.0537
*END
*D_NET *565 0.000862054
*CONN
*I *4623:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4050:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4623:TE_B 0.000413118
2 *4050:Y 0.000413118
3 *83:10 *4623:TE_B 0
4 *275:24 *4623:TE_B 3.58185e-05
*RES
1 *4050:Y *4623:TE_B 34.3512
*END
*D_NET *566 0.000552661
*CONN
*I *4624:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4051:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4624:TE_B 0.000225772
2 *4051:Y 0.000225772
3 *4624:TE_B *4614:A 0
4 *4051:A *4624:TE_B 0.000101118
5 *279:72 *4624:TE_B 0
*RES
1 *4051:Y *4624:TE_B 32.6874
*END
*D_NET *567 0.000917844
*CONN
*I *4625:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4136:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4625:TE_B 0.000355106
2 *4136:Y 0.000355106
3 *4625:TE_B *4625:A 5.53934e-05
4 *4087:A *4625:TE_B 0.000152239
*RES
1 *4136:Y *4625:TE_B 36.9792
*END
*D_NET *568 0.000486151
*CONN
*I *4626:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4052:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4626:TE_B 0.000165292
2 *4052:Y 0.000165292
3 *4626:TE_B *722:12 0
4 io_out[1] *4626:TE_B 3.31882e-05
5 *279:75 *4626:TE_B 0.000122378
*RES
1 *4052:Y *4626:TE_B 31.0235
*END
*D_NET *569 0.000240043
*CONN
*I *4627:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4053:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4627:TE_B 8.0679e-05
2 *4053:Y 8.0679e-05
3 io_out[2] *4627:TE_B 0
4 *269:28 *4627:TE_B 0
5 *285:6 *4627:TE_B 7.86847e-05
*RES
1 *4053:Y *4627:TE_B 29.7455
*END
*D_NET *570 0.000932949
*CONN
*I *4628:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4054:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4628:TE_B 0.000448558
2 *4054:Y 0.000448558
3 *284:43 *4628:TE_B 3.58321e-05
*RES
1 *4054:Y *4628:TE_B 34.9058
*END
*D_NET *571 0.000714697
*CONN
*I *4629:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4056:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4629:TE_B 0.000312912
2 *4056:Y 0.000312912
3 *279:72 *4629:TE_B 4.61732e-05
4 *291:44 *4629:TE_B 4.27003e-05
*RES
1 *4056:Y *4629:TE_B 34.4905
*END
*D_NET *572 0.000185364
*CONN
*I *4630:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4057:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4630:TE_B 9.26818e-05
2 *4057:Y 9.26818e-05
3 *4630:TE_B *735:6 0
4 io_out[5] *4630:TE_B 0
*RES
1 *4057:Y *4630:TE_B 29.7455
*END
*D_NET *573 0.000947486
*CONN
*I *4631:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4058:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4631:TE_B 0.000419735
2 *4058:Y 0.000419735
3 *4631:TE_B *4662:A 3.28416e-06
4 io_out[17] *4631:TE_B 0
5 la1_data_out[31] *4631:TE_B 0.000104731
*RES
1 *4058:Y *4631:TE_B 34.4905
*END
*D_NET *574 0.000492818
*CONN
*I *4632:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4059:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4632:TE_B 0.000189329
2 *4059:Y 0.000189329
3 *4632:TE_B *4632:A 4.26859e-05
4 *45:8 *4632:TE_B 0
5 *287:26 *4632:TE_B 7.14746e-05
*RES
1 *4059:Y *4632:TE_B 31.1629
*END
*D_NET *575 0.000324014
*CONN
*I *4633:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4060:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4633:TE_B 0.000106384
2 *4060:Y 0.000106384
3 *4633:TE_B *4633:A 0.000111246
*RES
1 *4060:Y *4633:TE_B 30.0537
*END
*D_NET *576 0.00118672
*CONN
*I *4634:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4062:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4634:TE_B 0.000367055
2 *4062:Y 0.000367055
3 *4634:TE_B *671:11 2.65667e-05
4 *4221:A1 *4634:TE_B 0.000220183
5 *279:26 *4634:TE_B 0.000104731
6 *280:14 *4634:TE_B 0.000101133
*RES
1 *4062:Y *4634:TE_B 37.2635
*END
*D_NET *577 0.000930537
*CONN
*I *4635:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4063:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4635:TE_B 0.000283933
2 *4063:Y 0.000283933
3 *4635:TE_B *4202:A1 9.34396e-06
4 *4635:TE_B *618:11 0.000324166
5 *4635:TE_B *685:8 2.36494e-05
6 *275:22 *4635:TE_B 0
7 *275:24 *4635:TE_B 5.51292e-06
*RES
1 *4063:Y *4635:TE_B 33.242
*END
*D_NET *578 0.00210091
*CONN
*I *4636:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4064:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4636:TE_B 0.000314245
2 *4064:Y 0.000314245
3 *4064:A *4636:TE_B 0.000217923
4 *4066:A *4636:TE_B 0.000407266
5 *273:55 *4636:TE_B 0.00084723
*RES
1 *4064:Y *4636:TE_B 33.135
*END
*D_NET *579 0.00097786
*CONN
*I *4637:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4065:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4637:TE_B 0.000156198
2 *4065:Y 0.000156198
3 *4637:TE_B *638:15 0.000218914
4 *4065:A *4637:TE_B 5.88662e-05
5 *80:6 *4637:TE_B 0.000127179
6 *284:31 *4637:TE_B 0.000260505
*RES
1 *4065:Y *4637:TE_B 36.015
*END
*D_NET *580 0.0012318
*CONN
*I *4638:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4066:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4638:TE_B 0.00046084
2 *4066:Y 0.00046084
3 *270:37 *4638:TE_B 0.000310124
4 *281:24 *4638:TE_B 0
*RES
1 *4066:Y *4638:TE_B 39.337
*END
*D_NET *581 0.000707098
*CONN
*I *4639:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4068:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4639:TE_B 0.00033794
2 *4068:Y 0.00033794
3 *83:10 *4639:TE_B 0
4 *176:8 *4639:TE_B 0
5 *275:24 *4639:TE_B 3.1218e-05
*RES
1 *4068:Y *4639:TE_B 33.242
*END
*D_NET *582 0.000473417
*CONN
*I *4640:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4069:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4640:TE_B 0.000137781
2 *4069:Y 0.000137781
3 *4640:TE_B *4674:TE_B 1.53125e-05
4 *279:59 *4640:TE_B 5.53789e-05
5 *291:44 *4640:TE_B 0.000127164
*RES
1 *4069:Y *4640:TE_B 31.0235
*END
*D_NET *583 0.00073572
*CONN
*I *4641:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4070:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4641:TE_B 0.000342758
2 *4070:Y 0.000342758
3 *4641:TE_B *660:10 0
4 *4641:TE_B *710:54 3.58185e-05
5 *281:41 *4641:TE_B 1.43848e-05
*RES
1 *4070:Y *4641:TE_B 33.242
*END
*D_NET *584 0.000492386
*CONN
*I *4642:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4071:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4642:TE_B 0.000202795
2 *4071:Y 0.000202795
3 *4071:A *4642:TE_B 8.67969e-05
*RES
1 *4071:Y *4642:TE_B 23.1039
*END
*D_NET *585 0.000999473
*CONN
*I *4643:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4072:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4643:TE_B 0.000305144
2 *4072:Y 0.000305144
3 *4643:TE_B *4643:A 0.000131617
4 *4072:A *4643:TE_B 2.99287e-05
5 *276:22 *4643:TE_B 0.000197676
6 *289:71 *4643:TE_B 2.99646e-05
*RES
1 *4072:Y *4643:TE_B 35.7657
*END
*D_NET *586 0.000553916
*CONN
*I *4644:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4074:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4644:TE_B 0.000191039
2 *4074:Y 0.000191039
3 *286:12 *4644:TE_B 4.8703e-05
4 *536:16 *4644:TE_B 0.000123135
*RES
1 *4074:Y *4644:TE_B 31.5781
*END
*D_NET *587 0.000281174
*CONN
*I *4645:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4075:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4645:TE_B 0.000121229
2 *4075:Y 0.000121229
3 *4645:TE_B *4665:A 2.55493e-05
4 *4645:TE_B *4665:TE_B 0
5 *285:40 *4645:TE_B 1.31657e-05
*RES
1 *4075:Y *4645:TE_B 30.0537
*END
*D_NET *588 0.000468763
*CONN
*I *4646:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4076:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4646:TE_B 0.000182016
2 *4076:Y 0.000182016
3 *292:8 *4646:TE_B 0
4 *536:16 *4646:TE_B 0.000104731
*RES
1 *4076:Y *4646:TE_B 31.1629
*END
*D_NET *589 0.000637134
*CONN
*I *4647:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4006:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4647:TE_B 0.000103071
2 *4006:Y 0.000103071
3 *289:81 *4647:TE_B 0.000430992
*RES
1 *4006:Y *4647:TE_B 23.1039
*END
*D_NET *590 0.000681404
*CONN
*I *4648:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4125:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4648:TE_B 0.000234095
2 *4125:Y 0.000234095
3 *4648:TE_B *4640:A 0.000101133
4 *4648:TE_B *4648:A 7.34948e-06
5 *4125:A *4648:TE_B 0.000104731
*RES
1 *4125:Y *4648:TE_B 33.3813
*END
*D_NET *591 0.000687365
*CONN
*I *4649:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4126:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4649:TE_B 7.71508e-05
2 *4126:Y 7.71508e-05
3 *285:23 *4649:TE_B 0.00042812
4 *546:8 *4649:TE_B 0.000104944
*RES
1 *4126:Y *4649:TE_B 23.1039
*END
*D_NET *592 0.00144305
*CONN
*I *4650:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4127:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4650:TE_B 0.000522794
2 *4127:Y 0.000522794
3 *4650:TE_B *4650:A 6.64392e-05
4 *4650:TE_B *722:11 0.000146702
5 io_oeb[18] *4650:TE_B 0
6 *275:78 *4650:TE_B 0.000114584
7 *291:86 *4650:TE_B 6.97354e-05
*RES
1 *4127:Y *4650:TE_B 39.6636
*END
*D_NET *593 0.00129578
*CONN
*I *4651:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4129:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4651:TE_B 0.000456544
2 *4129:Y 0.000456544
3 *4651:TE_B *4651:A 0.000186151
4 *4651:TE_B *4671:A 3.31882e-05
5 *4651:TE_B *4671:TE_B 3.31882e-05
6 *4129:A *4651:TE_B 5.0715e-05
7 *175:11 *4651:TE_B 7.55529e-05
8 *287:62 *4651:TE_B 3.89332e-06
*RES
1 *4129:Y *4651:TE_B 39.6479
*END
*D_NET *594 0.000783376
*CONN
*I *4652:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4130:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4652:TE_B 0.000349768
2 *4130:Y 0.000349768
3 *4652:TE_B *4652:A 2.22923e-05
4 *285:40 *4652:TE_B 6.15488e-05
*RES
1 *4130:Y *4652:TE_B 33.3813
*END
*D_NET *595 0.000235458
*CONN
*I *4653:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4131:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4653:TE_B 0.00011402
2 *4131:Y 0.00011402
3 *4653:TE_B *4653:A 0
4 io_out[28] *4653:TE_B 0
5 *4112:A *4653:TE_B 7.41833e-06
*RES
1 *4131:Y *4653:TE_B 29.7455
*END
*D_NET *596 0.000426919
*CONN
*I *4654:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4016:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4654:TE_B 0.000148709
2 *4016:Y 0.000148709
3 *276:22 *4654:TE_B 3.601e-05
4 *289:71 *4654:TE_B 9.34919e-05
*RES
1 *4016:Y *4654:TE_B 30.6083
*END
*D_NET *597 0.00724849
*CONN
*I *4655:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4134:Y O *D sky130_fd_sc_hd__clkinv_2
*CAP
1 *4655:TE_B 0
2 *4134:Y 9.89333e-05
3 *597:9 0.00318487
4 *597:8 0.0032838
5 *597:8 *762:8 8.07939e-05
6 *278:93 *597:9 0.000600092
7 *291:12 *597:8 0
*RES
1 *4134:Y *597:8 21.3269
2 *597:8 *597:9 70.0488
3 *597:9 *4655:TE_B 9.24915
*END
*D_NET *598 0.000429242
*CONN
*I *4656:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4132:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4656:TE_B 0.000123954
2 *4132:Y 0.000123954
3 io_out[31] *4656:TE_B 1.66626e-05
4 *286:16 *4656:TE_B 0.000120605
5 *292:12 *4656:TE_B 4.40664e-05
*RES
1 *4132:Y *4656:TE_B 31.0235
*END
*D_NET *599 0.000336145
*CONN
*I *4657:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4133:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4657:TE_B 0.000168072
2 *4133:Y 0.000168072
3 *4045:A *4657:TE_B 0
4 *284:43 *4657:TE_B 0
*RES
1 *4133:Y *4657:TE_B 31.1629
*END
*D_NET *600 0.00200852
*CONN
*I *4658:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4078:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4658:TE_B 0.000653242
2 *4078:Y 0.000653242
3 *4078:A *4658:TE_B 3.73813e-05
4 *4209:A2 *4658:TE_B 0.000101133
5 *4215:B1 *4658:TE_B 7.50722e-05
6 *4220:A1 *4658:TE_B 0.000461975
7 *4220:A3 *4658:TE_B 1.12605e-05
8 *282:35 *4658:TE_B 9.98615e-06
9 *367:8 *4658:TE_B 5.22654e-06
*RES
1 *4078:Y *4658:TE_B 38.9273
*END
*D_NET *601 0.00120377
*CONN
*I *4659:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4081:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4659:TE_B 0.000422588
2 *4081:Y 0.000422588
3 *4659:TE_B *4659:A 0.000137134
4 *4083:A *4659:TE_B 0.000172722
5 *86:6 *4659:TE_B 4.87399e-05
*RES
1 *4081:Y *4659:TE_B 38.5093
*END
*D_NET *602 0.000394402
*CONN
*I *4660:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4083:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4660:TE_B 0.000131553
2 *4083:Y 0.000131553
3 *4083:A *4660:TE_B 3.01634e-05
4 *86:6 *4660:TE_B 0.000101133
*RES
1 *4083:Y *4660:TE_B 30.6083
*END
*D_NET *603 0.000439249
*CONN
*I *4661:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4084:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4661:TE_B 0.000173609
2 *4084:Y 0.000173609
3 *4661:TE_B *4661:A 3.14978e-05
4 la1_data_out[18] *4661:TE_B 5.19081e-05
5 *107:11 *4661:TE_B 8.62625e-06
*RES
1 *4084:Y *4661:TE_B 32.4086
*END
*D_NET *604 0.000786595
*CONN
*I *4662:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4087:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4662:TE_B 0.000225085
2 *4087:Y 0.000225085
3 *4662:TE_B *4662:A 4.26859e-05
4 io_out[37] *4662:TE_B 2.68928e-05
5 *278:54 *4662:TE_B 0.000266846
*RES
1 *4087:Y *4662:TE_B 32.2721
*END
*D_NET *605 0.000170328
*CONN
*I *4663:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4135:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4663:TE_B 6.20773e-05
2 *4135:Y 6.20773e-05
3 *4663:TE_B *4663:A 4.61732e-05
4 io_oeb[0] *4663:TE_B 0
*RES
1 *4135:Y *4663:TE_B 29.3303
*END
*D_NET *606 0.00072612
*CONN
*I *4664:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4088:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4664:TE_B 0.000117692
2 *4088:Y 0.000117692
3 *4664:TE_B *4664:A 0.000128091
4 *4664:TE_B *4694:A 0
5 io_oeb[1] *4664:TE_B 0
6 *4022:A *4664:TE_B 1.00846e-05
7 *4088:A *4664:TE_B 7.50722e-05
8 *285:23 *4664:TE_B 0.000277488
*RES
1 *4088:Y *4664:TE_B 32.8267
*END
*D_NET *607 0.00114991
*CONN
*I *4665:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4090:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4665:TE_B 0.00049996
2 *4090:Y 0.00049996
3 *4665:TE_B *4665:A 0
4 io_out[20] *4665:TE_B 0.000149994
5 *4645:TE_B *4665:TE_B 0
*RES
1 *4090:Y *4665:TE_B 39.886
*END
*D_NET *608 0.000680644
*CONN
*I *4666:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4091:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4666:TE_B 0.000285985
2 *4091:Y 0.000285985
3 *269:13 *4666:TE_B 2.64757e-05
4 *285:15 *4666:TE_B 1.57593e-05
5 *285:23 *4666:TE_B 6.64392e-05
*RES
1 *4091:Y *4666:TE_B 32.9955
*END
*D_NET *609 0.00184646
*CONN
*I *4667:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4094:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4667:TE_B 0.000494103
2 *4094:Y 0.000494103
3 *4667:TE_B *675:10 0.000302453
4 io_oeb[4] *4667:TE_B 3.21112e-05
5 *80:6 *4667:TE_B 0
6 *284:31 *4667:TE_B 0.000523693
*RES
1 *4094:Y *4667:TE_B 38.7824
*END
*D_NET *610 0.000401702
*CONN
*I *4668:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4095:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4668:TE_B 0.000145962
2 *4095:Y 0.000145962
3 *4095:A *4668:TE_B 5.04829e-06
4 *292:8 *4668:TE_B 0
5 *536:16 *4668:TE_B 0.000104731
*RES
1 *4095:Y *4668:TE_B 30.6083
*END
*D_NET *611 0.00151726
*CONN
*I *4669:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4097:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4669:TE_B 0.000226944
2 *4097:Y 0.000226944
3 *4669:TE_B *4048:A 0.000111708
4 *4097:A *4669:TE_B 6.92705e-05
5 *286:35 *4669:TE_B 0.000882389
*RES
1 *4097:Y *4669:TE_B 28.0953
*END
*D_NET *612 0.000395519
*CONN
*I *4670:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4100:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4670:TE_B 0.000138677
2 *4100:Y 0.000138677
3 *75:12 *4670:TE_B 0.000118166
*RES
1 *4100:Y *4670:TE_B 31.0235
*END
*D_NET *613 0.000500446
*CONN
*I *4671:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4101:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4671:TE_B 0.00012666
2 *4101:Y 0.00012666
3 *4671:TE_B *4671:A 3.60268e-05
4 *4043:A *4671:TE_B 5.07314e-05
5 *4651:TE_B *4671:TE_B 3.31882e-05
6 *175:11 *4671:TE_B 0.000127179
*RES
1 *4101:Y *4671:TE_B 31.0235
*END
*D_NET *614 0.00135758
*CONN
*I *4672:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4102:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4672:TE_B 0.00055668
2 *4102:Y 0.00055668
3 *4672:TE_B *4616:A 0.000115615
4 *4672:TE_B *4672:A 3.77659e-05
5 *4672:TE_B *817:6 0
6 *276:49 *4672:TE_B 9.08435e-05
*RES
1 *4102:Y *4672:TE_B 37.2635
*END
*D_NET *615 0.000599542
*CONN
*I *4673:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4103:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4673:TE_B 0.000178434
2 *4103:Y 0.000178434
3 *286:16 *4673:TE_B 0.000119538
4 *536:14 *4673:TE_B 0.000123135
*RES
1 *4103:Y *4673:TE_B 31.5781
*END
*D_NET *616 0.00103636
*CONN
*I *4674:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4105:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4674:TE_B 0.000450523
2 *4105:Y 0.000450523
3 *4674:TE_B *4674:A 5.07314e-05
4 *4105:A *4674:TE_B 6.92705e-05
5 *4640:TE_B *4674:TE_B 1.53125e-05
*RES
1 *4105:Y *4674:TE_B 28.0953
*END
*D_NET *617 0.00121819
*CONN
*I *4675:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4106:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4675:TE_B 0.000578722
2 *4106:Y 0.000578722
3 *4675:TE_B *4202:A1 3.0386e-05
4 *275:24 *4675:TE_B 3.03588e-05
*RES
1 *4106:Y *4675:TE_B 36.5696
*END
*D_NET *618 0.00287038
*CONN
*I *4676:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4107:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4676:TE_B 0
2 *4107:Y 0.000925151
3 *618:11 0.000925151
4 *618:11 *685:8 6.50727e-05
5 *4063:A *618:11 0.000167514
6 *4635:TE_B *618:11 0.000324166
7 *83:10 *618:11 0.000311623
8 *176:8 *618:11 0.000137316
9 *293:46 *618:11 1.43848e-05
*RES
1 *4107:Y *618:11 42.4579
2 *618:11 *4676:TE_B 9.24915
*END
*D_NET *619 0.000683024
*CONN
*I *4677:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4108:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4677:TE_B 0.000207797
2 *4108:Y 0.000207797
3 *4677:TE_B *4677:A 7.34948e-06
4 *282:69 *4677:TE_B 8.07939e-05
5 *292:8 *4677:TE_B 0.000179286
*RES
1 *4108:Y *4677:TE_B 33.5179
*END
*D_NET *620 0.000471311
*CONN
*I *4678:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4109:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4678:TE_B 0.000144532
2 *4109:Y 0.000144532
3 io_oeb[15] *4678:TE_B 0.000171273
4 io_oeb[19] *4678:TE_B 1.09738e-05
5 *107:11 *4678:TE_B 0
*RES
1 *4109:Y *4678:TE_B 31.5781
*END
*D_NET *621 0.00132232
*CONN
*I *4679:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4111:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4679:TE_B 0.000530762
2 *4111:Y 0.000530762
3 *4679:TE_B *4619:A 0.000101133
4 *289:60 *4679:TE_B 0.000101133
5 *362:8 *4679:TE_B 5.85252e-05
*RES
1 *4111:Y *4679:TE_B 38.9273
*END
*D_NET *622 0.00193969
*CONN
*I *4680:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4112:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4680:TE_B 0.000614347
2 *4112:Y 0.000614347
3 *4680:TE_B *4680:A 6.31665e-05
4 *275:35 *4680:TE_B 0.000647829
*RES
1 *4112:Y *4680:TE_B 39.4763
*END
*D_NET *623 0.000221043
*CONN
*I *4681:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4113:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4681:TE_B 6.07042e-05
2 *4113:Y 6.07042e-05
3 io_oeb[18] *4681:TE_B 0
4 *289:6 *4681:TE_B 9.96342e-05
*RES
1 *4113:Y *4681:TE_B 29.3303
*END
*D_NET *624 0.00154934
*CONN
*I *4682:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4114:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4682:TE_B 0.000419896
2 *4114:Y 0.000419896
3 io_oeb[34] *4682:TE_B 0.000122098
4 *4109:A *4682:TE_B 0.000587456
*RES
1 *4114:Y *4682:TE_B 36.015
*END
*D_NET *625 0.000405322
*CONN
*I *4683:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4115:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4683:TE_B 0.000154116
2 *4115:Y 0.000154116
3 *281:36 *4683:TE_B 9.70894e-05
4 *288:65 *4683:TE_B 0
*RES
1 *4115:Y *4683:TE_B 31.1629
*END
*D_NET *626 0.000490869
*CONN
*I *4684:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4117:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4684:TE_B 0.000245434
2 *4117:Y 0.000245434
3 *4684:TE_B *4684:A 0
4 la1_data_out[18] *4684:TE_B 0
*RES
1 *4117:Y *4684:TE_B 33.242
*END
*D_NET *627 0.000876112
*CONN
*I *4685:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4118:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4685:TE_B 0.00025767
2 *4118:Y 0.00025767
3 *4037:A *4685:TE_B 0.00033061
4 *45:8 *4685:TE_B 3.01634e-05
*RES
1 *4118:Y *4685:TE_B 32.8267
*END
*D_NET *628 0.000290921
*CONN
*I *4686:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4119:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4686:TE_B 0.000105739
2 *4119:Y 0.000105739
3 *279:75 *4686:TE_B 1.43848e-05
4 *289:19 *4686:TE_B 6.50586e-05
*RES
1 *4119:Y *4686:TE_B 30.0537
*END
*D_NET *629 0.00114056
*CONN
*I *4687:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4120:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4687:TE_B 0.000490421
2 *4120:Y 0.000490421
3 io_oeb[24] *4687:TE_B 0
4 *153:11 *4687:TE_B 0
5 *285:40 *4687:TE_B 0.000159721
*RES
1 *4120:Y *4687:TE_B 39.7522
*END
*D_NET *630 0.000707425
*CONN
*I *4688:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4121:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4688:TE_B 0.000269592
2 *4121:Y 0.000269592
3 *4688:TE_B *4688:A 4.8703e-05
4 io_oeb[33] *4688:TE_B 0.000119538
*RES
1 *4121:Y *4688:TE_B 32.6874
*END
*D_NET *631 0.000462605
*CONN
*I *4689:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4123:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4689:TE_B 0.000231302
2 *4123:Y 0.000231302
*RES
1 *4123:Y *4689:TE_B 23.6585
*END
*D_NET *632 0.00068902
*CONN
*I *4690:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4124:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4690:TE_B 0.000189172
2 *4124:Y 0.000189172
3 io_oeb[27] *4690:TE_B 0.000310676
4 *153:11 *4690:TE_B 0
*RES
1 *4124:Y *4690:TE_B 33.242
*END
*D_NET *633 0.0195432
*CONN
*I *4691:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4032:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4691:TE_B 0.000170162
2 *4032:Y 0.000281927
3 *633:8 0.00373187
4 *633:7 0.00384364
5 io_out[13] *633:8 0
6 *4032:A *633:7 1.84293e-05
7 *79:8 *633:8 0.0028331
8 *272:45 *633:8 0.000607516
9 *272:54 *633:8 0.00486101
10 *273:52 *633:8 0.000773355
11 *286:48 *633:8 1.14755e-05
12 *288:65 *633:8 0.00241068
*RES
1 *4032:Y *633:7 17.8002
2 *633:7 *633:8 155.06
3 *633:8 *4691:TE_B 17.2456
*END
*D_NET *634 0.000439356
*CONN
*I *4692:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4033:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4692:TE_B 0.000103522
2 *4033:Y 0.000103522
3 *4692:TE_B *4692:A 9.75356e-05
4 *86:6 *4692:TE_B 0.000122083
5 *272:10 *4692:TE_B 1.2693e-05
*RES
1 *4033:Y *4692:TE_B 30.4689
*END
*D_NET *635 0.000941886
*CONN
*I *4693:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4034:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4693:TE_B 0.000288723
2 *4034:Y 0.000288723
3 *4031:A *4693:TE_B 1.84293e-05
4 *274:26 *4693:TE_B 4.66876e-05
5 *284:43 *4693:TE_B 0.000299323
*RES
1 *4034:Y *4693:TE_B 35.0395
*END
*D_NET *636 0.00153799
*CONN
*I *4694:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4077:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4694:TE_B 0.000657426
2 *4077:Y 0.000657426
3 *4694:TE_B *4694:A 4.59975e-05
4 io_oeb[31] *4694:TE_B 2.74862e-05
5 *4077:A *4694:TE_B 4.30017e-06
6 *80:6 *4694:TE_B 0
7 *289:76 *4694:TE_B 0.000145353
*RES
1 *4077:Y *4694:TE_B 38.788
*END
*D_NET *637 0.000466474
*CONN
*I *4695:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4082:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4695:TE_B 0.000169648
2 *4082:Y 0.000169648
3 *4695:TE_B *4695:A 0
4 io_oeb[32] *4695:TE_B 0.000127179
*RES
1 *4082:Y *4695:TE_B 32.1327
*END
*D_NET *638 0.00349437
*CONN
*I *4696:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4085:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4696:TE_B 0
2 *4085:Y 0.00125469
3 *638:15 0.00125469
4 *638:15 *4637:A 3.14978e-05
5 *638:15 *675:10 0
6 io_oeb[25] *638:15 0
7 io_oeb[33] *638:15 0
8 *4094:A *638:15 0.000307639
9 *4637:TE_B *638:15 0.000218914
10 *80:6 *638:15 0.000312966
11 *284:31 *638:15 0.000113984
*RES
1 *4085:Y *638:15 49.4342
2 *638:15 *4696:TE_B 9.24915
*END
*D_NET *639 0.000733787
*CONN
*I *4697:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4089:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4697:TE_B 0.000147537
2 *4089:Y 0.000147537
3 io_oeb[34] *4697:TE_B 5.56461e-05
4 *4109:A *4697:TE_B 5.2472e-05
5 *288:75 *4697:TE_B 0
6 *289:19 *4697:TE_B 0.000330596
*RES
1 *4089:Y *4697:TE_B 33.242
*END
*D_NET *640 0.000303732
*CONN
*I *4698:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4093:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4698:TE_B 7.82131e-05
2 *4093:Y 7.82131e-05
3 *286:12 *4698:TE_B 0.000101133
4 *536:16 *4698:TE_B 4.61732e-05
*RES
1 *4093:Y *4698:TE_B 30.0537
*END
*D_NET *641 0.000790816
*CONN
*I *4699:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4096:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4699:TE_B 0.000157439
2 *4096:Y 0.000157439
3 *4699:TE_B *4699:A 0.000150585
4 *536:10 *4699:TE_B 0.000325354
*RES
1 *4096:Y *4699:TE_B 33.791
*END
*D_NET *642 0.00055021
*CONN
*I *4700:TE_B I *D sky130_fd_sc_hd__ebufn_8
*I *4099:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4700:TE_B 0.000182027
2 *4099:Y 0.000182027
3 *286:16 *4700:TE_B 5.53789e-05
4 *536:16 *4700:TE_B 0.000130777
*RES
1 *4099:Y *4700:TE_B 31.5781
*END
*D_NET *643 0.0125936
*CONN
*I *4704:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4705:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4703:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4702:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4701:X O *D sky130_fd_sc_hd__clkbuf_16
*CAP
1 *4704:A 0.000180862
2 *4705:A 0.000998975
3 *4703:A 0.000183172
4 *4702:A 0.000537367
5 *4701:X 0
6 *643:21 0.00193938
7 *643:8 0.0018776
8 *643:5 0.0019166
9 *4702:A *659:36 0.000182987
10 *4703:A *645:7 1.31657e-05
11 *4704:A *695:25 9.04083e-05
12 *4704:A *696:17 9.75356e-05
13 *4705:A *4277:A1 9.48294e-05
14 *643:8 *4193:A 6.92004e-05
15 *643:8 *695:16 7.50872e-05
16 *643:8 *751:22 0.000203029
17 *643:8 *751:44 0.000115067
18 *643:21 *695:16 0.000637982
19 *643:21 *695:25 0.00141662
20 *643:21 *696:17 0.000978284
21 *643:21 *697:10 5.04734e-05
22 *4198:A *643:8 0.00030861
23 *4276:A2 *4705:A 1.67033e-05
24 *4276:B1 *4705:A 3.82228e-05
25 *4277:A2 *4705:A 8.13693e-06
26 *4277:B1 *4705:A 2.59219e-05
27 *4277:C1 *4705:A 0.000259464
28 *4280:C *4704:A 7.69213e-05
29 *4366:A1 *643:21 0
30 *4436:A1 *4705:A 3.20121e-05
31 *4436:A2 *4705:A 4.31703e-05
32 *4444:D *643:8 6.6516e-05
33 *4479:D *643:8 5.9275e-05
34 *348:33 *643:21 0
35 *348:59 *643:21 0
36 *349:8 *643:8 0
37 *349:8 *643:21 0
38 *349:10 *643:8 0
39 *350:8 *643:8 0
40 *350:18 *643:8 0
*RES
1 *4701:X *643:5 13.7491
2 *643:5 *643:8 36.2302
3 *643:8 *4702:A 21.6192
4 *643:8 *4703:A 13.3002
5 *643:5 *643:21 35.4674
6 *643:21 *4705:A 32.9674
7 *643:21 *4704:A 19.0776
*END
*D_NET *644 0.0208534
*CONN
*I *4488:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4487:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4486:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4485:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4484:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4458:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4480:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4483:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4463:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4462:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4464:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4490:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4466:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4489:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4702:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4488:CLK 4.14302e-05
2 *4487:CLK 0
3 *4486:CLK 0
4 *4485:CLK 0
5 *4484:CLK 0.000444959
6 *4458:CLK 0
7 *4480:CLK 0.000170242
8 *4483:CLK 0.000140258
9 *4463:CLK 0
10 *4462:CLK 0
11 *4464:CLK 3.57807e-05
12 *4490:CLK 0
13 *4466:CLK 0.000314768
14 *4489:CLK 0.000110355
15 *4702:X 0.000128313
16 *644:103 0.000794969
17 *644:98 0.00112333
18 *644:93 0.0011839
19 *644:85 0.000794797
20 *644:75 0.00135575
21 *644:66 0.00134651
22 *644:61 0.00133466
23 *644:53 0.00138633
24 *644:30 0.000633448
25 *644:28 0.00108326
26 *644:17 0.000874011
27 *644:11 0.000917576
28 *644:6 0.000386882
29 *4466:CLK *4336:A0 0
30 *4466:CLK *708:17 0
31 *4466:CLK *806:10 0
32 *4480:CLK *707:16 0
33 *4483:CLK *707:16 0
34 *4484:CLK *705:8 4.55936e-05
35 *644:17 *4161:A 8.78277e-05
36 *644:17 *708:17 0.000821703
37 *644:30 *708:17 0
38 *644:61 *656:10 6.94815e-05
39 *644:61 *806:18 0
40 *644:85 *4327:A1 0
41 *4171:A *644:17 0
42 *4312:B *644:98 0
43 *4325:B *644:75 0
44 *4325:B *644:85 0
45 *4326:A *644:75 0
46 *4326:A *644:85 0
47 *4327:S *644:85 0
48 *4327:S *644:98 0
49 *4328:A *644:98 0
50 *4328:B *644:85 5.53934e-05
51 *4328:B *644:98 0.000127194
52 *4331:A *644:75 0
53 *4331:B *644:75 4.06095e-05
54 *4416:A *644:61 0
55 *4417:A *644:17 0
56 *4421:C *644:11 6.73351e-05
57 *4426:C *644:6 0
58 *4428:B *644:6 0
59 *4432:B *644:53 3.82228e-05
60 *4433:A *644:17 6.81008e-05
61 *4433:A *644:28 0.000127194
62 *4433:A *644:30 0.000252327
63 *4458:D *644:98 3.67528e-06
64 *4458:D *644:103 2.13584e-05
65 *4463:D *4484:CLK 0.000229576
66 *4463:D *644:98 0
67 *4464:D *644:75 0
68 *4466:D *4466:CLK 0.000143128
69 *4483:D *4483:CLK 1.77537e-06
70 *4485:D *644:61 0.000276239
71 *4486:D *644:53 0.000323368
72 *4487:D *644:17 9.35753e-06
73 *4489:D *4489:CLK 1.87611e-05
74 *4489:D *644:28 0.00011497
75 *4489:D *644:30 0.00017419
76 *4490:D *4466:CLK 1.2601e-05
77 *4490:D *644:30 3.90891e-05
78 *278:28 *644:103 0.00119696
79 *318:6 *644:11 4.37999e-05
80 *326:8 *644:17 0
81 *329:10 *644:6 0.000310124
82 *329:10 *644:11 0.000467884
83 *331:10 *4488:CLK 1.82679e-05
84 *438:51 *4488:CLK 0.000107496
85 *441:21 *644:98 0
86 *524:8 *644:11 6.23875e-05
87 *528:18 *644:6 0.000184414
88 *528:18 *644:11 0.000581341
89 *528:18 *644:17 0.000110147
*RES
1 *4702:X *644:6 19.3184
2 *644:6 *644:11 16.4696
3 *644:11 *644:17 31.3509
4 *644:17 *4489:CLK 11.6364
5 *644:17 *644:28 7.32596
6 *644:28 *644:30 10.5523
7 *644:30 *4466:CLK 21.4566
8 *644:30 *4490:CLK 13.7491
9 *644:28 *644:53 20.9452
10 *644:53 *644:61 25.2968
11 *644:61 *644:66 20.3575
12 *644:66 *4464:CLK 14.4725
13 *644:66 *644:75 16.3658
14 *644:75 *4462:CLK 13.7491
15 *644:75 *644:85 22.0045
16 *644:85 *4463:CLK 9.24915
17 *644:85 *644:93 2.26664
18 *644:93 *644:98 24.9506
19 *644:98 *644:103 20.7764
20 *644:103 *4483:CLK 16.4116
21 *644:103 *4480:CLK 17.6574
22 *644:98 *4458:CLK 9.24915
23 *644:93 *4484:CLK 19.9795
24 *644:61 *4485:CLK 9.24915
25 *644:53 *4486:CLK 9.24915
26 *644:11 *4487:CLK 9.24915
27 *644:6 *4488:CLK 15.0271
*END
*D_NET *645 0.0243888
*CONN
*I *4453:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4448:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4473:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4479:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4449:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4447:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4470:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4452:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4472:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4474:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4451:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4454:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4450:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4469:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4471:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4467:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4703:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4453:CLK 0
2 *4448:CLK 0.000342209
3 *4473:CLK 8.20128e-05
4 *4479:CLK 0.00038066
5 *4449:CLK 0.00040135
6 *4447:CLK 0
7 *4470:CLK 0.00045404
8 *4452:CLK 0.00061674
9 *4472:CLK 0
10 *4474:CLK 0.000256177
11 *4451:CLK 0.000316441
12 *4454:CLK 0
13 *4450:CLK 8.8098e-05
14 *4469:CLK 0.000395775
15 *4471:CLK 0
16 *4467:CLK 0.000482882
17 *4703:X 0.000200358
18 *645:152 0.00088337
19 *645:140 0.000814464
20 *645:112 0.000889429
21 *645:105 0.00125863
22 *645:94 0.000968942
23 *645:91 0.000501725
24 *645:75 0.000922652
25 *645:66 0.000562089
26 *645:35 0.000589989
27 *645:31 0.000549516
28 *645:30 0.00100523
29 *645:23 0.000245385
30 *645:10 0.000988418
31 *645:8 0.000775285
32 *645:7 0.000655533
33 *4448:CLK *4359:B1_N 0
34 *4448:CLK *682:8 0
35 *4449:CLK *4282:A0 0.000424548
36 *4449:CLK *683:17 0.000256233
37 *4451:CLK *4306:A1 0
38 *4451:CLK *687:12 0
39 *4451:CLK *773:11 5.82695e-05
40 *4452:CLK *688:7 2.85274e-05
41 *4467:CLK *709:8 0.000222149
42 *645:8 *751:22 0
43 *645:31 *4306:A1 0
44 *645:75 *690:8 1.82679e-05
45 *645:91 *4281:A 0.000143032
46 *645:91 *4352:B 0.000139435
47 *645:91 *751:22 0
48 *645:105 *4352:B 7.86927e-05
49 *645:105 *751:22 0
50 *645:105 *751:44 0
51 *645:140 *4281:A 0.000107729
52 *645:140 *4352:B 0.0001027
53 *645:152 *4302:A0 0.00012123
54 *645:152 *4302:A1 0.000171273
55 *4063:A *4449:CLK 4.80635e-06
56 *4178:B1 *4474:CLK 6.36477e-05
57 *4195:C1 *645:105 6.69343e-05
58 *4197:A *4479:CLK 0.000156869
59 *4198:A *4479:CLK 9.80912e-05
60 *4298:A *4451:CLK 3.58321e-05
61 *4303:A *4448:CLK 0.000139747
62 *4303:B *4448:CLK 0.000127164
63 *4304:A *4448:CLK 0.00014663
64 *4307:A *4467:CLK 6.31665e-05
65 *4307:A *645:10 9.22013e-06
66 *4307:B *645:31 0
67 *4308:A *4469:CLK 0
68 *4308:A *645:35 0
69 *4346:A *645:31 8.71055e-05
70 *4346:A *645:35 7.58739e-05
71 *4346:B *4469:CLK 9.34724e-05
72 *4348:B1 *645:105 9.60366e-05
73 *4360:A *645:112 8.39059e-05
74 *4360:C *645:112 2.94514e-05
75 *4364:A1 *645:8 0.000200236
76 *4364:A1 *645:10 0.000123582
77 *4386:B1 *4479:CLK 0.000168742
78 *4447:D *4449:CLK 0.000106635
79 *4451:D *4451:CLK 0.000123176
80 *4452:D *4452:CLK 0.000362873
81 *4454:D *4450:CLK 6.73351e-05
82 *4454:D *4469:CLK 0.000147308
83 *4467:D *4467:CLK 1.87611e-05
84 *4470:D *4470:CLK 7.01756e-05
85 *4470:D *645:94 9.19886e-06
86 *4470:D *645:105 0.000295725
87 *4472:D *4452:CLK 7.02539e-05
88 *4473:D *4473:CLK 3.67528e-06
89 *4474:D *4474:CLK 0.000329347
90 *4703:A *645:7 1.31657e-05
91 *115:7 *4450:CLK 0.000254178
92 *115:9 *4450:CLK 4.66108e-05
93 *115:9 *4469:CLK 0.000292214
94 *245:11 *645:30 1.87611e-05
95 *288:40 *4449:CLK 0.000328363
96 *288:40 *645:112 0.000284899
97 *347:15 *4479:CLK 0.000222684
98 *347:15 *645:105 0.000241603
99 *349:8 *4479:CLK 9.98029e-06
100 *349:10 *4479:CLK 5.43198e-05
101 *349:10 *645:105 0.000109917
102 *373:13 *4467:CLK 6.4628e-05
103 *373:13 *645:10 0.000364186
104 *373:41 *645:8 3.89332e-06
105 *373:41 *645:10 8.64658e-05
106 *421:8 *4473:CLK 0.000148144
107 *438:8 *645:10 0.00034372
108 *438:47 *645:8 0.000119972
109 *438:47 *645:10 0.000159214
110 *451:27 *4469:CLK 0
111 *475:9 *4473:CLK 0
112 *479:15 *645:8 0.000133814
113 *479:15 *645:91 0.000113646
*RES
1 *4703:X *645:7 16.691
2 *645:7 *645:8 9.30653
3 *645:8 *645:10 20.1031
4 *645:10 *4467:CLK 26.0328
5 *645:10 *645:23 4.5
6 *645:23 *4471:CLK 9.24915
7 *645:23 *645:30 9.66022
8 *645:30 *645:31 10.137
9 *645:31 *645:35 7.57775
10 *645:35 *4469:CLK 31.8882
11 *645:35 *4450:CLK 13.3002
12 *645:31 *4454:CLK 13.7491
13 *645:30 *4451:CLK 22.957
14 *645:8 *645:66 4.5
15 *645:66 *4474:CLK 16.6278
16 *645:66 *645:75 6.84815
17 *645:75 *4472:CLK 9.24915
18 *645:75 *4452:CLK 24.5851
19 *645:7 *645:91 6.81502
20 *645:91 *645:94 6.3326
21 *645:94 *4470:CLK 16.5072
22 *645:94 *645:105 18.7896
23 *645:105 *645:112 18.1722
24 *645:112 *4447:CLK 9.24915
25 *645:112 *4449:CLK 23.3313
26 *645:105 *4479:CLK 25.7244
27 *645:91 *645:140 9.27381
28 *645:140 *4473:CLK 20.9116
29 *645:140 *645:152 12.9488
30 *645:152 *4448:CLK 28.0116
31 *645:152 *4453:CLK 9.24915
*END
*D_NET *646 0.0220585
*CONN
*I *4441:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4440:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4457:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4460:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4445:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4443:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4461:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4468:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *4465:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4481:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4446:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4482:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4459:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4704:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4441:CLK 0.000794964
2 *4440:CLK 0
3 *4457:CLK 0.00012778
4 *4460:CLK 0.00047281
5 *4445:CLK 0
6 *4443:CLK 0
7 *4461:CLK 2.06324e-05
8 *4468:CLK 0.000306751
9 *4465:CLK 0.000311131
10 *4481:CLK 9.07361e-05
11 *4446:CLK 0.000993739
12 *4482:CLK 0
13 *4459:CLK 0.000177303
14 *4704:X 0
15 *646:130 0.00127061
16 *646:118 0.000701736
17 *646:105 0.000712307
18 *646:94 0.000716372
19 *646:41 0.00123009
20 *646:24 0.000313677
21 *646:22 0.000364047
22 *646:20 0.000767875
23 *646:19 0.00122193
24 *646:15 0.00124111
25 *646:8 0.000849671
26 *646:5 0.000744883
27 *4457:CLK *4457:D 6.54102e-05
28 *4459:CLK *707:16 0.000179286
29 *4459:CLK *806:25 0.000179286
30 *4460:CLK *702:12 3.948e-05
31 *4465:CLK *4333:A1 5.19968e-05
32 *4468:CLK *784:5 0.000134849
33 *646:8 *4320:A1 7.7321e-05
34 *646:15 *703:7 0.000118245
35 *646:19 *4310:A 9.8904e-05
36 *646:19 *4342:A0 0.000592551
37 *646:19 *4342:A1 0.000169588
38 *646:19 *806:31 2.40855e-05
39 *646:22 *806:25 4.84944e-05
40 *646:24 *707:9 0
41 *646:24 *707:16 0.000553202
42 *646:24 *806:25 0.000594569
43 *646:41 *4333:S 6.75138e-05
44 *646:41 *707:16 6.75302e-05
45 *646:41 *806:25 0.000115632
46 *646:94 *695:25 0
47 *646:130 *4457:D 0.000248236
48 *4142:B *4446:CLK 6.08467e-05
49 *4146:A *4446:CLK 0.000113968
50 *4276:A1 *646:8 0
51 *4280:A *646:8 7.58217e-06
52 *4280:C *646:8 0.00015324
53 *4280:C *646:94 8.88627e-05
54 *4334:B *646:20 9.63545e-05
55 *4334:B *646:22 2.99929e-05
56 *4335:A *646:20 8.18934e-05
57 *4335:A *646:22 3.74593e-05
58 *4343:A *4468:CLK 0
59 *4343:A *646:20 0
60 *4395:B *4446:CLK 0.00033061
61 *4396:B *4446:CLK 0.00011818
62 *4440:D *4441:CLK 0
63 *4440:D *646:130 0
64 *4443:D *646:8 0.000149628
65 *4445:D *646:94 4.05943e-06
66 *4460:D *4460:CLK 0.000106635
67 *4461:D *646:15 5.32838e-05
68 *4465:D *4465:CLK 3.18826e-06
69 *268:8 *4441:CLK 0
70 *268:8 *646:105 0.000424456
71 *268:8 *646:118 0.000394828
72 *289:6 *4441:CLK 0
73 *289:30 *4441:CLK 2.24484e-05
74 *289:30 *646:8 0
75 *289:30 *646:94 0
76 *289:30 *646:130 0.000750803
77 *289:39 *4446:CLK 0.000114523
78 *291:12 *646:105 0.000424456
79 *291:12 *646:118 0.000386202
80 *291:38 *4441:CLK 0
81 *296:7 *4446:CLK 9.06081e-05
82 *300:15 *4446:CLK 0.000395459
83 *300:21 *4446:CLK 2.39581e-05
84 *348:42 *4481:CLK 0.000141076
85 *348:42 *646:41 0.000334334
86 *348:43 *646:22 0
87 *348:43 *646:24 0
88 *442:5 *646:19 4.55936e-05
89 *442:14 *646:20 0
90 *442:43 *646:15 9.14261e-05
91 *442:43 *646:19 2.81717e-05
92 *497:31 *4446:CLK 9.80912e-05
*RES
1 *4704:X *646:5 13.7491
2 *646:5 *646:8 10.8998
3 *646:8 *646:15 16.3246
4 *646:15 *646:19 21.8615
5 *646:19 *646:20 10.5523
6 *646:20 *646:22 2.6625
7 *646:22 *646:24 10.137
8 *646:24 *4459:CLK 19.7687
9 *646:24 *4482:CLK 13.7491
10 *646:22 *646:41 11.324
11 *646:41 *4446:CLK 44.3979
12 *646:41 *4481:CLK 12.7456
13 *646:20 *4465:CLK 18.7888
14 *646:19 *4468:CLK 21.7084
15 *646:15 *4461:CLK 9.82786
16 *646:8 *4443:CLK 9.24915
17 *646:5 *646:94 14.8358
18 *646:94 *4445:CLK 9.24915
19 *646:94 *646:105 13.0324
20 *646:105 *4460:CLK 24.4795
21 *646:105 *646:118 11.315
22 *646:118 *4457:CLK 12.2151
23 *646:118 *646:130 20.4856
24 *646:130 *4440:CLK 13.7491
25 *646:130 *4441:CLK 33.0568
*END
*D_NET *647 0.0199107
*CONN
*I *4492:CLK I *D sky130_fd_sc_hd__dfxtp_2
*I *4475:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4476:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4477:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4478:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4444:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4439:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4455:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4456:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4442:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4438:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4491:CLK I *D sky130_fd_sc_hd__dfxtp_1
*I *4705:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4492:CLK 0.000240422
2 *4475:CLK 0.000289321
3 *4476:CLK 2.71174e-05
4 *4477:CLK 6.44689e-05
5 *4478:CLK 0.000395567
6 *4444:CLK 0.000940015
7 *4439:CLK 3.28063e-05
8 *4455:CLK 0.000259235
9 *4456:CLK 0.000395532
10 *4442:CLK 0.000713946
11 *4438:CLK 0.00015572
12 *4491:CLK 0.000429363
13 *4705:X 8.55269e-05
14 *647:81 0.00159191
15 *647:79 0.000678185
16 *647:77 0.000822345
17 *647:75 0.0008581
18 *647:72 0.000528571
19 *647:46 0.000757205
20 *647:43 0.00048505
21 *647:33 0.00151215
22 *647:19 0.00107814
23 *647:8 0.0011608
24 *647:5 0.000500147
25 *4438:CLK *731:11 4.73966e-05
26 *4444:CLK *4383:B1 0
27 *4444:CLK *680:30 0
28 *4444:CLK *682:8 0.000311608
29 *4456:CLK *4456:D 0.000106621
30 *4475:CLK *660:13 6.50727e-05
31 *4477:CLK *681:11 0.000216467
32 *4478:CLK *682:5 9.46346e-05
33 *647:19 *4247:A 0
34 *647:19 *661:8 0
35 *647:33 *4247:B 3.92275e-05
36 *647:33 *663:6 0.00017046
37 *4036:A *4455:CLK 7.48633e-05
38 *4248:A1 *647:19 5.22071e-05
39 *4248:B1 *647:19 0.000165481
40 *4248:B1 *647:33 0.000235022
41 *4250:A3 *647:19 7.77309e-06
42 *4250:A3 *647:33 8.15603e-05
43 *4290:A1 *4444:CLK 0
44 *4290:A1 *647:81 0
45 *4365:A *4444:CLK 0.000307023
46 *4367:B *4444:CLK 1.77537e-06
47 *4367:B *647:79 3.46062e-05
48 *4367:B *647:81 0.000127428
49 *4370:A1 *647:77 0
50 *4370:B1 *647:75 0
51 *4370:B1 *647:77 0
52 *4438:D *647:19 7.14746e-05
53 *4438:D *647:33 0.000102632
54 *4475:D *4475:CLK 0.000845299
55 *4476:D *4476:CLK 2.57986e-05
56 *4477:D *647:81 0
57 *4478:D *4478:CLK 0.000329347
58 *4491:D *4491:CLK 4.33494e-05
59 *268:52 *4442:CLK 0
60 *271:12 *4456:CLK 0
61 *271:12 *647:46 0
62 *271:23 *4455:CLK 6.99486e-05
63 *273:20 *4439:CLK 1.09551e-05
64 *273:20 *647:33 2.54062e-05
65 *273:20 *647:43 0.000111887
66 *275:12 *4455:CLK 1.43983e-05
67 *275:12 *4456:CLK 3.77804e-05
68 *275:65 *4455:CLK 5.65165e-05
69 *276:76 *4456:CLK 0.000995229
70 *293:53 *647:75 0
71 *293:53 *647:77 0
72 *293:53 *647:79 0
73 *350:18 *4444:CLK 0.000271044
74 *384:27 *647:8 0
75 *384:27 *647:19 0
76 *384:27 *647:75 0
77 *387:8 *647:19 6.46135e-05
78 *392:11 *647:33 0.00036367
79 *397:8 *4444:CLK 0.000191791
80 *397:43 *647:19 0
81 *397:43 *647:33 0
82 *481:47 *647:79 0.000138719
83 *482:23 *647:77 0
84 *482:23 *647:79 0
*RES
1 *4705:X *647:5 11.0817
2 *647:5 *647:8 10.8998
3 *647:8 *4491:CLK 20.4526
4 *647:8 *647:19 13.4591
5 *647:19 *4438:CLK 16.5704
6 *647:19 *647:33 19.7917
7 *647:33 *4442:CLK 35.8607
8 *647:33 *647:43 5.71483
9 *647:43 *647:46 6.74725
10 *647:46 *4456:CLK 28.1119
11 *647:46 *4455:CLK 21.1278
12 *647:43 *4439:CLK 10.2378
13 *647:5 *647:72 3.49641
14 *647:72 *647:75 7.57775
15 *647:75 *647:77 10.137
16 *647:77 *647:79 10.137
17 *647:79 *647:81 6.81502
18 *647:81 *4444:CLK 39.7288
19 *647:81 *4478:CLK 24.4554
20 *647:79 *4477:CLK 16.1364
21 *647:77 *4476:CLK 14.4725
22 *647:75 *4475:CLK 24.4554
23 *647:72 *4492:CLK 14.9881
*END
*D_NET *648 0.00599364
*CONN
*I *4180:A I *D sky130_fd_sc_hd__inv_2
*I *4147:B_N I *D sky130_fd_sc_hd__or2b_1
*I *4399:D I *D sky130_fd_sc_hd__and4_1
*I *4387:C I *D sky130_fd_sc_hd__and3_1
*I *4390:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4479:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4180:A 0.00040053
2 *4147:B_N 0
3 *4399:D 0.000219944
4 *4387:C 5.59338e-05
5 *4390:A2 0.000135959
6 *4479:Q 0.00028734
7 *648:27 0.000701396
8 *648:9 0.000790292
9 *648:5 0.000916683
10 *4387:C *4387:B 9.18559e-06
11 *4399:D *699:12 0.000405629
12 *648:5 *4181:A2 9.43365e-06
13 *648:9 *4181:A2 7.43803e-05
14 *648:9 *698:19 7.92757e-06
15 *648:27 *4387:B 3.99086e-06
16 *648:27 *651:15 5.19205e-05
17 *648:27 *698:19 4.43826e-05
18 *4150:B1_N *4180:A 0.00011818
19 *4151:B2 *4180:A 5.04829e-06
20 *4387:A *648:27 0.000373061
21 *4396:A *4399:D 1.77537e-06
22 *4399:B *4399:D 6.08467e-05
23 *278:21 *4390:A2 0.000160617
24 *286:35 *4180:A 0.000185939
25 *291:12 *4180:A 0
26 *294:16 *648:27 0.000123582
27 *295:40 *4390:A2 0.000153714
28 *303:7 *648:9 2.65667e-05
29 *307:11 *4180:A 0.000339753
30 *441:35 *4390:A2 0.000217587
31 *502:34 *4399:D 0.000112039
*RES
1 *4479:Q *648:5 13.3002
2 *648:5 *648:9 5.59426
3 *648:9 *4390:A2 23.99
4 *648:9 *648:27 18.2401
5 *648:27 *4387:C 10.069
6 *648:27 *4399:D 26.2028
7 *648:9 *4147:B_N 9.24915
8 *648:5 *4180:A 30.5031
*END
*D_NET *649 0.00187068
*CONN
*I *4152:A I *D sky130_fd_sc_hd__inv_2
*I *4431:A I *D sky130_fd_sc_hd__nand2_1
*I *4430:A I *D sky130_fd_sc_hd__or2_1
*I *4489:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4152:A 9.18122e-05
2 *4431:A 9.06943e-05
3 *4430:A 0.000286946
4 *4489:Q 0
5 *649:17 0.00023873
6 *649:5 0.000343169
7 *4430:B *4430:A 6.08467e-05
8 *291:12 *4430:A 0.000101148
9 *291:12 *649:17 0.00027273
10 *309:10 *4430:A 0.000101148
11 *309:10 *649:17 0.000283455
*RES
1 *4489:Q *649:5 13.7491
2 *649:5 *4430:A 20.7414
3 *649:5 *649:17 9.23876
4 *649:17 *4431:A 11.0817
5 *649:17 *4152:A 11.0817
*END
*D_NET *650 0.00116902
*CONN
*I *4159:A I *D sky130_fd_sc_hd__inv_2
*I *4153:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4490:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4159:A 0.000193064
2 *4153:A_N 0
3 *4490:Q 7.14025e-05
4 *650:6 0.000264467
5 *115:9 *4159:A 0.000326398
6 *268:12 *4159:A 0.000156869
7 *268:12 *650:6 0.000156823
8 *438:30 *4159:A 0
9 *438:30 *650:6 0
*RES
1 *4490:Q *650:6 16.4116
2 *650:6 *4153:A_N 13.7491
3 *650:6 *4159:A 20.3233
*END
*D_NET *651 0.00284431
*CONN
*I *4149:A I *D sky130_fd_sc_hd__or2b_1
*I *4399:C I *D sky130_fd_sc_hd__and4_1
*I *4387:B I *D sky130_fd_sc_hd__and3_1
*I *4148:B_N I *D sky130_fd_sc_hd__or2b_1
*I *4390:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4480:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4149:A 0.00016468
2 *4399:C 0.00015103
3 *4387:B 0.000115364
4 *4148:B_N 0
5 *4390:B1 0.000104404
6 *4480:Q 0.000186223
7 *651:24 0.000390891
8 *651:17 0.000124497
9 *651:15 0.000345976
10 *651:7 0.000471923
11 *4149:A *4148:A 7.50722e-05
12 *4149:A *699:12 0
13 *4390:B1 *699:12 0
14 *4399:C *4148:A 0
15 *4399:C *699:12 0.000284078
16 *651:7 *698:19 6.68703e-05
17 *651:15 *699:12 0
18 *4190:A1 *4399:C 0
19 *4387:A *4387:B 1.43983e-05
20 *4387:A *651:24 5.2472e-05
21 *4387:C *4387:B 9.18559e-06
22 *4391:B *4390:B1 8.01837e-05
23 *286:35 *4149:A 0.000111722
24 *294:16 *4390:B1 1.29348e-05
25 *294:16 *651:15 2.64881e-05
26 *295:40 *4149:A 0
27 *295:40 *651:15 0
28 *648:27 *4387:B 3.99086e-06
29 *648:27 *651:15 5.19205e-05
*RES
1 *4480:Q *651:7 16.691
2 *651:7 *4390:B1 16.4116
3 *651:7 *651:15 4.32351
4 *651:15 *651:17 4.5
5 *651:17 *4148:B_N 9.24915
6 *651:17 *651:24 3.37585
7 *651:24 *4387:B 11.6605
8 *651:24 *4399:C 23.4032
9 *651:15 *4149:A 17.6896
*END
*D_NET *652 0.000820963
*CONN
*I *4144:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4140:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4481:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4144:A 7.08919e-05
2 *4140:A_N 9.11195e-05
3 *4481:Q 8.60434e-05
4 *652:5 0.000248055
5 *4140:A_N *4140:B 6.23202e-05
6 *348:42 *4144:A 1.8078e-05
7 *348:42 *652:5 2.32702e-05
8 *441:35 *4140:A_N 0.000221185
*RES
1 *4481:Q *652:5 11.0817
2 *652:5 *4140:A_N 22.1574
3 *652:5 *4144:A 11.1059
*END
*D_NET *653 0.00418979
*CONN
*I *4143:B I *D sky130_fd_sc_hd__and2b_1
*I *4141:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4399:A I *D sky130_fd_sc_hd__and4_1
*I *4398:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4482:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4143:B 0.000219847
2 *4141:A_N 0
3 *4399:A 0.000176391
4 *4398:B1 0
5 *4482:Q 0.000154376
6 *653:27 0.000396755
7 *653:17 0.000625843
8 *653:10 0.00042692
9 *4143:B *4140:B 0.00039183
10 *4143:B *4141:B 0.000511882
11 *4399:A *699:12 0
12 *4151:A2 *4143:B 0
13 *4190:A1 *4143:B 0.000106215
14 *4190:A1 *4399:A 0.000355012
15 *4190:A1 *653:27 0.000536595
16 *4398:A1 *653:17 1.92172e-05
17 *4398:A2 *653:17 0.000111722
18 *4401:B *653:10 3.00073e-05
19 *441:35 *4143:B 0
20 *441:35 *4399:A 0
21 *497:17 *653:10 0.000127179
*RES
1 *4482:Q *653:10 21.7744
2 *653:10 *4398:B1 9.24915
3 *653:10 *653:17 6.29355
4 *653:17 *4399:A 24.6489
5 *653:17 *653:27 6.26943
6 *653:27 *4141:A_N 9.24915
7 *653:27 *4143:B 28.8337
*END
*D_NET *654 0.00584269
*CONN
*I *4407:B I *D sky130_fd_sc_hd__and3_1
*I *4404:B I *D sky130_fd_sc_hd__nand3_1
*I *4403:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4172:B_N I *D sky130_fd_sc_hd__or2b_1
*I *4166:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4483:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4407:B 3.968e-05
2 *4404:B 8.17259e-05
3 *4403:B1 0
4 *4172:B_N 2.07542e-05
5 *4166:A_N 0.000228174
6 *4483:Q 0.00022937
7 *654:39 0.000525466
8 *654:27 0.000909932
9 *654:8 0.00108054
10 *654:6 0.00156686
11 *4166:A_N *4166:B 5.16026e-05
12 *4166:A_N *4172:A 0.000205302
13 *4166:A_N *704:6 7.50872e-05
14 *4166:A_N *806:18 0
15 *4172:B_N *4172:A 1.03403e-05
16 *654:6 *806:18 0
17 *654:6 *806:25 0
18 *654:8 *4327:A1 0
19 *654:8 *806:18 0
20 *654:39 *4407:A 1.01177e-05
21 *4327:S *654:6 0
22 *4327:S *654:8 0
23 *4331:A *4166:A_N 0
24 *4404:C *4404:B 4.87198e-05
25 *4405:B *654:27 5.52874e-05
26 *4414:B *4404:B 0
27 *438:51 *4172:B_N 6.50586e-05
28 *502:24 *4404:B 3.25751e-05
29 *502:24 *654:39 0.000217587
30 *502:57 *4404:B 3.31733e-05
31 *507:22 *4407:B 1.43983e-05
32 *507:22 *654:39 0.000140713
33 *514:8 *654:39 0.000200221
*RES
1 *4483:Q *654:6 19.3184
2 *654:6 *654:8 20.1031
3 *654:8 *4166:A_N 20.8723
4 *654:8 *4172:B_N 14.4725
5 *654:6 *654:27 16.3155
6 *654:27 *4403:B1 9.24915
7 *654:27 *654:39 20.1714
8 *654:39 *4404:B 20.9116
9 *654:39 *4407:B 9.97254
*END
*D_NET *655 0.00138839
*CONN
*I *4407:A I *D sky130_fd_sc_hd__and3_1
*I *4163:A I *D sky130_fd_sc_hd__inv_2
*I *4484:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4407:A 0.000216042
2 *4163:A 0.000296988
3 *4484:Q 0
4 *655:4 0.000513029
5 *4414:B *4163:A 0.000225473
6 *507:22 *4407:A 6.50727e-05
7 *514:8 *4163:A 6.1664e-05
8 *654:39 *4407:A 1.01177e-05
*RES
1 *4484:Q *655:4 9.24915
2 *655:4 *4163:A 25.651
3 *655:4 *4407:A 13.9994
*END
*D_NET *656 0.0023865
*CONN
*I *4412:A I *D sky130_fd_sc_hd__and2_1
*I *4168:A I *D sky130_fd_sc_hd__inv_2
*I *4164:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4485:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4412:A 0.000290811
2 *4168:A 0.000128238
3 *4164:A_N 0
4 *4485:Q 0.000444255
5 *656:12 0.000128238
6 *656:10 0.000735067
7 *4412:A *806:18 0
8 *656:10 *806:18 0
9 *4169:A1 *4168:A 6.56405e-05
10 *4414:A *4412:A 0
11 *4414:A *656:10 0
12 *4414:B *4412:A 0
13 *4415:C *656:10 0
14 *4416:A *656:10 4.01437e-05
15 *4419:A *656:10 0
16 *320:8 *4412:A 6.50354e-05
17 *451:36 *4412:A 0.000419593
18 *518:8 *656:10 0
19 *644:61 *656:10 6.94815e-05
*RES
1 *4485:Q *656:10 25.3277
2 *656:10 *656:12 4.5
3 *656:12 *4164:A_N 9.24915
4 *656:12 *4168:A 12.7697
5 *656:10 *4412:A 22.263
*END
*D_NET *657 0.00620221
*CONN
*I *4170:A I *D sky130_fd_sc_hd__inv_2
*I *4421:B I *D sky130_fd_sc_hd__and3_1
*I *4165:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4423:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4486:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4170:A 0
2 *4421:B 0.000459791
3 *4165:A_N 0
4 *4423:A2 0
5 *4486:Q 0.000417052
6 *657:28 0.000595133
7 *657:18 0.000506797
8 *657:9 0.000788506
9 *4421:B *4171:B 0.00041745
10 *4421:B *4421:A 4.90121e-07
11 *657:28 *4171:B 0.000247526
12 *657:28 *707:21 6.08467e-05
13 *4413:A *657:18 0.000385581
14 *4413:B *657:18 0.00011364
15 *4414:B *657:18 1.60597e-05
16 *4417:A *657:9 0
17 *4420:A *657:9 0
18 *4421:C *4421:B 7.79747e-06
19 *4432:A *657:9 0
20 *291:12 *4421:B 0.000123582
21 *318:6 *4421:B 0.000123582
22 *326:7 *657:9 1.43848e-05
23 *326:8 *657:9 0
24 *327:9 *4421:B 8.64351e-05
25 *327:9 *657:28 2.57365e-05
26 *329:10 *4421:B 0.000458348
27 *329:10 *657:28 8.90486e-05
28 *470:22 *657:18 3.67708e-05
29 *470:22 *657:28 0.000161951
30 *499:24 *657:9 0.000102003
31 *499:24 *657:18 0.000222149
32 *502:57 *657:9 0
33 *502:57 *657:18 0.000350593
34 *502:57 *657:28 0
35 *517:19 *657:18 3.60268e-05
36 *518:8 *657:18 0.000269664
37 *518:10 *657:18 8.52652e-05
*RES
1 *4486:Q *657:9 28.4184
2 *657:9 *657:18 21.6653
3 *657:18 *4423:A2 13.7491
4 *657:18 *657:28 10.5196
5 *657:28 *4165:A_N 9.24915
6 *657:28 *4421:B 34.6991
7 *657:9 *4170:A 9.24915
*END
*D_NET *658 0.00581835
*CONN
*I *4421:A I *D sky130_fd_sc_hd__and3_1
*I *4161:B_N I *D sky130_fd_sc_hd__or2b_1
*I *4155:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4423:B1 I *D sky130_fd_sc_hd__a31o_1
*I *4487:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4421:A 0.000790221
2 *4161:B_N 0.000251742
3 *4155:A_N 0.000301173
4 *4423:B1 0.000471523
5 *4487:Q 0
6 *658:16 0.000603912
7 *658:4 0.00131274
8 *4155:A_N *699:20 0.000190089
9 *4155:A_N *699:25 0.000172987
10 *658:16 *699:20 0.000123582
11 *4412:B *4155:A_N 3.20069e-06
12 *4412:B *658:16 4.87198e-05
13 *4421:B *4421:A 4.90121e-07
14 *4421:C *4421:A 0.00112121
15 *4421:C *4423:B1 0.000299312
16 *4423:A3 *4423:B1 6.50586e-05
17 *451:36 *4161:B_N 6.23875e-05
18 *502:57 *4155:A_N 0
*RES
1 *4487:Q *658:4 9.24915
2 *658:4 *4423:B1 17.6405
3 *658:4 *658:16 6.74725
4 *658:16 *4155:A_N 22.4024
5 *658:16 *4161:B_N 19.464
6 *658:4 *4421:A 23.3071
*END
*D_NET *659 0.00584938
*CONN
*I *4426:B I *D sky130_fd_sc_hd__and3_1
*I *4427:A I *D sky130_fd_sc_hd__or2_1
*I *4154:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4157:A I *D sky130_fd_sc_hd__inv_2
*I *4488:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4426:B 0
2 *4427:A 0.000364443
3 *4154:A_N 0.000659816
4 *4157:A 5.64328e-05
5 *4488:Q 5.26541e-05
6 *659:36 0.0012845
7 *659:10 0.00109681
8 *659:7 0.00135327
9 *4154:A_N *751:18 0
10 *4427:A *699:20 4.34143e-05
11 *4178:B1 *4154:A_N 0.000149628
12 *4426:A *4427:A 9.19886e-06
13 *4426:C *659:36 3.77286e-05
14 *4702:A *659:36 0.000182987
15 *268:12 *659:10 0
16 *316:11 *4154:A_N 0.000324902
17 *334:8 *659:10 0
18 *334:8 *659:36 0
19 *372:9 *4154:A_N 9.34396e-06
20 *438:8 *4154:A_N 0
21 *438:47 *659:7 3.17192e-06
22 *451:33 *4154:A_N 0.000157916
23 *499:8 *4427:A 6.31665e-05
*RES
1 *4488:Q *659:7 14.4725
2 *659:7 *659:10 13.3913
3 *659:10 *4157:A 10.5513
4 *659:10 *4154:A_N 36.073
5 *659:7 *659:36 25.7883
6 *659:36 *4427:A 24.9627
7 *659:36 *4426:B 9.24915
*END
*D_NET *660 0.0157766
*CONN
*I *4437:B I *D sky130_fd_sc_hd__nor2_1
*I *4641:A I *D sky130_fd_sc_hd__ebufn_8
*I *4202:S I *D sky130_fd_sc_hd__mux2_1
*I *4205:S I *D sky130_fd_sc_hd__mux2_1
*I *4199:S I *D sky130_fd_sc_hd__mux2_1
*I *4201:S I *D sky130_fd_sc_hd__mux2_1
*I *4492:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *4437:B 0.000397572
2 *4641:A 7.68788e-05
3 *4202:S 6.35726e-05
4 *4205:S 6.77624e-05
5 *4199:S 0
6 *4201:S 0.000490652
7 *4492:Q 5.38389e-05
8 *660:27 0.00109994
9 *660:20 0.00102436
10 *660:16 0.000410887
11 *660:14 0.00148407
12 *660:13 0.00163016
13 *660:10 0.000318057
14 *660:7 0.000546508
15 *4201:S *4199:A0 8.92568e-06
16 *4201:S *4201:A0 1.37189e-05
17 *4201:S *4201:A1 6.64392e-05
18 *4201:S *682:8 0
19 *4201:S *683:18 5.22654e-06
20 *4202:S *4202:A0 6.08467e-05
21 *4202:S *4202:A1 1.84293e-05
22 *4437:B *710:54 0
23 *660:10 *710:54 0
24 *660:14 *4289:A 0
25 *660:14 *819:11 0.000174761
26 *660:20 *4202:A0 0.000406794
27 *660:27 *4199:A0 3.9199e-05
28 *660:27 *683:18 0.000215517
29 *4293:S *4201:S 0
30 *4475:CLK *660:13 6.50727e-05
31 *4492:D *4437:B 5.04829e-06
32 *4641:TE_B *660:10 0
33 *4719:A *660:27 0.000161572
34 *83:10 *4437:B 0.000246684
35 *83:10 *660:10 0.000179286
36 *176:8 *660:14 0.00220106
37 *214:10 *660:14 0
38 *273:38 *660:14 0.000475669
39 *275:16 *660:14 0
40 *277:23 *660:14 0.000153225
41 *277:27 *660:14 0.0002212
42 *279:10 *660:14 0.000150942
43 *279:26 *4201:S 0
44 *279:26 *660:27 9.75356e-05
45 *280:14 *660:20 0.000786141
46 *280:14 *660:27 0.00128776
47 *282:14 *660:20 0.00053436
48 *282:21 *660:20 0.000246684
49 *282:21 *660:27 0.000231378
50 *293:53 *660:14 0
51 *355:9 *4201:S 5.88662e-05
*RES
1 *4492:Q *660:7 14.4725
2 *660:7 *660:10 7.57775
3 *660:10 *660:13 7.44181
4 *660:13 *660:14 56.23
5 *660:14 *660:16 4.5
6 *660:16 *660:20 22.5647
7 *660:20 *660:27 30.6151
8 *660:27 *4201:S 31.1886
9 *660:27 *4199:S 9.24915
10 *660:20 *4205:S 15.0271
11 *660:16 *4202:S 11.0817
12 *660:10 *4641:A 11.1059
13 *660:7 *4437:B 24.3421
*END
*D_NET *661 0.00167244
*CONN
*I *4247:A I *D sky130_fd_sc_hd__and3_1
*I *4241:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4491:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4247:A 0.000468376
2 *4241:A 5.58866e-05
3 *4491:Q 0.00014761
4 *661:8 0.000671873
5 *4247:A *662:8 0
6 *4248:A1 *4247:A 5.54078e-05
7 *4250:A3 *4247:A 0.000133814
8 *84:9 *4241:A 7.48633e-05
9 *387:8 *4247:A 6.46135e-05
10 *647:19 *4247:A 0
11 *647:19 *661:8 0
*RES
1 *4491:Q *661:8 16.7198
2 *661:8 *4241:A 15.5817
3 *661:8 *4247:A 26.7929
*END
*D_NET *662 0.00115399
*CONN
*I *4184:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4247:C I *D sky130_fd_sc_hd__and3_1
*I *4438:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4184:A 0
2 *4247:C 0.000321681
3 *4438:Q 7.25054e-05
4 *662:8 0.000394186
5 *4247:C *4234:B 0.000338708
6 *4247:A *662:8 0
7 *275:12 *662:8 2.69064e-05
*RES
1 *4438:Q *662:8 20.0811
2 *662:8 *4247:C 15.8079
3 *662:8 *4184:A 9.24915
*END
*D_NET *663 0.00514101
*CONN
*I *4246:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4185:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4256:B I *D sky130_fd_sc_hd__or3_1
*I *4247:B I *D sky130_fd_sc_hd__and3_1
*I *4439:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4246:A 0.000146261
2 *4185:A1 0.000342423
3 *4256:B 0.000487231
4 *4247:B 0.000159271
5 *4439:Q 0
6 *663:28 0.000626425
7 *663:6 0.00086242
8 *663:4 0.000353658
9 *4185:A2 *4185:A1 0.000236549
10 *4249:B *4185:A1 6.08467e-05
11 *4250:B1 *663:6 5.82465e-05
12 *4250:B1 *663:28 0.000171273
13 *4273:B1 *4246:A 0.000419968
14 *4275:C1 *4246:A 0.000212589
15 *273:20 *4185:A1 2.37827e-05
16 *273:20 *663:28 9.29715e-05
17 *273:21 *4185:A1 0.000154145
18 *276:76 *4256:B 3.28752e-05
19 *340:5 *4247:B 0.000217937
20 *392:11 *4247:B 6.50727e-05
21 *397:43 *4246:A 0.000207379
22 *397:43 *4247:B 0
23 *397:43 *663:6 0
24 *647:33 *4247:B 3.92275e-05
25 *647:33 *663:6 0.00017046
*RES
1 *4439:Q *663:4 9.24915
2 *663:4 *663:6 11.315
3 *663:6 *4247:B 18.5471
4 *663:6 *4256:B 20.5732
5 *663:4 *663:28 4.62973
6 *663:28 *4185:A1 18.5207
7 *663:28 *4246:A 25.8947
*END
*D_NET *664 0.00264113
*CONN
*I *4254:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4185:B1 I *D sky130_fd_sc_hd__o21a_1
*I *4258:A I *D sky130_fd_sc_hd__and2_1
*I *4440:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4254:A 4.98412e-05
2 *4185:B1 4.44978e-05
3 *4258:A 0.000183414
4 *4440:Q 0.000330485
5 *664:8 0.000254088
6 *664:7 0.000406502
7 *4185:B1 *710:39 3.46353e-05
8 *664:7 *710:39 0.000189678
9 *4258:B *4258:A 0.000307023
10 *4259:A *4258:A 3.31745e-05
11 *4259:B *4258:A 0.000211478
12 *4266:A1 *4185:B1 0
13 *4266:A1 *4254:A 8.74104e-05
14 *4266:A1 *4258:A 0.000191541
15 *4266:A1 *664:8 4.18989e-05
16 *273:21 *4185:B1 9.21465e-06
17 *341:8 *664:7 6.08467e-05
18 *398:8 *4258:A 1.32509e-05
19 *402:15 *4258:A 1.92172e-05
20 *402:28 *4254:A 3.77804e-05
21 *402:28 *4258:A 0.000112928
22 *402:28 *664:8 2.22198e-05
*RES
1 *4440:Q *664:7 18.9094
2 *664:7 *664:8 1.00149
3 *664:8 *4258:A 21.8478
4 *664:8 *4185:B1 14.8436
5 *664:7 *4254:A 15.5811
*END
*D_NET *665 0.000845658
*CONN
*I *4264:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4186:B I *D sky130_fd_sc_hd__or3_2
*I *4441:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4264:A 6.38891e-05
2 *4186:B 3.75177e-05
3 *4441:Q 6.30513e-05
4 *665:7 0.000164458
5 *4273:A2 *4186:B 0.000127194
6 *341:21 *4186:B 0.000127194
7 *407:7 *4264:A 0.00014642
8 *407:7 *665:7 0.000115934
*RES
1 *4441:Q *665:7 11.1059
2 *665:7 *4186:B 20.4964
3 *665:7 *4264:A 11.0817
*END
*D_NET *666 0.00356087
*CONN
*I *4270:A I *D sky130_fd_sc_hd__and3_1
*I *4269:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4272:B1 I *D sky130_fd_sc_hd__o21ai_1
*I *4186:A I *D sky130_fd_sc_hd__or3_2
*I *4275:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4442:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4270:A 0
2 *4269:B1 4.90456e-05
3 *4272:B1 0.00012647
4 *4186:A 0.000115411
5 *4275:A1 0
6 *4442:Q 0.000191815
7 *666:31 0.000297642
8 *666:20 0.000346042
9 *666:17 0.00049335
10 *666:10 0.00057666
11 *4186:C *4186:A 6.08467e-05
12 *4269:A1 *4269:B1 1.41976e-05
13 *4269:A1 *4272:B1 0.000100588
14 *4269:A2 *666:20 0.00031669
15 *4269:A2 *666:31 0.000191624
16 *4272:A2 *4272:B1 6.50586e-05
17 *4274:A1 *4269:B1 7.34948e-06
18 *4274:A1 *666:31 0
19 *4274:B1 *666:20 0
20 *4274:C1 *666:10 4.70005e-05
21 *4275:A2 *666:17 2.29406e-05
22 *4275:B1 *666:17 6.08467e-05
23 *4275:C1 *666:17 2.01874e-05
24 *4442:D *666:10 1.79672e-05
25 *268:52 *666:10 0
26 *342:10 *4186:A 0.000111708
27 *402:28 *666:20 3.31733e-05
28 *413:23 *666:20 0.000294256
*RES
1 *4442:Q *666:10 21.7744
2 *666:10 *4275:A1 9.24915
3 *666:10 *666:17 8.51196
4 *666:17 *666:20 10.4845
5 *666:20 *4186:A 16.691
6 *666:20 *666:31 7.993
7 *666:31 *4272:B1 13.3243
8 *666:31 *4269:B1 10.5271
9 *666:17 *4270:A 9.24915
*END
*D_NET *667 0.00380433
*CONN
*I *4279:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4277:A1 I *D sky130_fd_sc_hd__o211a_1
*I *4229:A I *D sky130_fd_sc_hd__nor3_2
*I *4189:A1 I *D sky130_fd_sc_hd__o21a_1
*I *4443:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4279:B1 9.39191e-05
2 *4277:A1 5.1054e-05
3 *4229:A 0
4 *4189:A1 4.76042e-05
5 *4443:Q 0.000182826
6 *667:23 0.000285357
7 *667:10 0.000222746
8 *667:7 0.000498351
9 *667:10 *696:17 0.000513381
10 *667:23 *696:17 5.33331e-05
11 *4189:A2 *4189:A1 0.000258758
12 *4189:B1 *4189:A1 0.000254532
13 *4276:A1 *667:7 5.97576e-05
14 *4276:A2 *4277:A1 0.000237049
15 *4280:C *4279:B1 2.41274e-06
16 *4435:B *667:23 0.000134323
17 *4705:A *4277:A1 9.48294e-05
18 *343:28 *667:7 4.82966e-05
19 *348:59 *4279:B1 0.000144546
20 *348:59 *667:10 0.000222317
21 *348:59 *667:23 0.00025439
22 *381:22 *4279:B1 0.00013592
23 *381:22 *667:23 8.62625e-06
*RES
1 *4443:Q *667:7 16.691
2 *667:7 *667:10 13.3913
3 *667:10 *4189:A1 12.191
4 *667:10 *4229:A 9.24915
5 *667:7 *667:23 5.47156
6 *667:23 *4277:A1 16.4137
7 *667:23 *4279:B1 17.135
*END
*D_NET *668 0.00070262
*CONN
*I *4706:A I *D sky130_fd_sc_hd__clkdlybuf4s25_1
*I *4455:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4706:A 0.000154212
2 *4455:Q 0.000154212
3 *4029:A *4706:A 0.000207266
4 *279:72 *4706:A 3.88002e-05
5 *291:44 *4706:A 0.000148129
*RES
1 *4455:Q *4706:A 32.548
*END
*D_NET *669 0.0056327
*CONN
*I *4707:A I *D sky130_fd_sc_hd__clkdlybuf4s50_1
*I *4234:B I *D sky130_fd_sc_hd__nand2_1
*I *4456:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4707:A 0.000193952
2 *4234:B 0.00137618
3 *4456:Q 8.8564e-05
4 *669:8 0.0016587
5 *4234:B *4234:A 7.38001e-05
6 *4235:B1 *4234:B 2.41916e-05
7 *4247:C *4234:B 0.000338708
8 *4248:B1 *4234:B 0.000507516
9 *4255:A1 *4234:B 0.000171899
10 *4255:A2 *4234:B 1.92336e-05
11 *4263:A1 *4234:B 3.91066e-05
12 *4263:A2 *4234:B 0.000172957
13 *273:38 *669:8 0
14 *275:12 *669:8 6.81008e-05
15 *340:5 *4234:B 0.000481188
16 *391:15 *4234:B 0.000353772
17 *398:18 *4234:B 5.07314e-05
18 *399:7 *4234:B 1.40953e-05
*RES
1 *4456:Q *669:8 20.9116
2 *669:8 *4234:B 47.7097
3 *669:8 *4707:A 13.8548
*END
*D_NET *670 0.000740863
*CONN
*I *4235:B2 I *D sky130_fd_sc_hd__o22a_1
*I *4457:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4235:B2 0.000199552
2 *4457:Q 0.000199552
3 *4235:B2 *4235:A1 6.36477e-05
4 *4235:B2 *4457:D 0.000278112
*RES
1 *4457:Q *4235:B2 24.2613
*END
*D_NET *671 0.0036133
*CONN
*I *4634:A I *D sky130_fd_sc_hd__ebufn_8
*I *4212:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *4634:A 0
2 *4212:X 0.000963574
3 *671:11 0.000963574
4 *671:11 *4658:A 0.00043198
5 *671:11 *4679:A 0.000367298
6 *671:11 *675:10 6.08467e-05
7 *4209:A1 *671:11 2.9522e-05
8 *4218:A3 *671:11 9.77871e-05
9 *4220:A3 *671:11 2.30104e-05
10 *4221:A1 *671:11 3.62816e-05
11 *4634:TE_B *671:11 2.65667e-05
12 *357:6 *671:11 2.32311e-05
13 *357:15 *671:11 4.01573e-05
14 *357:22 *671:11 8.76467e-05
15 *361:6 *671:11 5.04879e-05
16 *364:11 *671:11 5.04829e-06
17 *364:27 *671:11 0.00015851
18 *365:8 *671:11 0.000247779
*RES
1 *4212:X *671:11 46.3121
2 *671:11 *4634:A 9.24915
*END
*D_NET *672 0.00143592
*CONN
*I *4635:A I *D sky130_fd_sc_hd__ebufn_8
*I *4216:Y O *D sky130_fd_sc_hd__inv_2
*CAP
1 *4635:A 0.000507373
2 *4216:Y 0.000507373
3 *4635:A *4291:A2 0.000136749
4 *4291:A1 *4635:A 0.000107496
5 *421:25 *4635:A 0.000176932
*RES
1 *4216:Y *4635:A 30.3379
*END
*D_NET *673 0.00174272
*CONN
*I *4636:A I *D sky130_fd_sc_hd__ebufn_8
*I *4218:X O *D sky130_fd_sc_hd__o31a_1
*CAP
1 *4636:A 0.000372914
2 *4218:X 0.000372914
3 *4221:B1 *4636:A 0.000151741
4 *275:24 *4636:A 0.000324368
5 *278:93 *4636:A 0.000121381
6 *281:24 *4636:A 0.0003994
*RES
1 *4218:X *4636:A 43.0336
*END
*D_NET *674 0.00432743
*CONN
*I *4637:A I *D sky130_fd_sc_hd__ebufn_8
*I *4220:X O *D sky130_fd_sc_hd__a31o_1
*CAP
1 *4637:A 8.11282e-06
2 *4220:X 0.000981101
3 *674:8 0.000989214
4 *674:8 *675:10 0.000359539
5 *4209:A2 *674:8 0.000196623
6 *4220:A3 *674:8 1.65872e-05
7 *275:24 *674:8 0.000453751
8 *284:31 *4637:A 6.50586e-05
9 *354:33 *674:8 0.000207266
10 *364:8 *674:8 9.24241e-05
11 *364:27 *674:8 0.000926252
12 *638:15 *4637:A 3.14978e-05
*RES
1 *4220:X *674:8 48.8364
2 *674:8 *4637:A 14.4725
*END
*D_NET *675 0.00275533
*CONN
*I *4638:A I *D sky130_fd_sc_hd__ebufn_8
*I *4221:Y O *D sky130_fd_sc_hd__a21oi_1
*CAP
1 *4638:A 0
2 *4221:Y 0.000726904
3 *675:10 0.000726904
4 *675:10 *4679:A 0.00035709
5 *4667:TE_B *675:10 0.000302453
6 *275:24 *675:10 0
7 *364:8 *675:10 9.60366e-05
8 *364:11 *675:10 5.61125e-05
9 *364:27 *675:10 6.94439e-05
10 *638:15 *675:10 0
11 *671:11 *675:10 6.08467e-05
12 *674:8 *675:10 0.000359539
*RES
1 *4221:Y *675:10 42.2639
2 *675:10 *4638:A 9.24915
*END
*D_NET *676 0.00167356
*CONN
*I *4639:A I *D sky130_fd_sc_hd__ebufn_8
*I *4223:X O *D sky130_fd_sc_hd__a211o_1
*CAP
1 *4639:A 0.000536387
2 *4223:X 0.000536387
3 *4639:A *677:7 0.000483474
4 *4223:A1 *4639:A 0.000111802
5 *4223:A2 *4639:A 5.51483e-06
6 *176:8 *4639:A 0
7 *275:24 *4639:A 0
*RES
1 *4223:X *4639:A 37.1242
*END
*D_NET *677 0.0103929
*CONN
*I *4640:A I *D sky130_fd_sc_hd__ebufn_8
*I *4224:X O *D sky130_fd_sc_hd__a21o_1
*CAP
1 *4640:A 0.000845824
2 *4224:X 9.4187e-05
3 *677:8 0.00267481
4 *677:7 0.00192318
5 io_oeb[20] *4640:A 0.000100851
6 io_out[23] *4640:A 2.37478e-05
7 la1_data_out[10] *677:8 0.000169625
8 la1_data_out[19] *4640:A 4.97938e-05
9 la1_data_out[24] *677:8 0
10 *4032:A *4640:A 0
11 *4067:A *677:8 3.58321e-05
12 *4125:A *4640:A 0.000424688
13 *4223:A2 *677:7 3.58044e-05
14 *4223:C1 *677:7 0.00043038
15 *4224:A1 *677:7 1.19721e-05
16 *4639:A *677:7 0.000483474
17 *4648:TE_B *4640:A 0.000101133
18 *4711:A *4640:A 0.000303736
19 *274:43 *4640:A 0
20 *275:22 *677:8 9.38185e-05
21 *275:24 *677:8 0
22 *277:8 *677:8 4.56708e-05
23 *278:8 *677:8 3.14544e-05
24 *278:41 *677:8 4.87198e-05
25 *278:77 *677:8 0.000416087
26 *278:93 *677:8 2.42661e-05
27 *279:59 *4640:A 0
28 *279:59 *677:8 0.00114255
29 *281:11 *677:8 0
30 *281:22 *677:8 0.000363685
31 *281:36 *4640:A 0.000487687
32 *281:36 *677:8 0
33 *291:44 *4640:A 2.98763e-05
*RES
1 *4224:X *677:7 20.0186
2 *677:7 *677:8 58.9292
3 *677:8 *4640:A 45.8823
*END
*D_NET *678 0.00125248
*CONN
*I *4292:A I *D sky130_fd_sc_hd__buf_2
*I *4281:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4470:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4292:A 7.73643e-05
2 *4281:A 0.000130621
3 *4470:Q 0
4 *678:5 0.000207985
5 *4281:A *4352:B 1.2693e-05
6 *4292:A *4352:B 4.87198e-05
7 *429:8 *4292:A 2.65667e-05
8 *471:7 *4281:A 0.000107496
9 *479:15 *4281:A 0.000268195
10 *479:15 *4292:A 0.000122083
11 *645:91 *4281:A 0.000143032
12 *645:140 *4281:A 0.000107729
*RES
1 *4470:Q *678:5 13.7491
2 *678:5 *4281:A 19.7659
3 *678:5 *4292:A 16.7198
*END
*D_NET *679 0.0086643
*CONN
*I *4368:A I *D sky130_fd_sc_hd__nor2_1
*I *4369:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4372:A2 I *D sky130_fd_sc_hd__o21ai_1
*I *4371:B I *D sky130_fd_sc_hd__and2_1
*I *4282:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4475:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4368:A 0
2 *4369:B1 0.000244547
3 *4372:A2 0.000134011
4 *4371:B 2.41032e-05
5 *4282:A1 0.000953469
6 *4475:Q 0.000711391
7 *679:20 0.00137032
8 *679:18 0.000630793
9 *679:12 0.000711492
10 *679:7 0.00107431
11 *4282:A1 *680:18 2.95757e-05
12 *4282:A1 *680:30 0
13 *4371:B *4371:A 6.36477e-05
14 *4372:A2 *680:7 4.86143e-06
15 *679:18 *680:13 3.28791e-05
16 *679:20 *680:13 5.9708e-05
17 *679:20 *680:18 0.000536393
18 *4252:A *4282:A1 3.98321e-05
19 *4283:B *4282:A1 0.00033061
20 *4284:A *4282:A1 6.50586e-05
21 *4369:A1 *4369:B1 6.50586e-05
22 *4369:A2 *4369:B1 0.00011818
23 *4370:A2 *679:7 0.00047703
24 *4370:B1 *679:7 1.80122e-05
25 *4373:A *4369:B1 6.08467e-05
26 *4373:A *679:12 5.41227e-05
27 *4373:A *679:18 0.000168557
28 *4373:A *679:20 5.65074e-05
29 *4373:B *679:12 0
30 *4375:A2 *4372:A2 1.21461e-06
31 *4375:A2 *679:18 9.60366e-05
32 *4382:A *4282:A1 0
33 *4382:A *679:20 0
34 *4475:D *679:7 0.000320436
35 *278:13 *4282:A1 1.89968e-05
36 *288:40 *4282:A1 0.00011818
37 *351:20 *4282:A1 0
38 *374:50 *4282:A1 0
39 *374:50 *679:12 0
40 *374:50 *679:18 0
41 *374:50 *679:20 0
42 *397:8 *4282:A1 0
43 *397:24 *679:12 0
44 *473:8 *4282:A1 0
45 *480:13 *4282:A1 0
46 *485:10 *4282:A1 7.41203e-05
*RES
1 *4475:Q *679:7 21.4987
2 *679:7 *679:12 12.8783
3 *679:12 *679:18 4.39679
4 *679:18 *679:20 12.2133
5 *679:20 *4282:A1 37.6302
6 *679:20 *4371:B 14.4725
7 *679:18 *4372:A2 15.5817
8 *679:12 *4369:B1 19.5122
9 *679:7 *4368:A 9.24915
*END
*D_NET *680 0.0106555
*CONN
*I *4372:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4374:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4286:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4371:A I *D sky130_fd_sc_hd__and2_1
*I *4476:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4372:A1 0
2 *4374:B1 0.000131022
3 *4286:A1 0.000527361
4 *4371:A 9.83087e-05
5 *4476:Q 0.00126245
6 *680:30 0.00181498
7 *680:18 0.00158501
8 *680:13 0.000540453
9 *680:7 0.0014728
10 *680:30 *4383:B1 1.46079e-05
11 *680:30 *682:8 0
12 *4282:A1 *680:18 2.95757e-05
13 *4282:A1 *680:30 0
14 *4284:A *680:30 0
15 *4287:B *4286:A1 3.02534e-05
16 *4304:A *4286:A1 0.000171273
17 *4361:A1 *680:30 0
18 *4367:A *680:30 0.000179271
19 *4371:B *4371:A 6.36477e-05
20 *4372:A2 *680:7 4.86143e-06
21 *4373:A *680:18 0.000200252
22 *4375:A1 *680:7 4.58003e-05
23 *4375:A2 *680:7 0.000406794
24 *4375:B1 *4374:B1 1.19856e-05
25 *4381:B1 *680:30 0.000179271
26 *4384:C1 *680:30 6.08467e-05
27 *4444:CLK *680:30 0
28 *4447:D *680:30 4.47578e-05
29 *4453:D *4286:A1 2.20702e-05
30 *4476:D *680:7 0.000409487
31 *288:40 *680:30 5.04879e-05
32 *374:50 *680:13 0
33 *384:7 *4374:B1 0.000103123
34 *384:7 *680:13 6.11872e-05
35 *397:8 *680:30 0.000139862
36 *421:20 *680:30 0
37 *421:43 *680:30 0
38 *485:7 *4371:A 2.41274e-06
39 *485:7 *680:30 1.03403e-05
40 *485:10 *680:18 8.16827e-05
41 *485:25 *680:18 0.00010836
42 *485:32 *680:18 0.000161951
43 *679:18 *680:13 3.28791e-05
44 *679:20 *680:13 5.9708e-05
45 *679:20 *680:18 0.000536393
*RES
1 *4476:Q *680:7 29.2631
2 *680:7 *680:13 14.7409
3 *680:13 *680:18 19.137
4 *680:18 *4371:A 11.6364
5 *680:18 *680:30 42.1503
6 *680:30 *4286:A1 21.6192
7 *680:13 *4374:B1 12.7456
8 *680:7 *4372:A1 9.24915
*END
*D_NET *681 0.00689954
*CONN
*I *4289:A I *D sky130_fd_sc_hd__inv_2
*I *4376:A1 I *D sky130_fd_sc_hd__o21ai_1
*I *4381:A2 I *D sky130_fd_sc_hd__a31o_1
*I *4380:A1 I *D sky130_fd_sc_hd__a21oi_1
*I *4377:A1 I *D sky130_fd_sc_hd__a21o_1
*I *4477:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4289:A 7.46532e-05
2 *4376:A1 0.000180425
3 *4381:A2 0
4 *4380:A1 9.45688e-05
5 *4377:A1 4.14302e-05
6 *4477:Q 0.000283782
7 *681:26 0.000257946
8 *681:19 0.000343802
9 *681:11 0.000770175
10 *681:5 0.00108718
11 *4380:A1 *4380:B1 0.000432412
12 *4380:A1 *4381:A1 1.09551e-05
13 *4373:A *4376:A1 7.50722e-05
14 *4376:A2 *4376:A1 2.15184e-05
15 *4376:B1 *4376:A1 0
16 *4379:B1 *4377:A1 1.82679e-05
17 *4379:B1 *681:11 9.41185e-05
18 *4380:A2 *4380:A1 0.000424557
19 *4381:A3 *4380:A1 5.31074e-05
20 *4382:A *4376:A1 0.000311315
21 *4382:B *4376:A1 0.000247231
22 *4382:B *4380:A1 1.41291e-05
23 *4382:B *681:26 0.000285532
24 *4477:CLK *681:11 0.000216467
25 *4477:D *681:11 0.000100285
26 *214:10 *4289:A 0.000122083
27 *289:47 *4376:A1 0.00041527
28 *397:8 *681:26 3.61138e-05
29 *397:17 *4376:A1 9.22013e-06
30 *480:27 *4377:A1 0.000107496
31 *480:27 *681:11 0.000239718
32 *481:30 *681:11 3.99086e-06
33 *485:10 *681:26 0.00023706
34 *485:25 *4376:A1 2.4562e-05
35 *485:25 *681:26 9.24241e-05
36 *485:32 *4376:A1 0.000172676
37 *660:14 *4289:A 0
*RES
1 *4477:Q *681:5 13.3002
2 *681:5 *681:11 14.7814
3 *681:11 *4377:A1 10.5271
4 *681:11 *681:19 4.5
5 *681:19 *681:26 11.3883
6 *681:26 *4380:A1 15.5427
7 *681:26 *4381:A2 9.24915
8 *681:19 *4376:A1 23.6722
9 *681:5 *4289:A 20.4964
*END
*D_NET *682 0.0109572
*CONN
*I *4383:B1 I *D sky130_fd_sc_hd__a21o_1
*I *4381:A1 I *D sky130_fd_sc_hd__a31o_1
*I *4380:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4293:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4478:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4383:B1 0.000144611
2 *4381:A1 1.81917e-05
3 *4380:B1 0.000173924
4 *4293:A1 0
5 *4478:Q 0.000181358
6 *682:19 0.000319879
7 *682:17 0.000388508
8 *682:8 0.00276012
9 *682:7 0.00276012
10 *682:5 0.000297492
11 *682:8 *4201:A1 0.000153225
12 *682:8 *4293:A0 0.000203833
13 *682:8 *4296:A0 3.77659e-05
14 *682:8 *683:18 0
15 *682:8 *773:11 1.47102e-05
16 *4201:S *682:8 0
17 *4287:B *682:8 0
18 *4290:A1 *682:8 0
19 *4293:S *682:8 0.000482253
20 *4294:B *682:8 0
21 *4300:B *682:8 0.000336155
22 *4303:A *682:8 0.000168546
23 *4303:B *682:8 0
24 *4304:A *682:8 0
25 *4367:A *4383:B1 8.82603e-05
26 *4367:A *682:17 6.75302e-05
27 *4367:A *682:19 5.0715e-05
28 *4367:B *4383:B1 2.21765e-05
29 *4367:B *682:17 6.92705e-05
30 *4380:A1 *4380:B1 0.000432412
31 *4380:A1 *4381:A1 1.09551e-05
32 *4384:A2 *4383:B1 0.000172706
33 *4444:CLK *4383:B1 0
34 *4444:CLK *682:8 0.000311608
35 *4448:CLK *682:8 0
36 *4452:D *682:8 8.04463e-05
37 *4453:D *682:8 3.92275e-05
38 *4478:CLK *682:5 9.46346e-05
39 *293:46 *682:8 0
40 *368:10 *682:8 0
41 *421:20 *682:8 4.15008e-05
42 *421:43 *682:8 0.0001031
43 *424:34 *682:8 0.00039844
44 *429:16 *682:8 0.000151924
45 *429:22 *682:8 4.81548e-05
46 *429:26 *682:8 0
47 *482:8 *4383:B1 0.000156823
48 *482:23 *4383:B1 0.000161981
49 *680:30 *4383:B1 1.46079e-05
50 *680:30 *682:8 0
*RES
1 *4478:Q *682:5 12.191
2 *682:5 *682:7 4.5
3 *682:7 *682:8 81.1452
4 *682:8 *4293:A1 13.7491
5 *682:5 *682:17 3.52053
6 *682:17 *682:19 2.94181
7 *682:19 *4380:B1 14.4094
8 *682:19 *4381:A1 9.82786
9 *682:17 *4383:B1 25.4794
*END
*D_NET *683 0.00759471
*CONN
*I *4199:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4282:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4447:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4199:A1 0
2 *4282:A0 0.000297496
3 *4447:Q 0
4 *683:18 0.0011616
5 *683:17 0.00132453
6 *683:4 0.000460428
7 *683:18 *4199:A0 0.000252327
8 *4201:S *683:18 5.22654e-06
9 *4449:CLK *4282:A0 0.000424548
10 *4449:CLK *683:17 0.000256233
11 *4719:A *683:18 5.21927e-05
12 *282:14 *683:18 0.000345372
13 *282:21 *683:18 0.000219812
14 *288:40 *4282:A0 7.50872e-05
15 *293:46 *683:18 0.000212854
16 *368:10 *683:18 0.00226149
17 *421:43 *4282:A0 3.00073e-05
18 *660:27 *683:18 0.000215517
19 *682:8 *683:18 0
*RES
1 *4447:Q *683:4 9.24915
2 *683:4 *4282:A0 24.2715
3 *683:4 *683:17 7.44181
4 *683:17 *683:18 50.8318
5 *683:18 *4199:A1 13.7491
*END
*D_NET *684 0.00270386
*CONN
*I *4286:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4205:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4448:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4286:A0 0.000112164
2 *4205:A1 0.000258397
3 *4448:Q 0.000344667
4 *684:7 0.000715228
5 *4448:D *4205:A1 0.000101133
6 *4448:D *684:7 1.21461e-06
7 *279:10 *4286:A0 4.05377e-05
8 *279:16 *4205:A1 0.000573113
9 *279:16 *4286:A0 4.80339e-05
10 *280:14 *4205:A1 0.000253399
11 *280:14 *4286:A0 0.000255972
*RES
1 *4448:Q *684:7 18.3548
2 *684:7 *4205:A1 25.5134
3 *684:7 *4286:A0 18.4879
*END
*D_NET *685 0.00239463
*CONN
*I *4291:A2 I *D sky130_fd_sc_hd__o21a_1
*I *4202:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4449:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4291:A2 0.000220391
2 *4202:A1 0.00048313
3 *4449:Q 9.88275e-05
4 *685:8 0.000802348
5 *4291:A2 *819:11 6.08467e-05
6 io_oeb[13] *4202:A1 0.000113199
7 *4202:S *4202:A1 1.84293e-05
8 *4291:A1 *4291:A2 6.08467e-05
9 *4635:A *4291:A2 0.000136749
10 *4635:TE_B *4202:A1 9.34396e-06
11 *4635:TE_B *685:8 2.36494e-05
12 *4675:TE_B *4202:A1 3.0386e-05
13 *83:10 *4202:A1 0.000231897
14 *83:10 *685:8 3.95141e-05
15 *275:24 *4202:A1 0
16 *618:11 *685:8 6.50727e-05
*RES
1 *4449:Q *685:8 16.3045
2 *685:8 *4202:A1 26.2073
3 *685:8 *4291:A2 19.6569
*END
*D_NET *686 0.00103869
*CONN
*I *4201:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4293:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4450:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4201:A1 0.000151166
2 *4293:A0 0.000109123
3 *4450:Q 0
4 *686:5 0.000260289
5 *4201:A1 *4201:A0 8.19184e-05
6 *4201:S *4201:A1 6.64392e-05
7 *4293:S *4293:A0 1.2693e-05
8 *4294:B *4201:A1 0
9 *4294:B *4293:A0 0
10 *4295:A *4293:A0 0
11 *682:8 *4201:A1 0.000153225
12 *682:8 *4293:A0 0.000203833
*RES
1 *4450:Q *686:5 13.7491
2 *686:5 *4293:A0 17.6574
3 *686:5 *4201:A1 18.7989
*END
*D_NET *687 0.00217079
*CONN
*I *4353:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *4296:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4471:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4353:B1_N 0.00050605
2 *4296:A1 0
3 *4471:Q 0.000340835
4 *687:12 0.000846885
5 *4297:B *687:12 0.000114467
6 *4298:A *687:12 0.000224381
7 *4451:CLK *687:12 0
8 *4451:D *687:12 0
9 *424:31 *4353:B1_N 0
10 *424:31 *687:12 0
11 *469:29 *4353:B1_N 1.00846e-05
12 *471:21 *4353:B1_N 0.000128091
*RES
1 *4471:Q *687:12 23.4399
2 *687:12 *4296:A1 13.7491
3 *687:12 *4353:B1_N 26.9673
*END
*D_NET *688 0.00137557
*CONN
*I *4356:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *4299:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4472:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4356:B1_N 7.69619e-05
2 *4299:A1 0.000312258
3 *4472:Q 8.15925e-05
4 *688:7 0.000470812
5 *4299:A1 *4299:A0 6.50586e-05
6 *4299:S *4299:A1 3.58208e-05
7 *4300:B *4299:A1 0.00020502
8 *4452:CLK *688:7 2.85274e-05
9 *424:31 *4299:A1 6.36909e-05
10 *424:31 *4356:B1_N 3.58321e-05
11 *469:29 *4299:A1 0
12 *469:29 *4356:B1_N 0
*RES
1 *4472:Q *688:7 15.0271
2 *688:7 *4299:A1 22.263
3 *688:7 *4356:B1_N 15.9964
*END
*D_NET *689 0.00124009
*CONN
*I *4302:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4359:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *4473:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4302:A1 0.000101097
2 *4359:B1_N 0.000276184
3 *4473:Q 6.52964e-05
4 *689:6 0.000442577
5 *4303:B *4359:B1_N 0
6 *4304:A *4359:B1_N 0
7 *4304:A *689:6 0
8 *4448:CLK *4359:B1_N 0
9 *429:8 *4359:B1_N 0
10 *429:8 *689:6 0
11 *429:16 *4359:B1_N 0
12 *469:24 *4359:B1_N 1.65872e-05
13 *471:16 *4359:B1_N 0.000167076
14 *645:152 *4302:A1 0.000171273
*RES
1 *4473:Q *689:6 15.1659
2 *689:6 *4359:B1_N 20.4599
3 *689:6 *4302:A1 15.5817
*END
*D_NET *690 0.00333538
*CONN
*I *4362:B1_N I *D sky130_fd_sc_hd__a21boi_1
*I *4306:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4474:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4362:B1_N 2.86212e-05
2 *4306:A1 0.000693221
3 *4474:Q 0.000196291
4 *690:8 0.000918134
5 *4306:A1 *4306:A0 3.14978e-05
6 *4298:A *4306:A1 0
7 *4307:A *4306:A1 0
8 *4355:A1 *690:8 0
9 *4362:A1 *4362:B1_N 6.08467e-05
10 *4451:CLK *4306:A1 0
11 *4451:D *4306:A1 0
12 *245:11 *4306:A1 0.000997609
13 *245:11 *690:8 0.000130808
14 *373:13 *4306:A1 0.00026008
15 *645:31 *4306:A1 0
16 *645:75 *690:8 1.82679e-05
*RES
1 *4474:Q *690:8 17.6896
2 *690:8 *4306:A1 38.037
3 *690:8 *4362:B1_N 14.4725
*END
*D_NET *691 0.00264565
*CONN
*I *4199:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4296:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4451:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4199:A0 0.000361137
2 *4296:A0 0.000249723
3 *4451:Q 0
4 *691:4 0.00061086
5 *4296:A0 *751:18 7.23987e-05
6 *4296:A0 *773:11 0.000266846
7 *4201:S *4199:A0 8.92568e-06
8 *4296:S *4296:A0 0.000377245
9 *4297:B *4296:A0 0.000171288
10 *4719:A *4199:A0 4.61962e-05
11 *279:26 *4199:A0 0.000151741
12 *660:27 *4199:A0 3.9199e-05
13 *682:8 *4296:A0 3.77659e-05
14 *683:18 *4199:A0 0.000252327
*RES
1 *4451:Q *691:4 9.24915
2 *691:4 *4296:A0 27.4598
3 *691:4 *4199:A0 27.0389
*END
*D_NET *692 0.00237675
*CONN
*I *4205:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4299:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4452:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4205:A0 0.000165037
2 *4299:A0 0.00053518
3 *4452:Q 7.47734e-05
4 *692:7 0.00077499
5 *4299:A1 *4299:A0 6.50586e-05
6 *4299:S *4299:A0 0.000115934
7 *176:8 *4205:A0 0.000118253
8 *176:8 *4299:A0 5.19205e-05
9 *282:21 *692:7 0.000113968
10 *356:6 *4205:A0 3.46062e-05
11 *359:8 *4205:A0 0.000199836
12 *359:8 *4299:A0 0.000127194
*RES
1 *4452:Q *692:7 15.0271
2 *692:7 *4299:A0 26.7027
3 *692:7 *4205:A0 19.7659
*END
*D_NET *693 0.00295266
*CONN
*I *4202:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4302:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4453:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4202:A0 0.000252349
2 *4302:A0 0.000815327
3 *4453:Q 0
4 *693:4 0.00106768
5 *4202:S *4202:A0 6.08467e-05
6 *4303:B *4302:A0 0.000224381
7 *4453:D *4302:A0 4.05943e-06
8 *645:152 *4302:A0 0.00012123
9 *660:20 *4202:A0 0.000406794
*RES
1 *4453:Q *693:4 9.24915
2 *693:4 *4302:A0 24.4646
3 *693:4 *4202:A0 16.3625
*END
*D_NET *694 0.00323728
*CONN
*I *4201:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4306:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4454:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4201:A0 0.00046255
2 *4306:A0 0.000621081
3 *4454:Q 0
4 *694:4 0.00108363
5 *4201:A1 *4201:A0 8.19184e-05
6 *4201:S *4201:A0 1.37189e-05
7 *4294:B *4306:A0 7.14746e-05
8 *4295:A *4306:A0 2.99929e-05
9 *4306:A1 *4306:A0 3.14978e-05
10 *4306:S *4306:A0 0.000817453
11 *373:13 *4306:A0 2.39581e-05
*RES
1 *4454:Q *694:4 9.24915
2 *694:4 *4306:A0 31.1197
3 *694:4 *4201:A0 16.0732
*END
*D_NET *695 0.00855606
*CONN
*I *4234:A I *D sky130_fd_sc_hd__nand2_1
*I *4235:A1 I *D sky130_fd_sc_hd__o22a_1
*I *4194:A I *D sky130_fd_sc_hd__inv_2
*I *4138:A I *D sky130_fd_sc_hd__dlymetal6s2s_1
*I *4444:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4234:A 0.000232342
2 *4235:A1 1.09219e-05
3 *4194:A 4.17154e-05
4 *4138:A 0.000244445
5 *4444:Q 0
6 *695:25 0.00153407
7 *695:16 0.00185962
8 *695:4 0.00077154
9 *4235:A1 *4457:D 6.36477e-05
10 *695:25 *4233:A 0.000115672
11 *695:25 *4320:A1 0
12 *695:25 *696:17 6.92004e-05
13 *4190:B1 *695:16 0
14 *4190:B1 *695:25 0
15 *4191:B *695:16 0
16 *4230:A *695:25 2.95173e-05
17 *4234:B *4234:A 7.38001e-05
18 *4235:B1 *4234:A 0.00034351
19 *4235:B1 *695:25 1.77537e-06
20 *4235:B2 *4235:A1 6.36477e-05
21 *4276:A1 *695:25 0.000204576
22 *4280:A *695:25 0
23 *4446:D *695:16 0
24 *4704:A *695:25 9.04083e-05
25 *350:18 *4138:A 0.000216535
26 *350:18 *695:16 6.88629e-05
27 *381:8 *695:25 9.40059e-05
28 *381:14 *695:25 0.000217976
29 *381:20 *695:25 7.85799e-05
30 *382:8 *695:25 0
31 *643:8 *695:16 7.50872e-05
32 *643:21 *695:16 0.000637982
33 *643:21 *695:25 0.00141662
34 *646:94 *695:25 0
*RES
1 *4444:Q *695:4 9.24915
2 *695:4 *4138:A 15.398
3 *695:4 *695:16 19.6551
4 *695:16 *4194:A 14.4725
5 *695:16 *695:25 43.7725
6 *695:25 *4235:A1 14.4725
7 *695:25 *4234:A 21.0414
*END
*D_NET *696 0.0138105
*CONN
*I *4233:A I *D sky130_fd_sc_hd__nor2_1
*I *4354:B I *D sky130_fd_sc_hd__and3_1
*I *4192:A I *D sky130_fd_sc_hd__clkbuf_1
*I *4352:B I *D sky130_fd_sc_hd__or2_1
*I *4239:A I *D sky130_fd_sc_hd__or2_1
*I *4445:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4233:A 6.83836e-05
2 *4354:B 0.000145249
3 *4192:A 0
4 *4352:B 0.000438238
5 *4239:A 0
6 *4445:Q 0.000213491
7 *696:31 0.000827572
8 *696:26 0.000976681
9 *696:17 0.00118465
10 *696:7 0.00102443
11 *4352:B *751:22 0
12 *4238:A *696:17 0.000267571
13 *4238:A *696:26 0.000113968
14 *4281:A *4352:B 1.2693e-05
15 *4283:A *696:26 1.09738e-05
16 *4283:A *696:31 4.5539e-05
17 *4292:A *4352:B 4.87198e-05
18 *4348:B1 *4352:B 9.60366e-05
19 *4352:A *4352:B 0.000122378
20 *4360:B *696:26 0.000211398
21 *4360:C *696:31 5.66868e-06
22 *4366:B1 *696:17 6.50727e-05
23 *4378:A2 *696:26 5.92192e-05
24 *4386:B1 *4354:B 0.000111802
25 *4435:B *696:17 0.000137921
26 *4470:D *4352:B 0.000290629
27 *4704:A *696:17 9.75356e-05
28 *343:16 *696:17 7.65861e-05
29 *343:16 *696:26 7.77309e-06
30 *347:15 *4352:B 5.77352e-05
31 *348:14 *4352:B 6.50727e-05
32 *348:14 *696:31 0.000690467
33 *348:29 *696:26 0.000989992
34 *348:29 *696:31 8.62625e-06
35 *348:59 *696:17 4.40506e-05
36 *351:20 *696:26 1.71577e-05
37 *351:20 *696:31 8.21849e-06
38 *374:7 *4354:B 9.32983e-05
39 *375:5 *696:17 0.000122378
40 *375:11 *696:17 0.000164829
41 *378:20 *696:17 7.65861e-05
42 *378:20 *696:26 0
43 *381:20 *4233:A 0.000172144
44 *381:22 *4233:A 8.52802e-05
45 *381:22 *696:17 0.000302967
46 *387:27 *696:26 0.00126543
47 *469:14 *4352:B 9.65932e-05
48 *471:7 *4352:B 0.000171273
49 *475:9 *696:31 0.000145074
50 *479:15 *4352:B 0.000151265
51 *481:8 *696:17 6.50586e-05
52 *481:8 *696:26 0.000306136
53 *643:21 *696:17 0.000978284
54 *645:91 *4352:B 0.000139435
55 *645:105 *4352:B 7.86927e-05
56 *645:140 *4352:B 0.0001027
57 *667:10 *696:17 0.000513381
58 *667:23 *696:17 5.33331e-05
59 *695:25 *4233:A 0.000115672
60 *695:25 *696:17 6.92004e-05
*RES
1 *4445:Q *696:7 16.691
2 *696:7 *696:17 45.3375
3 *696:17 *4239:A 9.24915
4 *696:17 *696:26 29.6184
5 *696:26 *696:31 17.8576
6 *696:31 *4352:B 36.4826
7 *696:31 *4192:A 9.24915
8 *696:26 *4354:B 16.1364
9 *696:7 *4233:A 18.0727
*END
*D_NET *697 0.00259557
*CONN
*I *4187:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4239:B I *D sky130_fd_sc_hd__or2_1
*I *4228:A I *D sky130_fd_sc_hd__inv_2
*I *4446:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4187:A 0.000220771
2 *4239:B 0.000152054
3 *4228:A 4.65325e-05
4 *4446:Q 0
5 *697:10 0.000331725
6 *697:4 0.000353909
7 *4238:A *4239:B 0.000394058
8 *289:39 *4187:A 0.000167344
9 *289:39 *697:10 3.14978e-05
10 *348:33 *697:10 2.652e-05
11 *348:42 *4228:A 7.80757e-05
12 *348:42 *4239:B 0.000113968
13 *348:59 *697:10 1.55462e-05
14 *375:5 *4228:A 0.000210067
15 *375:5 *4239:B 2.15348e-05
16 *375:11 *4239:B 5.73392e-05
17 *481:8 *4239:B 0.000324151
18 *643:21 *697:10 5.04734e-05
*RES
1 *4446:Q *697:4 9.24915
2 *697:4 *697:10 11.9706
3 *697:10 *4228:A 11.6364
4 *697:10 *4239:B 16.6519
5 *697:4 *4187:A 13.3243
*END
*D_NET *698 0.00465323
*CONN
*I *4181:A2 I *D sky130_fd_sc_hd__a21oi_1
*I *4147:A I *D sky130_fd_sc_hd__or2b_1
*I *4311:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4458:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4181:A2 0.000468604
2 *4147:A 0
3 *4311:A0 0.000147017
4 *4458:Q 0.000111445
5 *698:19 0.00158322
6 *698:8 0.00137308
7 *4311:A0 *4311:A1 6.50586e-05
8 *4311:A0 *711:9 0.00013715
9 *698:8 *806:25 0
10 *4313:A *698:8 0
11 *4327:S *4311:A0 6.08467e-05
12 *4480:D *698:19 7.14221e-05
13 *291:12 *4181:A2 0.000148129
14 *307:11 *4181:A2 0
15 *442:19 *4311:A0 0.00027329
16 *442:19 *698:8 1.09738e-05
17 *648:5 *4181:A2 9.43365e-06
18 *648:9 *4181:A2 7.43803e-05
19 *648:9 *698:19 7.92757e-06
20 *648:27 *698:19 4.43826e-05
21 *651:7 *698:19 6.68703e-05
*RES
1 *4458:Q *698:8 20.9116
2 *698:8 *4311:A0 14.964
3 *698:8 *698:19 23.9203
4 *698:19 *4147:A 9.24915
5 *698:19 *4181:A2 26.6265
*END
*D_NET *699 0.0135921
*CONN
*I *4342:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4158:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4176:A2 I *D sky130_fd_sc_hd__a21o_1
*I *4156:A2 I *D sky130_fd_sc_hd__a2111o_1
*I *4468:Q O *D sky130_fd_sc_hd__dfxtp_2
*CAP
1 *4342:A0 0.000340054
2 *4158:A2 0
3 *4176:A2 0.000161788
4 *4156:A2 0
5 *4468:Q 0.000213657
6 *699:37 0.000420237
7 *699:25 0.000866065
8 *699:20 0.00193417
9 *699:12 0.00304845
10 *699:8 0.00227561
11 *699:25 *4155:B 1.41291e-05
12 *4149:A *699:12 0
13 *4155:A_N *699:20 0.000190089
14 *4155:A_N *699:25 0.000172987
15 *4156:A1 *699:25 2.57365e-05
16 *4156:D1 *699:25 0.000172938
17 *4176:A1 *4176:A2 0.000112203
18 *4176:B1 *4176:A2 4.80635e-06
19 *4176:B1 *699:25 4.56917e-05
20 *4176:B1 *699:37 0.000282572
21 *4190:A1 *699:12 0
22 *4390:B1 *699:12 0
23 *4391:B *699:12 0
24 *4391:B *699:20 0
25 *4394:A *699:12 2.22342e-05
26 *4396:A *699:12 0
27 *4396:B *699:12 0.000111901
28 *4396:C *699:12 0
29 *4399:A *699:12 0
30 *4399:B *699:12 0.00016904
31 *4399:C *699:12 0.000284078
32 *4399:D *699:12 0.000405629
33 *4408:B *699:20 0.000118858
34 *4412:B *699:20 0.000462756
35 *4424:A_N *699:20 0.000219686
36 *4424:C *699:20 0.000246318
37 *4427:A *699:20 4.34143e-05
38 *4427:B *699:20 9.40059e-05
39 *4481:D *699:12 0
40 *300:22 *699:12 8.51085e-05
41 *308:17 *4176:A2 2.99287e-05
42 *308:17 *699:25 2.64576e-05
43 *308:17 *699:37 0.000190194
44 *312:10 *699:25 6.7671e-06
45 *442:13 *699:8 0
46 *442:13 *699:12 0
47 *451:33 *699:20 7.84038e-05
48 *499:8 *699:20 0
49 *499:10 *699:20 0
50 *502:34 *699:12 0
51 *502:34 *699:20 0
52 *502:57 *699:20 0
53 *646:19 *4342:A0 0.000592551
54 *651:15 *699:12 0
55 *658:16 *699:20 0.000123582
*RES
1 *4468:Q *699:8 17.9655
2 *699:8 *699:12 46.0808
3 *699:12 *699:20 46.355
4 *699:20 *699:25 15.336
5 *699:25 *4156:A2 9.24915
6 *699:25 *699:37 8.00558
7 *699:37 *4176:A2 14.3129
8 *699:37 *4158:A2 9.24915
9 *699:8 *4342:A0 20.0186
*END
*D_NET *700 0.00211571
*CONN
*I *4153:B I *D sky130_fd_sc_hd__and2b_1
*I *4345:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4160:B I *D sky130_fd_sc_hd__or2_1
*I *4469:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4153:B 0.00015479
2 *4345:A0 0.000249278
3 *4160:B 0.000150689
4 *4469:Q 0
5 *700:9 0.000730505
6 *700:5 0.000485329
7 *4434:A1 *4153:B 3.64415e-05
8 *268:12 *4153:B 0
9 *268:12 *700:9 0
10 *438:30 *4153:B 0.000201734
11 *438:30 *4160:B 0
12 *451:27 *4160:B 0.000106949
*RES
1 *4469:Q *700:5 13.7491
2 *700:5 *700:9 9.96776
3 *700:9 *4160:B 24.2337
4 *700:9 *4345:A0 12.7456
5 *700:5 *4153:B 19.49
*END
*D_NET *701 0.00353355
*CONN
*I *4149:B_N I *D sky130_fd_sc_hd__or2b_1
*I *4148:A I *D sky130_fd_sc_hd__or2b_1
*I *4314:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4459:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4149:B_N 0
2 *4148:A 9.36038e-05
3 *4314:A0 0
4 *4459:Q 0.000268845
5 *701:17 0.0009556
6 *701:9 0.00113084
7 *4149:A *4148:A 7.50722e-05
8 *4190:A1 *4148:A 3.31882e-05
9 *4315:A *701:9 0
10 *4315:B *701:9 0.000118166
11 *4399:C *4148:A 0
12 *4400:A *701:17 0.000295161
13 *4401:C *701:17 6.78596e-05
14 *286:35 *701:9 0.000139403
15 *286:35 *701:17 0.000307586
16 *295:40 *4148:A 2.65904e-05
17 *295:40 *701:17 2.16355e-05
18 *441:35 *4148:A 0
*RES
1 *4459:Q *701:9 25.796
2 *701:9 *4314:A0 9.24915
3 *701:9 *701:17 22.3771
4 *701:17 *4148:A 20.9116
5 *701:17 *4149:B_N 9.24915
*END
*D_NET *702 0.00388003
*CONN
*I *4317:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4145:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4140:B I *D sky130_fd_sc_hd__and2b_1
*I *4460:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4317:A0 5.23324e-05
2 *4145:A_N 8.76038e-05
3 *4140:B 0.000280653
4 *4460:Q 0.000283094
5 *702:14 0.000992503
6 *702:12 0.000959673
7 *4140:B *4141:B 9.22013e-06
8 *4140:B *703:8 7.41203e-05
9 *702:12 *722:12 0.00012568
10 *702:14 *4320:A0 0.000132665
11 *702:14 *703:8 0.000172513
12 *4140:A_N *4140:B 6.23202e-05
13 *4143:B *4140:B 0.00039183
14 *4317:S *702:12 3.52976e-05
15 *4317:S *702:14 0.000147599
16 *4318:A *702:14 0
17 *4318:B *702:14 0
18 *4319:A *702:12 0
19 *4321:B *702:14 3.01634e-05
20 *4460:CLK *702:12 3.948e-05
21 *84:11 *4317:A0 3.28416e-06
22 *291:12 *702:12 0
23 *441:35 *4140:B 0
24 *441:35 *702:14 0
*RES
1 *4460:Q *702:12 20.5331
2 *702:12 *702:14 19.6878
3 *702:14 *4140:B 23.8862
4 *702:14 *4145:A_N 15.5817
5 *702:12 *4317:A0 14.4725
*END
*D_NET *703 0.00362096
*CONN
*I *4320:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4143:A_N I *D sky130_fd_sc_hd__and2b_1
*I *4141:B I *D sky130_fd_sc_hd__and2b_1
*I *4461:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4320:A0 0.000180386
2 *4143:A_N 0.000117801
3 *4141:B 0.000172829
4 *4461:Q 0.000173125
5 *703:8 0.000533832
6 *703:7 0.000596713
7 *4320:A0 *4320:A1 2.41274e-06
8 *4140:B *4141:B 9.22013e-06
9 *4140:B *703:8 7.41203e-05
10 *4143:B *4141:B 0.000511882
11 *4145:B *4141:B 0.000167062
12 *4145:B *703:8 0.00024873
13 *4151:A2 *4141:B 4.7294e-05
14 *4317:S *4320:A0 4.84944e-05
15 *291:12 *4320:A0 0
16 *291:12 *703:8 0
17 *300:15 *4141:B 0.000191541
18 *442:43 *4320:A0 0.000122098
19 *646:15 *703:7 0.000118245
20 *702:14 *4320:A0 0.000132665
21 *702:14 *703:8 0.000172513
*RES
1 *4461:Q *703:7 16.691
2 *703:7 *703:8 9.30653
3 *703:8 *4141:B 23.0557
4 *703:8 *4143:A_N 16.1364
5 *703:7 *4320:A0 19.6266
*END
*D_NET *704 0.00166393
*CONN
*I *4172:A I *D sky130_fd_sc_hd__or2b_1
*I *4324:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4166:B I *D sky130_fd_sc_hd__and2b_1
*I *4462:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4172:A 0.000142734
2 *4324:A0 0.00027634
3 *4166:B 0.000188235
4 *4462:Q 0
5 *704:6 0.000508849
6 *704:5 0.000187008
7 *4166:B *806:18 0
8 *4324:A0 *733:9 1.84293e-05
9 *4166:A_N *4166:B 5.16026e-05
10 *4166:A_N *4172:A 0.000205302
11 *4166:A_N *704:6 7.50872e-05
12 *4172:B_N *4172:A 1.03403e-05
13 *4324:S *4166:B 0
14 *4331:A *4166:B 0
15 *4331:A *4172:A 0
16 *4331:A *704:6 0
*RES
1 *4462:Q *704:5 13.7491
2 *704:5 *704:6 1.41674
3 *704:6 *4166:B 18.523
4 *704:6 *4324:A0 17.8002
5 *704:5 *4172:A 17.9655
*END
*D_NET *705 0.0049654
*CONN
*I *4327:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4167:A2 I *D sky130_fd_sc_hd__a2111o_1
*I *4169:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4463:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4327:A0 0.000439175
2 *4167:A2 2.7855e-05
3 *4169:B1 0.00030653
4 *4463:Q 0.000387066
5 *705:14 0.000582663
6 *705:8 0.00107452
7 *4169:B1 *707:21 3.46206e-05
8 *4169:B1 *806:18 0
9 *705:8 *707:21 0.000167047
10 *705:14 *707:21 0.000378301
11 *4167:D1 *4167:A2 6.08467e-05
12 *4169:B2 *4169:B1 0.000318697
13 *4173:D *4169:B1 0.000144546
14 *4173:D *705:14 0.000141001
15 *4405:C *705:8 0
16 *4409:A *705:8 3.31882e-05
17 *4409:A *705:14 0.000163011
18 *4411:A *705:8 2.99929e-05
19 *4484:CLK *705:8 4.55936e-05
20 *4484:D *705:8 1.60502e-06
21 *319:17 *4169:B1 4.18989e-05
22 *319:17 *705:14 0.000587242
*RES
1 *4463:Q *705:8 21.4325
2 *705:8 *705:14 13.9476
3 *705:14 *4169:B1 22.5496
4 *705:14 *4167:A2 14.4725
5 *705:8 *4327:A0 22.7916
*END
*D_NET *706 0.00232233
*CONN
*I *4330:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4164:B I *D sky130_fd_sc_hd__and2b_1
*I *4169:A2 I *D sky130_fd_sc_hd__o22a_1
*I *4464:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4330:A0 0.000258872
2 *4164:B 0
3 *4169:A2 0.000143062
4 *4464:Q 0.000135272
5 *706:9 0.000362426
6 *706:6 0.000613507
7 *4169:A2 *806:18 0
8 *4330:A0 *4330:A1 3.14978e-05
9 *4330:A0 *806:18 0
10 *706:6 *806:18 0
11 *4169:A1 *4169:A2 0.000320287
12 *4169:A1 *706:9 6.50586e-05
13 *4169:B2 *4169:A2 1.07248e-05
14 *4324:S *4330:A0 6.50727e-05
15 *4331:A *4330:A0 0
16 *4464:D *706:6 0
17 *320:8 *4169:A2 0.000155616
18 *320:8 *706:9 6.92705e-05
19 *451:36 *4330:A0 9.16621e-05
*RES
1 *4464:Q *706:6 16.8269
2 *706:6 *706:9 9.66022
3 *706:9 *4169:A2 24.6489
4 *706:9 *4164:B 9.24915
5 *706:6 *4330:A0 20.8779
*END
*D_NET *707 0.010859
*CONN
*I *4333:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4165:B I *D sky130_fd_sc_hd__and2b_1
*I *4171:B I *D sky130_fd_sc_hd__or2_1
*I *4465:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4333:A0 0
2 *4165:B 0
3 *4171:B 0.000120192
4 *4465:Q 0.000220687
5 *707:21 0.00105619
6 *707:16 0.00209576
7 *707:9 0.00138045
8 *707:9 *4333:A1 0.000125695
9 *707:16 *806:25 0.000511144
10 *707:21 *806:18 0.000770468
11 *707:21 *806:25 8.62625e-06
12 *4167:D1 *707:21 0.000101133
13 *4169:B1 *707:21 3.46206e-05
14 *4173:A_N *707:21 1.92336e-05
15 *4173:D *707:21 0.000322054
16 *4175:B1 *707:21 0.000167625
17 *4402:A *707:16 0
18 *4406:A *707:21 0.000349931
19 *4411:A *707:21 4.85353e-05
20 *4421:B *4171:B 0.00041745
21 *4459:CLK *707:16 0.000179286
22 *4480:CLK *707:16 0
23 *4482:D *707:16 0
24 *4483:CLK *707:16 0
25 *4483:D *707:16 0
26 *4484:D *707:21 0.000104731
27 *327:9 *4171:B 0.000619237
28 *327:9 *707:21 0.000161654
29 *329:10 *707:21 0.000309694
30 *348:42 *707:9 0.000118166
31 *348:42 *707:16 0.000122378
32 *348:43 *707:9 0
33 *470:22 *4171:B 9.22013e-06
34 *502:57 *4171:B 1.03986e-05
35 *646:24 *707:9 0
36 *646:24 *707:16 0.000553202
37 *646:41 *707:16 6.75302e-05
38 *657:28 *4171:B 0.000247526
39 *657:28 *707:21 6.08467e-05
40 *705:8 *707:21 0.000167047
41 *705:14 *707:21 0.000378301
*RES
1 *4465:Q *707:9 23.0201
2 *707:9 *707:16 40.2345
3 *707:16 *707:21 45.0822
4 *707:21 *4171:B 26.0747
5 *707:21 *4165:B 9.24915
6 *707:9 *4333:A0 9.24915
*END
*D_NET *708 0.00568795
*CONN
*I *4161:A I *D sky130_fd_sc_hd__or2b_1
*I *4155:B I *D sky130_fd_sc_hd__and2b_1
*I *4336:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4466:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4161:A 0.000168851
2 *4155:B 6.92028e-05
3 *4336:A0 0.000268819
4 *4466:Q 0.000167729
5 *708:17 0.000911942
6 *708:7 0.00111044
7 *4336:A0 *4336:A1 7.48633e-05
8 *4336:A0 *806:10 0
9 *4156:D1 *4155:B 0.000111708
10 *4162:D *4161:A 2.16355e-05
11 *4430:B *708:17 0.000398425
12 *4433:A *708:17 0.000123025
13 *4434:A2 *708:17 0.00017218
14 *4466:CLK *4336:A0 0
15 *4466:CLK *708:17 0
16 *4490:D *708:17 0.000149628
17 *291:12 *4336:A0 0
18 *291:12 *708:17 0
19 *451:9 *4336:A0 0.000175485
20 *451:18 *4336:A0 0.00015511
21 *528:18 *4161:A 9.49244e-05
22 *528:18 *708:17 0.000590325
23 *644:17 *4161:A 8.78277e-05
24 *644:17 *708:17 0.000821703
25 *644:30 *708:17 0
26 *699:25 *4155:B 1.41291e-05
*RES
1 *4466:Q *708:7 16.1364
2 *708:7 *4336:A0 21.9843
3 *708:7 *708:17 29.6539
4 *708:17 *4155:B 15.5817
5 *708:17 *4161:A 17.4137
*END
*D_NET *709 0.0031209
*CONN
*I *4339:A0 I *D sky130_fd_sc_hd__mux2_1
*I *4158:B1 I *D sky130_fd_sc_hd__o22a_1
*I *4154:B I *D sky130_fd_sc_hd__and2b_1
*I *4467:Q O *D sky130_fd_sc_hd__dfxtp_1
*CAP
1 *4339:A0 3.47724e-05
2 *4158:B1 0.000255233
3 *4154:B 5.64496e-05
4 *4467:Q 0.000285703
5 *709:11 0.000525674
6 *709:8 0.000534466
7 *4158:B2 *4158:B1 3.85154e-05
8 *4176:B1 *4158:B1 2.03506e-05
9 *4307:A *709:8 4.15008e-05
10 *4339:S *709:11 0.000152878
11 *4340:B *709:11 0.00011818
12 *4467:CLK *709:8 0.000222149
13 *308:8 *4158:B1 0
14 *316:11 *4339:A0 0
15 *438:8 *4339:A0 1.36691e-05
16 *438:8 *709:8 3.9739e-05
17 *451:27 *4339:A0 4.3116e-06
18 *451:27 *709:8 8.44967e-05
19 *451:33 *4154:B 0.000171273
20 *451:33 *709:11 0.000521534
*RES
1 *4467:Q *709:8 21.2904
2 *709:8 *709:11 11.8786
3 *709:11 *4154:B 11.0817
4 *709:11 *4158:B1 24.0552
5 *709:8 *4339:A0 14.7506
*END
*D_NET *710 0.04158
*CONN
*I *4048:A I *D sky130_fd_sc_hd__buf_2
*I *4017:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4003:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4079:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4708:X O *D sky130_fd_sc_hd__clkbuf_4
*CAP
1 *4048:A 4.78673e-05
2 *4017:A 0
3 *4003:A 0
4 *4079:A 0.000309756
5 *4708:X 9.51781e-05
6 *710:54 0.00191677
7 *710:53 0.00227512
8 *710:46 0.00196689
9 *710:39 0.00304426
10 *710:22 0.00171972
11 *710:17 0.00631756
12 *710:8 0.00251132
13 *710:7 0.00220156
14 *710:5 0.0061766
15 *4079:A *711:9 0.0014732
16 *710:22 *722:12 0
17 *710:54 *4455:D 0.000171907
18 *710:54 *4642:A 0
19 *710:54 *4669:A 0
20 *710:54 *4674:A 0
21 la1_data_out[1] *710:8 0
22 *4045:A *710:8 0.000658281
23 *4133:A *710:5 1.82679e-05
24 *4133:A *710:17 5.67857e-05
25 *4185:A2 *710:46 6.63489e-05
26 *4185:B1 *710:39 3.46353e-05
27 *4437:B *710:54 0
28 *4439:D *710:46 1.87611e-05
29 *4440:D *710:39 7.72256e-05
30 *4641:TE_B *710:54 3.58185e-05
31 *4669:TE_B *4048:A 0.000111708
32 *83:10 *710:46 0
33 *83:10 *710:54 0
34 *267:5 *710:39 0.000144695
35 *267:13 *710:39 7.48633e-05
36 *271:8 *710:46 0
37 *271:8 *710:53 0.000118166
38 *273:20 *710:46 0.00173047
39 *273:21 *710:39 0.000151404
40 *273:21 *710:46 1.75155e-06
41 *275:22 *710:54 0.00042597
42 *278:32 *710:8 0
43 *278:41 *710:54 1.23804e-05
44 *278:43 *710:54 0.0036029
45 *282:69 *710:8 0.00272251
46 *283:8 *4079:A 0.00011818
47 *284:18 *4079:A 0.000100053
48 *284:43 *710:8 0
49 *287:12 *710:8 0.000556019
50 *289:29 *710:39 0.000260374
51 *341:8 *710:39 6.50586e-05
52 *660:10 *710:54 0
53 *664:7 *710:39 0.000189678
*RES
1 *4708:X *710:5 10.5271
2 *710:5 *710:7 4.5
3 *710:7 *710:8 78.2384
4 *710:8 *4079:A 29.4468
5 *710:5 *710:17 88.3508
6 *710:17 *710:22 14.154
7 *710:22 *4003:A 9.24915
8 *710:22 *710:39 28.1883
9 *710:39 *710:46 36.3732
10 *710:46 *4017:A 9.24915
11 *710:46 *710:53 14.0971
12 *710:53 *710:54 69.5181
13 *710:54 *4048:A 15.0271
*END
*D_NET *711 0.0117858
*CONN
*I *4314:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4709:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4314:A1 0.000175255
2 *4709:X 3.62554e-05
3 *711:9 0.00455171
4 *711:8 0.00441271
5 *711:9 *4311:A1 0.000467711
6 *4079:A *711:9 0.0014732
7 *4311:A0 *711:9 0.00013715
8 *4313:A *4314:A1 3.25751e-05
9 *4315:A *4314:A1 0
10 *283:8 *711:9 0.000248346
11 *286:12 *711:8 3.00073e-05
12 *442:19 *4314:A1 0.000142207
13 *536:16 *711:8 7.86847e-05
*RES
1 *4709:X *711:8 19.6659
2 *711:8 *711:9 70.0488
3 *711:9 *4314:A1 23.8184
*END
*D_NET *712 0.000190185
*CONN
*I *4684:A I *D sky130_fd_sc_hd__ebufn_8
*I *4576:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4684:A 9.50927e-05
2 *4576:LO 9.50927e-05
3 *4684:TE_B *4684:A 0
4 *288:75 *4684:A 0
*RES
1 *4576:LO *4684:A 29.7455
*END
*D_NET *713 0.000255752
*CONN
*I *4685:A I *D sky130_fd_sc_hd__ebufn_8
*I *4577:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4685:A 6.24876e-05
2 *4577:LO 6.24876e-05
3 *45:8 *4685:A 0.000130777
4 *54:10 *4685:A 0
*RES
1 *4577:LO *4685:A 29.7455
*END
*D_NET *714 0.000704652
*CONN
*I *4686:A I *D sky130_fd_sc_hd__ebufn_8
*I *4578:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4686:A 0.000352326
2 *4578:LO 0.000352326
3 io_oeb[23] *4686:A 0
*RES
1 *4578:LO *4686:A 33.242
*END
*D_NET *715 0.000498103
*CONN
*I *4687:A I *D sky130_fd_sc_hd__ebufn_8
*I *4579:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4687:A 8.37468e-05
2 *4579:LO 8.37468e-05
3 io_oeb[24] *4687:A 0.00033061
*RES
1 *4579:LO *4687:A 21.9947
*END
*D_NET *716 0.000876597
*CONN
*I *4688:A I *D sky130_fd_sc_hd__ebufn_8
*I *4580:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4688:A 0.000374604
2 *4580:LO 0.000374604
3 io_oeb[33] *4688:A 7.86851e-05
4 *4688:TE_B *4688:A 4.8703e-05
5 *275:24 *4688:A 0
*RES
1 *4580:LO *4688:A 37.3945
*END
*D_NET *717 0.000471173
*CONN
*I *4689:A I *D sky130_fd_sc_hd__ebufn_8
*I *4581:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4689:A 0.000235586
2 *4581:LO 0.000235586
3 *83:10 *4689:A 0
4 *278:43 *4689:A 0
*RES
1 *4581:LO *4689:A 33.0676
*END
*D_NET *718 0.00042097
*CONN
*I *4690:A I *D sky130_fd_sc_hd__ebufn_8
*I *4582:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4690:A 0.000182015
2 *4582:LO 0.000182015
3 io_oeb[27] *4690:A 5.69404e-05
4 la1_data_out[22] *4690:A 0
*RES
1 *4582:LO *4690:A 32.4086
*END
*D_NET *719 0.000435545
*CONN
*I *4691:A I *D sky130_fd_sc_hd__ebufn_8
*I *4583:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4691:A 0.000101281
2 *4583:LO 0.000101281
3 *272:54 *4691:A 5.3697e-05
4 *289:71 *4691:A 0.000179286
*RES
1 *4583:LO *4691:A 31.2994
*END
*D_NET *720 0.00139727
*CONN
*I *4692:A I *D sky130_fd_sc_hd__ebufn_8
*I *4584:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4692:A 0.000409119
2 *4584:LO 0.000409119
3 *4692:TE_B *4692:A 9.75356e-05
4 *86:6 *4692:A 0.000116778
5 *272:10 *4692:A 0.000364723
*RES
1 *4584:LO *4692:A 39.2512
*END
*D_NET *721 0.00140678
*CONN
*I *4693:A I *D sky130_fd_sc_hd__ebufn_8
*I *4585:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4693:A 0.000587701
2 *4585:LO 0.000587701
3 *4693:A *4611:A 5.88662e-05
4 io_oeb[30] *4693:A 0
5 *287:19 *4693:A 0.000172513
*RES
1 *4585:LO *4693:A 42.9405
*END
*D_NET *722 0.0110587
*CONN
*I *4317:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4710:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4317:A1 0
2 *4710:X 0.00178182
3 *722:12 0.00357881
4 *722:11 0.00536063
5 *722:11 *4645:A 6.50727e-05
6 *722:12 *4681:A 0
7 *4004:A *722:12 0
8 *4128:A *722:12 0
9 *4460:D *722:12 0
10 *4626:TE_B *722:12 0
11 *4650:TE_B *722:11 0.000146702
12 *267:14 *722:12 0
13 *267:32 *722:12 0
14 *289:6 *722:12 0
15 *291:12 *722:12 0
16 *291:86 *722:12 0
17 *702:12 *722:12 0.00012568
18 *710:22 *722:12 0
*RES
1 *4710:X *722:11 47.3871
2 *722:11 *722:12 88.6197
3 *722:12 *4317:A1 13.7491
*END
*D_NET *723 0.000867873
*CONN
*I *4694:A I *D sky130_fd_sc_hd__ebufn_8
*I *4586:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4694:A 0.000410938
2 *4586:LO 0.000410938
3 *4694:A *4664:A 0
4 *4088:A *4694:A 0
5 *4664:TE_B *4694:A 0
6 *4694:TE_B *4694:A 4.59975e-05
*RES
1 *4586:LO *4694:A 36.3896
*END
*D_NET *724 0.000255752
*CONN
*I *4695:A I *D sky130_fd_sc_hd__ebufn_8
*I *4587:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4695:A 6.24876e-05
2 *4587:LO 6.24876e-05
3 *4695:TE_B *4695:A 0
4 *282:69 *4695:A 0.000130777
*RES
1 *4587:LO *4695:A 29.7455
*END
*D_NET *725 0.000888236
*CONN
*I *4696:A I *D sky130_fd_sc_hd__ebufn_8
*I *4588:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4696:A 0.000357386
2 *4588:LO 0.000357386
3 *81:8 *4696:A 0.000173465
*RES
1 *4588:LO *4696:A 37.9435
*END
*D_NET *726 0.000594627
*CONN
*I *4697:A I *D sky130_fd_sc_hd__ebufn_8
*I *4589:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4697:A 0.000208302
2 *4589:LO 0.000208302
3 *4697:A *4682:A 7.7321e-05
4 io_oeb[34] *4697:A 0.000100702
5 *107:11 *4697:A 0
*RES
1 *4589:LO *4697:A 33.8981
*END
*D_NET *727 0.000265378
*CONN
*I *4698:A I *D sky130_fd_sc_hd__ebufn_8
*I *4590:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4698:A 7.06104e-05
2 *4590:LO 7.06104e-05
3 *536:16 *4698:A 0.000124157
*RES
1 *4590:LO *4698:A 29.7455
*END
*D_NET *728 0.000897129
*CONN
*I *4699:A I *D sky130_fd_sc_hd__ebufn_8
*I *4591:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4699:A 0.000331206
2 *4591:LO 0.000331206
3 *4699:TE_B *4699:A 0.000150585
4 *536:10 *4699:A 9.71323e-06
5 *536:14 *4699:A 7.44184e-05
*RES
1 *4591:LO *4699:A 37.113
*END
*D_NET *729 0.000802717
*CONN
*I *4700:A I *D sky130_fd_sc_hd__ebufn_8
*I *4592:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4700:A 0.000212628
2 *4592:LO 0.000212628
3 *536:16 *4700:A 0.000377461
*RES
1 *4592:LO *4700:A 34.6215
*END
*D_NET *730 0.00138172
*CONN
*I *4456:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4706:X O *D sky130_fd_sc_hd__clkdlybuf4s25_1
*CAP
1 *4456:D 0.000503448
2 *4706:X 0.000503448
3 *4456:D *4455:D 4.15008e-05
4 *4029:A *4456:D 0.000143875
5 *4456:CLK *4456:D 0.000106621
6 *83:10 *4456:D 8.28236e-05
*RES
1 *4706:X *4456:D 38.276
*END
*D_NET *731 0.0048727
*CONN
*I *4457:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4707:X O *D sky130_fd_sc_hd__clkdlybuf4s50_1
*CAP
1 *4457:D 0.001194
2 *4707:X 0.000637354
3 *731:11 0.00183135
4 *4235:A1 *4457:D 6.36477e-05
5 *4235:A2 *4457:D 0.00036013
6 *4235:B2 *4457:D 0.000278112
7 *4438:CLK *731:11 4.73966e-05
8 *4438:D *731:11 6.54102e-05
9 *4457:CLK *4457:D 6.54102e-05
10 *273:38 *731:11 0
11 *275:12 *731:11 3.58457e-05
12 *378:8 *4457:D 4.58003e-05
13 *646:130 *4457:D 0.000248236
*RES
1 *4707:X *731:11 33.4452
2 *731:11 *4457:D 39.6137
*END
*D_NET *732 0.0085892
*CONN
*I *4320:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4711:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4320:A1 0.000556494
2 *4711:X 0
3 *732:5 0.00410761
4 *732:4 0.00355112
5 *4280:A *4320:A1 0.000294241
6 *4320:A0 *4320:A1 2.41274e-06
7 *646:8 *4320:A1 7.7321e-05
8 *695:25 *4320:A1 0
*RES
1 *4711:X *732:4 9.24915
2 *732:4 *732:5 48.9739
3 *732:5 *4320:A1 31.9151
*END
*D_NET *733 0.0179652
*CONN
*I *4324:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4712:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4324:A1 0
2 *4712:X 0
3 *733:9 0.00156935
4 *733:6 0.0089588
5 *733:5 0.00738945
6 *733:6 *735:6 0
7 *4324:A0 *733:9 1.84293e-05
8 *4325:B *733:9 1.84293e-05
9 *4714:A *733:6 1.07248e-05
*RES
1 *4712:X *733:5 13.7491
2 *733:5 *733:6 182.051
3 *733:6 *733:9 41.8272
4 *733:9 *4324:A1 9.24915
*END
*D_NET *734 0.00935841
*CONN
*I *4327:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4713:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4327:A1 0.000278593
2 *4713:X 0
3 *734:5 0.00405693
4 *734:4 0.00377834
5 *282:54 *734:5 0.00061665
6 *282:60 *734:5 0.000627904
7 *644:85 *4327:A1 0
8 *654:8 *4327:A1 0
*RES
1 *4713:X *734:4 9.24915
2 *734:4 *734:5 61.1752
3 *734:5 *4327:A1 25.0642
*END
*D_NET *735 0.0196692
*CONN
*I *4330:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4714:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4330:A1 0.00230558
2 *4714:X 0
3 *735:6 0.0098154
4 *735:5 0.00750982
5 *735:6 *4630:A 0
6 *4330:A0 *4330:A1 3.14978e-05
7 *4331:B *4330:A1 6.91561e-06
8 *4630:TE_B *735:6 0
9 *733:6 *735:6 0
*RES
1 *4714:X *735:5 13.7491
2 *735:5 *735:6 187.45
3 *735:6 *4330:A1 47.1942
*END
*D_NET *736 0.0110023
*CONN
*I *4333:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4715:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4333:A1 0.000811556
2 *4715:X 0
3 *736:5 0.00535413
4 *736:4 0.00454257
5 *4333:A1 *806:31 9.0014e-05
6 *4465:CLK *4333:A1 5.19968e-05
7 *4465:D *4333:A1 1.87611e-05
8 *348:43 *4333:A1 7.56859e-06
9 *707:9 *4333:A1 0.000125695
*RES
1 *4715:X *736:4 9.24915
2 *736:4 *736:5 65.3347
3 *736:5 *4333:A1 37.7225
*END
*D_NET *737 0.000539752
*CONN
*I *4593:A I *D sky130_fd_sc_hd__ebufn_8
*I *4493:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4593:A 0.000204416
2 *4493:LO 0.000204416
3 *269:28 *4593:A 0.00013092
*RES
1 *4493:LO *4593:A 33.0676
*END
*D_NET *738 0.000302725
*CONN
*I *4594:A I *D sky130_fd_sc_hd__ebufn_8
*I *4494:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4594:A 0.000151363
2 *4494:LO 0.000151363
3 *4133:A *4594:A 0
*RES
1 *4494:LO *4594:A 30.4689
*END
*D_NET *739 0.000568108
*CONN
*I *4595:A I *D sky130_fd_sc_hd__ebufn_8
*I *4495:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4595:A 0.000145185
2 *4495:LO 0.000145185
3 *4595:A *806:10 2.21765e-05
4 *4009:A *4595:A 0
5 *4595:TE_B *4595:A 8.84861e-05
6 *276:45 *4595:A 0.000167076
*RES
1 *4495:LO *4595:A 32.4086
*END
*D_NET *740 0.00157113
*CONN
*I *4455:D I *D sky130_fd_sc_hd__dfxtp_1
*I *4716:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4455:D 0.000477095
2 *4716:X 0.000477095
3 *4456:D *4455:D 4.15008e-05
4 *4716:A *4455:D 5.25197e-05
5 *83:10 *4455:D 0
6 *271:23 *4455:D 0.000280451
7 *278:43 *4455:D 7.05606e-05
8 *710:54 *4455:D 0.000171907
*RES
1 *4716:X *4455:D 43.1229
*END
*D_NET *741 0.000610966
*CONN
*I *4596:A I *D sky130_fd_sc_hd__ebufn_8
*I *4496:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4596:A 0.000292717
2 *4496:LO 0.000292717
3 *292:18 *4596:A 0
4 *536:10 *4596:A 2.55314e-05
*RES
1 *4496:LO *4596:A 33.791
*END
*D_NET *742 0.000392821
*CONN
*I *4597:A I *D sky130_fd_sc_hd__ebufn_8
*I *4497:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4597:A 0.000121386
2 *4497:LO 0.000121386
3 *272:54 *4597:A 2.95039e-05
4 *289:71 *4597:A 0.000120544
*RES
1 *4497:LO *4597:A 30.4689
*END
*D_NET *743 0.000243068
*CONN
*I *4598:A I *D sky130_fd_sc_hd__ebufn_8
*I *4498:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4598:A 0.000121534
2 *4498:LO 0.000121534
*RES
1 *4498:LO *4598:A 21.4401
*END
*D_NET *744 0.00206278
*CONN
*I *4599:A I *D sky130_fd_sc_hd__ebufn_8
*I *4499:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4599:A 0.000368492
2 *4499:LO 0.000368492
3 *4599:TE_B *4599:A 5.53789e-05
4 *276:18 *4599:A 0.000130292
5 *286:48 *4599:A 0.00090976
6 *289:56 *4599:A 0.000230361
*RES
1 *4499:LO *4599:A 43.757
*END
*D_NET *745 0.000261168
*CONN
*I *4600:A I *D sky130_fd_sc_hd__ebufn_8
*I *4500:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4600:A 0.000130584
2 *4500:LO 0.000130584
*RES
1 *4500:LO *4600:A 21.4401
*END
*D_NET *746 0.000873212
*CONN
*I *4601:A I *D sky130_fd_sc_hd__ebufn_8
*I *4501:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4601:A 0.00038323
2 *4501:LO 0.00038323
3 *83:10 *4601:A 3.90891e-05
4 *278:43 *4601:A 0
5 *291:41 *4601:A 6.76624e-05
*RES
1 *4501:LO *4601:A 34.3512
*END
*D_NET *747 0.000254898
*CONN
*I *4602:A I *D sky130_fd_sc_hd__ebufn_8
*I *4502:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4602:A 0.000127449
2 *4502:LO 0.000127449
*RES
1 *4502:LO *4602:A 21.4401
*END
*D_NET *748 0.00073485
*CONN
*I *4603:A I *D sky130_fd_sc_hd__ebufn_8
*I *4503:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4603:A 0.000252748
2 *4503:LO 0.000252748
3 la1_data_out[10] *4603:A 0.000130777
4 *4603:TE_B *4603:A 4.31703e-05
5 *281:11 *4603:A 5.54078e-05
*RES
1 *4503:LO *4603:A 34.3512
*END
*D_NET *749 0.00211554
*CONN
*I *4604:A I *D sky130_fd_sc_hd__ebufn_8
*I *4504:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4604:A 0.000640979
2 *4504:LO 0.000640979
3 *4604:A *795:10 0
4 *4126:A *4604:A 5.87891e-05
5 *285:15 *4604:A 0.000696534
6 *285:23 *4604:A 6.78364e-06
7 *289:81 *4604:A 7.14746e-05
*RES
1 *4504:LO *4604:A 39.3426
*END
*D_NET *750 0.000856677
*CONN
*I *4605:A I *D sky130_fd_sc_hd__ebufn_8
*I *4505:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4605:A 0.000398905
2 *4505:LO 0.000398905
3 *4605:TE_B *4605:A 5.88662e-05
4 *278:43 *4605:A 0
*RES
1 *4505:LO *4605:A 37.113
*END
*D_NET *751 0.01437
*CONN
*I *4225:A I *D sky130_fd_sc_hd__inv_2
*I *4193:A I *D sky130_fd_sc_hd__buf_2
*I *4137:A I *D sky130_fd_sc_hd__buf_2
*I *4309:A I *D sky130_fd_sc_hd__clkbuf_2
*I *4388:B1 I *D sky130_fd_sc_hd__a21oi_1
*I *4717:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4225:A 0
2 *4193:A 0.000130451
3 *4137:A 7.54784e-05
4 *4309:A 0.000190941
5 *4388:B1 0.000288707
6 *4717:X 0.00124101
7 *751:44 0.000338366
8 *751:27 0.00157484
9 *751:22 0.00209661
10 *751:19 0.00100402
11 *751:18 0.00162155
12 *751:11 0.00272752
13 *751:11 *4658:A 5.481e-05
14 *751:18 *773:11 4.73331e-05
15 io_out[33] *751:11 7.08723e-06
16 *4154:A_N *751:18 0
17 *4177:A1 *751:18 0.000153225
18 *4178:B1 *751:18 0
19 *4178:B1 *751:22 4.47578e-05
20 *4195:A1 *751:27 0.000457075
21 *4195:B1 *751:27 2.74378e-05
22 *4195:C1 *4193:A 1.77537e-06
23 *4195:C1 *751:27 9.0685e-05
24 *4195:C1 *751:44 6.36773e-05
25 *4215:A2 *751:11 2.77625e-06
26 *4215:A2 *751:18 2.41274e-06
27 *4215:A3 *751:11 5.18123e-05
28 *4215:B1 *751:11 1.4091e-06
29 *4215:B2 *751:11 3.82228e-05
30 *4296:A0 *751:18 7.23987e-05
31 *4296:S *751:18 0.000228796
32 *4352:B *751:22 0
33 *4389:A *4388:B1 6.08467e-05
34 *4428:B *4388:B1 2.95757e-05
35 *4474:D *751:22 0
36 *4719:A *751:18 2.65667e-05
37 *286:48 *751:11 1.40496e-05
38 *289:56 *751:11 7.50872e-05
39 *293:35 *4137:A 3.6455e-05
40 *294:16 *4309:A 0
41 *294:18 *4309:A 0
42 *294:18 *4388:B1 0
43 *294:20 *4388:B1 0
44 *307:11 *4309:A 0
45 *307:11 *751:27 4.61967e-05
46 *329:12 *4309:A 0
47 *329:12 *4388:B1 0
48 *330:5 *751:27 0.000205006
49 *348:14 *4193:A 0.000113968
50 *349:10 *4193:A 4.40506e-05
51 *368:7 *751:18 0.000364356
52 *372:9 *751:18 0
53 *438:8 *751:18 9.2771e-05
54 *438:47 *751:18 8.33404e-05
55 *438:47 *751:22 0
56 *470:10 *4388:B1 0
57 *470:17 *4388:B1 0.000110161
58 *498:5 *4388:B1 4.51026e-05
59 *643:8 *4193:A 6.92004e-05
60 *643:8 *751:22 0.000203029
61 *643:8 *751:44 0.000115067
62 *645:8 *751:22 0
63 *645:91 *751:22 0
64 *645:105 *751:22 0
65 *645:105 *751:44 0
*RES
1 *4717:X *751:11 36.4969
2 *751:11 *751:18 47.1754
3 *751:18 *751:19 2.94181
4 *751:19 *751:22 26.6794
5 *751:22 *751:27 26.3615
6 *751:27 *4388:B1 21.3666
7 *751:27 *4309:A 18.4879
8 *751:22 *751:44 4.73876
9 *751:44 *4137:A 15.5817
10 *751:44 *4193:A 18.1049
11 *751:19 *4225:A 9.24915
*END
*D_NET *752 0.000319542
*CONN
*I *4606:A I *D sky130_fd_sc_hd__ebufn_8
*I *4506:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4606:A 0.000132082
2 *4506:LO 0.000132082
3 *4606:TE_B *4606:A 5.53789e-05
*RES
1 *4506:LO *4606:A 30.4689
*END
*D_NET *753 0.000243068
*CONN
*I *4607:A I *D sky130_fd_sc_hd__ebufn_8
*I *4507:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4607:A 0.000121534
2 *4507:LO 0.000121534
*RES
1 *4507:LO *4607:A 21.4401
*END
*D_NET *754 0.000859379
*CONN
*I *4608:A I *D sky130_fd_sc_hd__ebufn_8
*I *4508:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4608:A 0.000172378
2 *4508:LO 0.000172378
3 *4608:TE_B *4608:A 0.000147308
4 *45:8 *4608:A 0.000179271
5 *273:55 *4608:A 0.000188044
*RES
1 *4508:LO *4608:A 35.1817
*END
*D_NET *755 0.00271662
*CONN
*I *4609:A I *D sky130_fd_sc_hd__ebufn_8
*I *4509:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4609:A 0
2 *4509:LO 0.000485775
3 *755:12 0.000485775
4 io_oeb[12] *755:12 0.000308302
5 la1_data_out[30] *755:12 2.37478e-05
6 *4609:TE_B *755:12 0.000131202
7 *286:48 *755:12 0.000873696
8 *289:56 *755:12 0.000408123
*RES
1 *4509:LO *755:12 42.1628
2 *755:12 *4609:A 9.24915
*END
*D_NET *756 0.000304091
*CONN
*I *4610:A I *D sky130_fd_sc_hd__ebufn_8
*I *4510:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4610:A 0.000132501
2 *4510:LO 0.000132501
3 *83:10 *4610:A 3.90891e-05
4 *278:43 *4610:A 0
*RES
1 *4510:LO *4610:A 30.4689
*END
*D_NET *757 0.000501154
*CONN
*I *4611:A I *D sky130_fd_sc_hd__ebufn_8
*I *4511:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4611:A 0.000191711
2 *4511:LO 0.000191711
3 io_oeb[30] *4611:A 5.88662e-05
4 *4693:A *4611:A 5.88662e-05
5 *287:19 *4611:A 0
*RES
1 *4511:LO *4611:A 33.0676
*END
*D_NET *758 0.000629087
*CONN
*I *4612:A I *D sky130_fd_sc_hd__ebufn_8
*I *4512:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4612:A 0.000223798
2 *4512:LO 0.000223798
3 *4032:A *4612:A 0
4 *4612:TE_B *4612:A 5.53789e-05
5 *274:43 *4612:A 1.02383e-05
6 *288:65 *4612:A 0.000115874
*RES
1 *4512:LO *4612:A 34.6215
*END
*D_NET *759 0.000238109
*CONN
*I *4613:A I *D sky130_fd_sc_hd__ebufn_8
*I *4513:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4613:A 6.68786e-05
2 *4513:LO 6.68786e-05
3 *4613:TE_B *4613:A 5.21759e-05
4 *287:52 *4613:A 5.21759e-05
*RES
1 *4513:LO *4613:A 29.7455
*END
*D_NET *760 0.00124376
*CONN
*I *4614:A I *D sky130_fd_sc_hd__ebufn_8
*I *4514:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4614:A 0.000355888
2 *4514:LO 0.000355888
3 la1_data_out[9] *4614:A 0.000505419
4 *4051:A *4614:A 0
5 *4058:A *4614:A 0
6 *4614:TE_B *4614:A 2.65667e-05
7 *4624:TE_B *4614:A 0
8 *279:72 *4614:A 0
*RES
1 *4514:LO *4614:A 38.2222
*END
*D_NET *761 0.000992793
*CONN
*I *4615:A I *D sky130_fd_sc_hd__ebufn_8
*I *4515:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4615:A 0.000245851
2 *4515:LO 0.000245851
3 la1_data_out[22] *4615:A 0
4 *4039:A *4615:A 3.31882e-05
5 *4615:TE_B *4615:A 4.12533e-05
6 *285:40 *4615:A 0.00042665
*RES
1 *4515:LO *4615:A 35.5969
*END
*D_NET *762 0.00403144
*CONN
*I *4336:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4718:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4336:A1 0.000106597
2 *4718:X 0
3 *762:8 0.00193789
4 *762:5 0.0018313
5 *762:8 *806:8 0
6 *762:8 *806:10 0
7 la1_data_out[11] *762:8 0
8 *4336:A0 *4336:A1 7.48633e-05
9 *291:12 *762:8 0
10 *597:8 *762:8 8.07939e-05
*RES
1 *4718:X *762:5 13.7491
2 *762:5 *762:8 49.103
3 *762:8 *4336:A1 11.0817
*END
*D_NET *763 0.000492431
*CONN
*I *4616:A I *D sky130_fd_sc_hd__ebufn_8
*I *4516:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4616:A 9.19122e-05
2 *4516:LO 9.19122e-05
3 *4672:TE_B *4616:A 0.000115615
4 *276:49 *4616:A 0.000192991
*RES
1 *4516:LO *4616:A 23.1039
*END
*D_NET *764 0.000636083
*CONN
*I *4617:A I *D sky130_fd_sc_hd__ebufn_8
*I *4517:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4617:A 0.000193065
2 *4517:LO 0.000193065
3 *4617:TE_B *4617:A 5.53789e-05
4 *288:65 *4617:A 0.000115874
5 *289:56 *4617:A 7.86987e-05
*RES
1 *4517:LO *4617:A 34.6215
*END
*D_NET *765 0.000369371
*CONN
*I *4618:A I *D sky130_fd_sc_hd__ebufn_8
*I *4518:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4618:A 0.000159243
2 *4518:LO 0.000159243
3 *4618:TE_B *4618:A 4.12533e-05
4 *292:25 *4618:A 9.6321e-06
*RES
1 *4518:LO *4618:A 31.2994
*END
*D_NET *766 0.00232764
*CONN
*I *4619:A I *D sky130_fd_sc_hd__ebufn_8
*I *4519:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4619:A 0.000330994
2 *4519:LO 0.000330994
3 *4679:TE_B *4619:A 0.000101133
4 *286:48 *4619:A 0.000957651
5 *289:60 *4619:A 0.000198084
6 *289:71 *4619:A 0.000408782
*RES
1 *4519:LO *4619:A 45.1421
*END
*D_NET *767 0.000367555
*CONN
*I *4620:A I *D sky130_fd_sc_hd__ebufn_8
*I *4520:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4620:A 0.000150106
2 *4520:LO 0.000150106
3 *4620:TE_B *4620:A 3.14978e-05
4 *287:19 *4620:A 3.58457e-05
*RES
1 *4520:LO *4620:A 31.5781
*END
*D_NET *768 0.000861102
*CONN
*I *4621:A I *D sky130_fd_sc_hd__ebufn_8
*I *4521:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4621:A 0.000430551
2 *4521:LO 0.000430551
3 *275:24 *4621:A 0
*RES
1 *4521:LO *4621:A 34.3512
*END
*D_NET *769 0.00040824
*CONN
*I *4622:A I *D sky130_fd_sc_hd__ebufn_8
*I *4522:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4622:A 0.000104096
2 *4522:LO 0.000104096
3 io_oeb[22] *4622:A 0.000130777
4 la1_data_out[29] *4622:A 0
5 *80:9 *4622:A 6.92705e-05
*RES
1 *4522:LO *4622:A 30.4689
*END
*D_NET *770 0.000322978
*CONN
*I *4623:A I *D sky130_fd_sc_hd__ebufn_8
*I *4523:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4623:A 0.000133019
2 *4523:LO 0.000133019
3 *83:10 *4623:A 5.69404e-05
4 *275:24 *4623:A 0
*RES
1 *4523:LO *4623:A 31.2994
*END
*D_NET *771 0.000831083
*CONN
*I *4624:A I *D sky130_fd_sc_hd__ebufn_8
*I *4524:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4624:A 0.000209655
2 *4524:LO 0.000209655
3 io_out[17] *4624:A 5.04829e-06
4 io_out[6] *4624:A 6.50586e-05
5 la1_data_out[12] *4624:A 0
6 la1_data_out[9] *4624:A 2.39535e-05
7 *86:6 *4624:A 0.000317713
*RES
1 *4524:LO *4624:A 34.6215
*END
*D_NET *772 0.00103857
*CONN
*I *4625:A I *D sky130_fd_sc_hd__ebufn_8
*I *4525:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4625:A 0.000304819
2 *4525:LO 0.000304819
3 *4625:TE_B *4625:A 5.53934e-05
4 *279:75 *4625:A 0.000373543
*RES
1 *4525:LO *4625:A 33.7966
*END
*D_NET *773 0.00415699
*CONN
*I *4339:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4719:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4339:A1 0
2 *4719:X 0.00163293
3 *773:11 0.00163293
4 *4296:A0 *773:11 0.000266846
5 *4339:S *773:11 1.84293e-05
6 *4451:CLK *773:11 5.82695e-05
7 *4451:D *773:11 0.00041045
8 *429:26 *773:11 7.50872e-05
9 *682:8 *773:11 1.47102e-05
10 *751:18 *773:11 4.73331e-05
*RES
1 *4719:X *773:11 43.8514
2 *773:11 *4339:A1 9.24915
*END
*D_NET *774 0.000343503
*CONN
*I *4626:A I *D sky130_fd_sc_hd__ebufn_8
*I *4526:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4626:A 8.03174e-05
2 *4526:LO 8.03174e-05
3 io_out[1] *4626:A 0.000182869
*RES
1 *4526:LO *4626:A 30.576
*END
*D_NET *775 0.000626102
*CONN
*I *4627:A I *D sky130_fd_sc_hd__ebufn_8
*I *4527:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4627:A 0.000278416
2 *4527:LO 0.000278416
3 io_out[2] *4627:A 0
4 la1_data_out[29] *4627:A 0
5 *80:9 *4627:A 6.92705e-05
*RES
1 *4527:LO *4627:A 33.791
*END
*D_NET *776 0.00161327
*CONN
*I *4628:A I *D sky130_fd_sc_hd__ebufn_8
*I *4528:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4628:A 0.000806635
2 *4528:LO 0.000806635
3 *284:43 *4628:A 0
*RES
1 *4528:LO *4628:A 41.0008
*END
*D_NET *777 0.00240613
*CONN
*I *4629:A I *D sky130_fd_sc_hd__ebufn_8
*I *4529:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4629:A 0.000621089
2 *4529:LO 0.000621089
3 *86:6 *4629:A 0.00116396
4 *272:38 *4629:A 0
*RES
1 *4529:LO *4629:A 48.3248
*END
*D_NET *778 0.000243784
*CONN
*I *4630:A I *D sky130_fd_sc_hd__ebufn_8
*I *4530:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4630:A 0.000121892
2 *4530:LO 0.000121892
3 io_out[5] *4630:A 0
4 *735:6 *4630:A 0
*RES
1 *4530:LO *4630:A 30.576
*END
*D_NET *779 0.000506317
*CONN
*I *4631:A I *D sky130_fd_sc_hd__ebufn_8
*I *4531:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4631:A 8.57549e-05
2 *4531:LO 8.57549e-05
3 io_out[6] *4631:A 0.000334808
*RES
1 *4531:LO *4631:A 21.9947
*END
*D_NET *780 0.00194149
*CONN
*I *4632:A I *D sky130_fd_sc_hd__ebufn_8
*I *4532:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4632:A 0.000924317
2 *4532:LO 0.000924317
3 *4632:TE_B *4632:A 4.26859e-05
4 *45:8 *4632:A 0
5 *287:26 *4632:A 5.01715e-05
*RES
1 *4532:LO *4632:A 43.2192
*END
*D_NET *781 0.000873814
*CONN
*I *4633:A I *D sky130_fd_sc_hd__ebufn_8
*I *4533:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4633:A 0.000274198
2 *4533:LO 0.000274198
3 io_out[28] *4633:A 0
4 *4633:TE_B *4633:A 0.000111246
5 *276:45 *4633:A 0.000214173
*RES
1 *4533:LO *4633:A 35.8997
*END
*D_NET *782 0.000379067
*CONN
*I *4642:A I *D sky130_fd_sc_hd__ebufn_8
*I *4534:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4642:A 0.000128492
2 *4534:LO 0.000128492
3 *4642:A *4674:A 0.000122083
4 *710:54 *4642:A 0
*RES
1 *4534:LO *4642:A 30.4689
*END
*D_NET *783 0.000465071
*CONN
*I *4643:A I *D sky130_fd_sc_hd__ebufn_8
*I *4535:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4643:A 0.000106385
2 *4535:LO 0.000106385
3 *4643:TE_B *4643:A 0.000131617
4 *289:71 *4643:A 0.000120685
*RES
1 *4535:LO *4643:A 31.0235
*END
*D_NET *784 0.0118636
*CONN
*I *4342:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4720:X O *D sky130_fd_sc_hd__clkbuf_1
*CAP
1 *4342:A1 0.000110375
2 *4720:X 0
3 *784:5 0.00552099
4 *784:4 0.00541062
5 *4342:A1 *806:31 0.000404621
6 *4343:A *4342:A1 3.74593e-05
7 *4343:B *4342:A1 7.50722e-05
8 *4468:CLK *784:5 0.000134849
9 *646:19 *4342:A1 0.000169588
*RES
1 *4720:X *784:4 9.24915
2 *784:4 *784:5 78.3679
3 *784:5 *4342:A1 24.5474
*END
*D_NET *785 0.000444557
*CONN
*I *4644:A I *D sky130_fd_sc_hd__ebufn_8
*I *4536:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4644:A 0.00013593
2 *4536:LO 0.00013593
3 *536:16 *4644:A 0.000172696
*RES
1 *4536:LO *4644:A 31.2994
*END
*D_NET *786 0.000418577
*CONN
*I *4645:A I *D sky130_fd_sc_hd__ebufn_8
*I *4537:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4645:A 0.000113905
2 *4537:LO 0.000113905
3 *4645:A *4665:A 0.000125695
4 io_out[20] *4645:A 0
5 *722:11 *4645:A 6.50727e-05
*RES
1 *4537:LO *4645:A 30.4689
*END
*D_NET *787 0.000392082
*CONN
*I *4646:A I *D sky130_fd_sc_hd__ebufn_8
*I *4538:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4646:A 0.00013628
2 *4538:LO 0.00013628
3 *536:16 *4646:A 0.000119523
*RES
1 *4538:LO *4646:A 30.4689
*END
*D_NET *788 0.000558869
*CONN
*I *4647:A I *D sky130_fd_sc_hd__ebufn_8
*I *4539:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4647:A 0.0001848
2 *4539:LO 0.0001848
3 *285:23 *4647:A 9.46346e-05
4 *546:8 *4647:A 9.46346e-05
*RES
1 *4539:LO *4647:A 32.9632
*END
*D_NET *789 0.000319824
*CONN
*I *4648:A I *D sky130_fd_sc_hd__ebufn_8
*I *4540:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4648:A 0.000156237
2 *4540:LO 0.000156237
3 *4648:TE_B *4648:A 7.34948e-06
4 *281:36 *4648:A 0
5 *288:65 *4648:A 0
*RES
1 *4540:LO *4648:A 31.0235
*END
*D_NET *790 0.00072723
*CONN
*I *4649:A I *D sky130_fd_sc_hd__ebufn_8
*I *4541:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4649:A 0.000170292
2 *4541:LO 0.000170292
3 *4649:A *795:10 0
4 io_oeb[0] *4649:A 0.000163997
5 *269:13 *4649:A 8.28869e-05
6 *289:81 *4649:A 0.000139764
*RES
1 *4541:LO *4649:A 34.0725
*END
*D_NET *791 0.000442686
*CONN
*I *4650:A I *D sky130_fd_sc_hd__ebufn_8
*I *4542:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4650:A 0.000188124
2 *4542:LO 0.000188124
3 io_oeb[18] *4650:A 0
4 *4650:TE_B *4650:A 6.64392e-05
*RES
1 *4542:LO *4650:A 32.0228
*END
*D_NET *792 0.000985523
*CONN
*I *4651:A I *D sky130_fd_sc_hd__ebufn_8
*I *4543:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4651:A 0.00029702
2 *4543:LO 0.00029702
3 *4651:TE_B *4651:A 0.000186151
4 *175:11 *4651:A 0
5 *287:62 *4651:A 0.000205332
*RES
1 *4543:LO *4651:A 36.3203
*END
*D_NET *793 0.000988598
*CONN
*I *4652:A I *D sky130_fd_sc_hd__ebufn_8
*I *4544:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4652:A 0.000292474
2 *4544:LO 0.000292474
3 *4652:TE_B *4652:A 2.22923e-05
4 *278:54 *4652:A 6.40364e-05
5 *279:75 *4652:A 0.000273907
6 *292:67 *4652:A 4.34143e-05
*RES
1 *4544:LO *4652:A 36.4246
*END
*D_NET *794 0.000697569
*CONN
*I *4653:A I *D sky130_fd_sc_hd__ebufn_8
*I *4545:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4653:A 0.00015094
2 *4545:LO 0.00015094
3 *4112:A *4653:A 0.000177737
4 *4653:TE_B *4653:A 0
5 *275:35 *4653:A 0.000217951
*RES
1 *4545:LO *4653:A 32.1327
*END
*D_NET *795 0.0119707
*CONN
*I *4345:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4721:X O *D sky130_fd_sc_hd__dlymetal6s2s_1
*CAP
1 *4345:A1 0
2 *4721:X 3.5247e-05
3 *795:10 0.00196535
4 *795:9 0.00444393
5 *795:5 0.00251383
6 *795:10 *4663:A 5.19216e-05
7 io_oeb[0] *795:10 0
8 io_oeb[3] *795:10 0
9 *4604:A *795:10 0
10 *4649:A *795:10 0
11 *4721:A *795:9 1.43983e-05
12 *80:9 *795:9 0.00279863
13 *289:81 *795:10 0
14 *451:18 *795:10 7.58739e-05
15 *451:27 *795:10 5.22654e-06
16 *546:8 *795:10 6.63129e-05
*RES
1 *4721:X *795:5 10.2378
2 *795:5 *795:9 46.8187
3 *795:9 *795:10 48.7555
4 *795:10 *4345:A1 13.7491
*END
*D_NET *796 0.000994812
*CONN
*I *4654:A I *D sky130_fd_sc_hd__ebufn_8
*I *4546:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4654:A 0.000407864
2 *4546:LO 0.000407864
3 *276:22 *4654:A 0.000127164
4 *289:71 *4654:A 5.19205e-05
*RES
1 *4546:LO *4654:A 35.0746
*END
*D_NET *797 0.00138878
*CONN
*I *4655:A I *D sky130_fd_sc_hd__ebufn_8
*I *4547:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4655:A 0.000630806
2 *4547:LO 0.000630806
3 *269:28 *4655:A 0.000127164
*RES
1 *4547:LO *4655:A 37.6788
*END
*D_NET *798 0.000343503
*CONN
*I *4656:A I *D sky130_fd_sc_hd__ebufn_8
*I *4548:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4656:A 8.03174e-05
2 *4548:LO 8.03174e-05
3 *536:14 *4656:A 0.000182869
*RES
1 *4548:LO *4656:A 30.576
*END
*D_NET *799 0.00174445
*CONN
*I *4657:A I *D sky130_fd_sc_hd__ebufn_8
*I *4549:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4657:A 0.000795618
2 *4549:LO 0.000795618
3 *284:43 *4657:A 0.00015321
*RES
1 *4549:LO *4657:A 40.3125
*END
*D_NET *800 0.00158579
*CONN
*I *4658:A I *D sky130_fd_sc_hd__ebufn_8
*I *4550:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4658:A 0.000373485
2 *4550:LO 0.000373485
3 *4209:A1 *4658:A 0.000168742
4 *4212:A2 *4658:A 1.71611e-05
5 *4215:A3 *4658:A 0.000158357
6 *365:8 *4658:A 7.77309e-06
7 *671:11 *4658:A 0.00043198
8 *751:11 *4658:A 5.481e-05
*RES
1 *4550:LO *4658:A 38.8415
*END
*D_NET *801 0.000744668
*CONN
*I *4659:A I *D sky130_fd_sc_hd__ebufn_8
*I *4551:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4659:A 0.00024017
2 *4551:LO 0.00024017
3 *4659:TE_B *4659:A 0.000137134
4 *275:66 *4659:A 0
5 *279:72 *4659:A 0.000127194
*RES
1 *4551:LO *4659:A 34.3512
*END
*D_NET *802 0.000453228
*CONN
*I *4660:A I *D sky130_fd_sc_hd__ebufn_8
*I *4552:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4660:A 0.000105772
2 *4552:LO 0.000105772
3 *86:6 *4660:A 0.000120842
4 *272:23 *4660:A 0.000120842
*RES
1 *4552:LO *4660:A 30.4689
*END
*D_NET *803 0.000726384
*CONN
*I *4661:A I *D sky130_fd_sc_hd__ebufn_8
*I *4553:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4661:A 0.000257044
2 *4553:LO 0.000257044
3 la1_data_out[13] *4661:A 0.000130777
4 *4661:TE_B *4661:A 3.14978e-05
5 *107:11 *4661:A 5.00217e-05
6 *287:19 *4661:A 0
*RES
1 *4553:LO *4661:A 35.0636
*END
*D_NET *804 0.000633579
*CONN
*I *4662:A I *D sky130_fd_sc_hd__ebufn_8
*I *4554:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4662:A 0.000280379
2 *4554:LO 0.000280379
3 io_out[37] *4662:A 0
4 la1_data_out[31] *4662:A 2.68516e-05
5 *4058:A *4662:A 0
6 *4631:TE_B *4662:A 3.28416e-06
7 *4662:TE_B *4662:A 4.26859e-05
8 *278:43 *4662:A 0
9 *279:72 *4662:A 0
*RES
1 *4554:LO *4662:A 33.791
*END
*D_NET *805 0.00168682
*CONN
*I *4663:A I *D sky130_fd_sc_hd__ebufn_8
*I *4555:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4663:A 0.000158689
2 *4555:LO 0.000158689
3 io_oeb[0] *4663:A 0
4 *4135:A *4663:A 0.000593901
5 *4663:TE_B *4663:A 4.61732e-05
6 *275:35 *4663:A 0.000647008
7 *546:8 *4663:A 3.04407e-05
8 *795:10 *4663:A 5.19216e-05
*RES
1 *4555:LO *4663:A 37.8153
*END
*D_NET *806 0.019221
*CONN
*I *4323:A I *D sky130_fd_sc_hd__buf_2
*I *4333:S I *D sky130_fd_sc_hd__mux2_1
*I *4310:A I *D sky130_fd_sc_hd__buf_2
*I *4342:S I *D sky130_fd_sc_hd__mux2_1
*I *4722:X O *D sky130_fd_sc_hd__buf_2
*CAP
1 *4323:A 2.06324e-05
2 *4333:S 8.96539e-05
3 *4310:A 0.000167431
4 *4342:S 2.06324e-05
5 *4722:X 8.07655e-05
6 *806:31 0.000693917
7 *806:25 0.00178672
8 *806:18 0.00360357
9 *806:17 0.00281465
10 *806:13 0.000774773
11 *806:10 0.00248406
12 *806:8 0.00221298
13 la1_data_out[2] *806:8 5.59712e-05
14 la1_data_out[2] *806:10 1.83477e-05
15 *4009:A *806:10 0
16 *4166:A_N *806:18 0
17 *4166:B *806:18 0
18 *4167:D1 *806:18 0.000104731
19 *4169:A1 *806:18 9.66794e-05
20 *4169:A2 *806:18 0
21 *4169:B1 *806:18 0
22 *4169:B2 *806:18 0
23 *4324:S *806:18 0
24 *4330:A0 *806:18 0
25 *4333:A1 *806:31 9.0014e-05
26 *4335:A *806:25 5.92342e-05
27 *4336:A0 *806:10 0
28 *4337:B *806:10 0
29 *4337:B *806:13 6.50586e-05
30 *4338:A *806:13 5.67857e-05
31 *4342:A1 *806:31 0.000404621
32 *4343:A *806:31 0.000475079
33 *4343:B *806:31 6.78549e-05
34 *4412:A *806:18 0
35 *4416:A *806:18 0
36 *4459:CLK *806:25 0.000179286
37 *4466:CLK *806:10 0
38 *4485:D *806:18 4.47578e-05
39 *4595:A *806:10 2.21765e-05
40 *4595:TE_B *806:10 0.000101118
41 *348:43 *806:31 0.000315206
42 *438:30 *806:13 7.48633e-05
43 *442:14 *806:25 0
44 *442:19 *806:25 0
45 *644:61 *806:18 0
46 *646:19 *4310:A 9.8904e-05
47 *646:19 *806:31 2.40855e-05
48 *646:22 *806:25 4.84944e-05
49 *646:24 *806:25 0.000594569
50 *646:41 *4333:S 6.75138e-05
51 *646:41 *806:25 0.000115632
52 *654:6 *806:18 0
53 *654:6 *806:25 0
54 *654:8 *806:18 0
55 *656:10 *806:18 0
56 *698:8 *806:25 0
57 *706:6 *806:18 0
58 *707:16 *806:25 0.000511144
59 *707:21 *806:18 0.000770468
60 *707:21 *806:25 8.62625e-06
61 *762:8 *806:8 0
62 *762:8 *806:10 0
*RES
1 *4722:X *806:8 15.5201
2 *806:8 *806:10 51.6256
3 *806:10 *806:13 14.0971
4 *806:13 *806:17 14.0971
5 *806:17 *806:18 66.6968
6 *806:18 *806:25 42.4501
7 *806:25 *806:31 27.6193
8 *806:31 *4342:S 9.82786
9 *806:31 *4310:A 14.2888
10 *806:25 *4333:S 11.6605
11 *806:13 *4323:A 9.82786
*END
*D_NET *807 0.000834478
*CONN
*I *4664:A I *D sky130_fd_sc_hd__ebufn_8
*I *4556:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4664:A 0.000153529
2 *4556:LO 0.000153529
3 io_oeb[28] *4664:A 0
4 *4022:A *4664:A 0.000377259
5 *4664:TE_B *4664:A 0.000128091
6 *4694:A *4664:A 0
7 *285:23 *4664:A 2.20702e-05
*RES
1 *4556:LO *4664:A 33.7966
*END
*D_NET *808 0.00219341
*CONN
*I *4665:A I *D sky130_fd_sc_hd__ebufn_8
*I *4557:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4665:A 0.000729724
2 *4557:LO 0.000729724
3 io_out[20] *4665:A 0
4 io_out[27] *4665:A 0
5 *4075:A *4665:A 0.000148144
6 *4645:A *4665:A 0.000125695
7 *4645:TE_B *4665:A 2.55493e-05
8 *4665:TE_B *4665:A 0
9 *275:78 *4665:A 0.000434578
*RES
1 *4557:LO *4665:A 45.0406
*END
*D_NET *809 0.000208745
*CONN
*I *4666:A I *D sky130_fd_sc_hd__ebufn_8
*I *4558:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4666:A 0.000104372
2 *4558:LO 0.000104372
*RES
1 *4558:LO *4666:A 29.7455
*END
*D_NET *810 0.000389016
*CONN
*I *4667:A I *D sky130_fd_sc_hd__ebufn_8
*I *4559:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4667:A 0.000194508
2 *4559:LO 0.000194508
*RES
1 *4559:LO *4667:A 22.5493
*END
*D_NET *811 0.000378628
*CONN
*I *4668:A I *D sky130_fd_sc_hd__ebufn_8
*I *4560:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4668:A 0.000125732
2 *4560:LO 0.000125732
3 *536:16 *4668:A 0.000127164
*RES
1 *4560:LO *4668:A 30.4689
*END
*D_NET *812 0.00130322
*CONN
*I *4669:A I *D sky130_fd_sc_hd__ebufn_8
*I *4561:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4669:A 0.000651612
2 *4561:LO 0.000651612
3 *83:10 *4669:A 0
4 *710:54 *4669:A 0
*RES
1 *4561:LO *4669:A 42.3803
*END
*D_NET *813 0.000230142
*CONN
*I *4670:A I *D sky130_fd_sc_hd__ebufn_8
*I *4562:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4670:A 0.000115071
2 *4562:LO 0.000115071
3 io_oeb[7] *4670:A 0
*RES
1 *4562:LO *4670:A 30.576
*END
*D_NET *814 0.00101449
*CONN
*I *4671:A I *D sky130_fd_sc_hd__ebufn_8
*I *4563:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4671:A 0.000283875
2 *4563:LO 0.000283875
3 io_oeb[8] *4671:A 0
4 la1_data_out[25] *4671:A 6.52404e-05
5 la1_data_out[5] *4671:A 1.26559e-05
6 *4651:TE_B *4671:A 3.31882e-05
7 *4671:TE_B *4671:A 3.60268e-05
8 *175:11 *4671:A 8.29304e-05
9 *177:9 *4671:A 2.73581e-05
10 *287:62 *4671:A 0.000189343
*RES
1 *4563:LO *4671:A 36.3896
*END
*D_NET *815 0.00155348
*CONN
*I *4672:A I *D sky130_fd_sc_hd__ebufn_8
*I *4564:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4672:A 0.000757856
2 *4564:LO 0.000757856
3 *4672:A *817:6 0
4 *4616:TE_B *4672:A 0
5 *4672:TE_B *4672:A 3.77659e-05
*RES
1 *4564:LO *4672:A 40.4462
*END
*D_NET *816 0.000809799
*CONN
*I *4673:A I *D sky130_fd_sc_hd__ebufn_8
*I *4565:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4673:A 0.000219982
2 *4565:LO 0.000219982
3 *536:14 *4673:A 0.000369835
*RES
1 *4565:LO *4673:A 34.6215
*END
*D_NET *817 0.0123384
*CONN
*I *4311:A1 I *D sky130_fd_sc_hd__mux2_1
*I *4723:X O *D sky130_fd_sc_hd__clkbuf_2
*CAP
1 *4311:A1 0.000604774
2 *4723:X 0
3 *817:6 0.00556342
4 *817:5 0.00495865
5 la1_data_out[23] *817:6 0.000158358
6 *4311:A0 *4311:A1 6.50586e-05
7 *4312:B *4311:A1 6.73022e-05
8 *4616:TE_B *817:6 4.90264e-05
9 *4672:A *817:6 0
10 *4672:TE_B *817:6 0
11 *275:42 *817:6 0.000404101
12 *711:9 *4311:A1 0.000467711
*RES
1 *4723:X *817:5 13.7491
2 *817:5 *817:6 128.484
3 *817:6 *4311:A1 33.3291
*END
*D_NET *818 0.00121646
*CONN
*I *4674:A I *D sky130_fd_sc_hd__ebufn_8
*I *4566:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4674:A 0.00045018
2 *4566:LO 0.00045018
3 *4642:A *4674:A 0.000122083
4 *4674:TE_B *4674:A 5.07314e-05
5 *83:10 *4674:A 0.000143289
6 *710:54 *4674:A 0
*RES
1 *4566:LO *4674:A 37.7026
*END
*D_NET *819 0.00302172
*CONN
*I *4675:A I *D sky130_fd_sc_hd__ebufn_8
*I *4567:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4675:A 0
2 *4567:LO 0.000773442
3 *819:11 0.000773442
4 *4291:A1 *819:11 1.15389e-05
5 *4291:A2 *819:11 6.08467e-05
6 *279:10 *819:11 0.000409182
7 *421:25 *819:11 0.00081851
8 *660:14 *819:11 0.000174761
*RES
1 *4567:LO *819:11 40.7913
2 *819:11 *4675:A 9.24915
*END
*D_NET *820 0.000423248
*CONN
*I *4676:A I *D sky130_fd_sc_hd__ebufn_8
*I *4568:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4676:A 7.68413e-05
2 *4568:LO 7.68413e-05
3 *293:46 *4676:A 0.000269565
*RES
1 *4568:LO *4676:A 21.4401
*END
*D_NET *821 0.000905408
*CONN
*I *4677:A I *D sky130_fd_sc_hd__ebufn_8
*I *4569:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4677:A 0.000174035
2 *4569:LO 0.000174035
3 *4677:TE_B *4677:A 7.34948e-06
4 *286:12 *4677:A 0.000172513
5 *536:16 *4677:A 0.000377476
*RES
1 *4569:LO *4677:A 35.1761
*END
*D_NET *822 0.000320168
*CONN
*I *4678:A I *D sky130_fd_sc_hd__ebufn_8
*I *4570:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4678:A 0.000160084
2 *4570:LO 0.000160084
3 io_oeb[15] *4678:A 0
4 io_oeb[19] *4678:A 0
*RES
1 *4570:LO *4678:A 31.2994
*END
*D_NET *823 0.00189305
*CONN
*I *4679:A I *D sky130_fd_sc_hd__ebufn_8
*I *4571:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4679:A 0.000498665
2 *4571:LO 0.000498665
3 *4210:A *4679:A 0
4 *4221:A1 *4679:A 0
5 *362:8 *4679:A 2.65831e-05
6 *364:27 *4679:A 0.000144753
7 *671:11 *4679:A 0.000367298
8 *675:10 *4679:A 0.00035709
*RES
1 *4571:LO *4679:A 42.8222
*END
*D_NET *824 0.000365382
*CONN
*I *4680:A I *D sky130_fd_sc_hd__ebufn_8
*I *4572:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4680:A 0.000137816
2 *4572:LO 0.000137816
3 *4680:TE_B *4680:A 6.31665e-05
4 *276:49 *4680:A 2.65831e-05
*RES
1 *4572:LO *4680:A 31.2994
*END
*D_NET *825 0.000964229
*CONN
*I *4681:A I *D sky130_fd_sc_hd__ebufn_8
*I *4573:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4681:A 0.000360032
2 *4573:LO 0.000360032
3 io_oeb[18] *4681:A 0
4 *289:6 *4681:A 0.000172691
5 *291:86 *4681:A 7.14746e-05
6 *722:12 *4681:A 0
*RES
1 *4573:LO *4681:A 37.2201
*END
*D_NET *826 0.000898002
*CONN
*I *4682:A I *D sky130_fd_sc_hd__ebufn_8
*I *4574:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4682:A 0.000348858
2 *4574:LO 0.000348858
3 io_oeb[19] *4682:A 9.63981e-05
4 *4697:A *4682:A 7.7321e-05
5 *107:11 *4682:A 2.65667e-05
*RES
1 *4574:LO *4682:A 37.0143
*END
*D_NET *827 0.000303494
*CONN
*I *4683:A I *D sky130_fd_sc_hd__ebufn_8
*I *4575:LO O *D sky130_fd_sc_hd__conb_1
*CAP
1 *4683:A 0.000133838
2 *4575:LO 0.000133838
3 *281:36 *4683:A 0
4 *288:65 *4683:A 3.58185e-05
*RES
1 *4575:LO *4683:A 30.4689
*END