blob: 9633be18c60a1e4e0748e30256a5c5da0fb6bcc0 [file] [log] [blame]
(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "wb_bridge_2way")
(DATE "Thu Mar 17 23:05:49 2022")
(VENDOR "Parallax")
(PROGRAM "STA")
(VERSION "2.3.1")
(DIVIDER .)
(VOLTAGE 1.800::1.800)
(PROCESS "1.000::1.000")
(TEMPERATURE 25.000::25.000)
(TIMESCALE 1ns)
(CELL
(CELLTYPE "wb_bridge_2way")
(INSTANCE)
(DELAY
(ABSOLUTE
(INTERCONNECT wbm_a_ack_i input1.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_a_dat_i[0] input2.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wbm_a_dat_i[10] input3.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[11] input4.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[12] input5.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[13] input6.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[14] input7.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wbm_a_dat_i[15] input8.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[16] input9.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[17] input10.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[18] input11.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[19] input12.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[1] input13.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[20] input14.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[21] input15.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[22] input16.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[23] input17.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[24] input18.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[25] input19.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[26] input20.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[27] input21.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[28] input22.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[29] input23.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wbm_a_dat_i[2] input24.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wbm_a_dat_i[30] input25.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_a_dat_i[31] input26.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_a_dat_i[3] input27.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wbm_a_dat_i[4] input28.A (0.011:0.011:0.011) (0.005:0.005:0.005))
(INTERCONNECT wbm_a_dat_i[5] input29.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[6] input30.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[7] input31.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[8] input32.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_a_dat_i[9] input33.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_b_ack_i input34.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wbm_b_dat_i[0] input35.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_b_dat_i[10] input36.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbm_b_dat_i[11] input37.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wbm_b_dat_i[12] input38.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[13] input39.A (0.013:0.013:0.013) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[14] input40.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[15] input41.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[16] input42.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbm_b_dat_i[17] input43.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[18] input44.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[19] input45.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbm_b_dat_i[1] input46.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbm_b_dat_i[20] input47.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT wbm_b_dat_i[21] input48.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[22] input49.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbm_b_dat_i[23] input50.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wbm_b_dat_i[24] input51.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[25] input52.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wbm_b_dat_i[26] input53.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbm_b_dat_i[27] input54.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT wbm_b_dat_i[28] input55.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbm_b_dat_i[29] input56.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbm_b_dat_i[2] input57.A (0.009:0.009:0.009) (0.004:0.004:0.004))
(INTERCONNECT wbm_b_dat_i[30] input58.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbm_b_dat_i[31] input59.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wbm_b_dat_i[3] input60.A (0.009:0.009:0.009) (0.004:0.004:0.004))
(INTERCONNECT wbm_b_dat_i[4] input61.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wbm_b_dat_i[5] input62.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbm_b_dat_i[6] input63.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[7] input64.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbm_b_dat_i[8] input65.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbm_b_dat_i[9] input66.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_adr_i[0] input67.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wbs_adr_i[10] input68.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wbs_adr_i[11] input69.A (0.008:0.008:0.008) (0.003:0.003:0.003))
(INTERCONNECT wbs_adr_i[12] input70.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT wbs_adr_i[13] input71.A (0.009:0.009:0.009) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[14] input72.A (0.009:0.009:0.009) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[15] input73.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[16] input74.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[17] input75.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[18] input76.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[19] input77.A (0.011:0.011:0.011) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[1] input78.A (0.012:0.012:0.012) (0.005:0.005:0.005))
(INTERCONNECT wbs_adr_i[20] input79.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wbs_adr_i[21] input80.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_adr_i[22] input81.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_adr_i[23] input82.A (0.019:0.019:0.019) (0.009:0.009:0.009))
(INTERCONNECT wbs_adr_i[24] input83.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT wbs_adr_i[25] input84.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_adr_i[26] input85.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_adr_i[27] input86.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wbs_adr_i[28] input87.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_adr_i[29] input88.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_adr_i[2] input89.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wbs_adr_i[30] input90.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_adr_i[31] input91.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_adr_i[3] input92.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_adr_i[4] input93.A (0.021:0.021:0.021) (0.010:0.010:0.010))
(INTERCONNECT wbs_adr_i[5] input94.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[6] input95.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbs_adr_i[7] input96.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_adr_i[8] input97.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_adr_i[9] input98.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_cyc_i input99.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_dat_i[0] input100.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wbs_dat_i[10] input101.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbs_dat_i[11] input102.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbs_dat_i[12] input103.A (0.009:0.009:0.009) (0.004:0.004:0.004))
(INTERCONNECT wbs_dat_i[13] input104.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT wbs_dat_i[14] input105.A (0.024:0.024:0.024) (0.011:0.011:0.011))
(INTERCONNECT wbs_dat_i[15] input106.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT wbs_dat_i[16] input107.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT wbs_dat_i[17] input108.A (0.015:0.015:0.015) (0.007:0.007:0.007))
(INTERCONNECT wbs_dat_i[18] input109.A (0.019:0.019:0.019) (0.009:0.009:0.009))
(INTERCONNECT wbs_dat_i[19] input110.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT wbs_dat_i[1] input111.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_dat_i[20] input112.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wbs_dat_i[21] input113.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wbs_dat_i[22] input114.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wbs_dat_i[23] input115.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT wbs_dat_i[24] input116.A (0.020:0.020:0.020) (0.009:0.009:0.009))
(INTERCONNECT wbs_dat_i[25] input117.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT wbs_dat_i[26] input118.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbs_dat_i[27] input119.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbs_dat_i[28] input120.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT wbs_dat_i[29] input121.A (0.023:0.023:0.023) (0.010:0.010:0.010))
(INTERCONNECT wbs_dat_i[2] input122.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wbs_dat_i[30] input123.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_dat_i[31] input124.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_dat_i[3] input125.A (0.017:0.017:0.017) (0.007:0.007:0.007))
(INTERCONNECT wbs_dat_i[4] input126.A (0.019:0.019:0.019) (0.008:0.008:0.008))
(INTERCONNECT wbs_dat_i[5] input127.A (0.009:0.009:0.009) (0.004:0.004:0.004))
(INTERCONNECT wbs_dat_i[6] input128.A (0.010:0.010:0.010) (0.004:0.004:0.004))
(INTERCONNECT wbs_dat_i[7] input129.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT wbs_dat_i[8] input130.A (0.009:0.009:0.009) (0.003:0.003:0.003))
(INTERCONNECT wbs_dat_i[9] input131.A (0.013:0.013:0.013) (0.005:0.005:0.005))
(INTERCONNECT wbs_sel_i[0] input132.A (0.015:0.015:0.015) (0.006:0.006:0.006))
(INTERCONNECT wbs_sel_i[1] input133.A (0.014:0.014:0.014) (0.006:0.006:0.006))
(INTERCONNECT wbs_sel_i[2] input134.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbs_sel_i[3] input135.A (0.016:0.016:0.016) (0.007:0.007:0.007))
(INTERCONNECT wbs_stb_i input136.A (0.017:0.017:0.017) (0.008:0.008:0.008))
(INTERCONNECT wbs_we_i input137.A (0.018:0.018:0.018) (0.008:0.008:0.008))
(INTERCONNECT _094_.X _097_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _095_.X _097_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _096_.X _097_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _097_.X _101_.A_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _097_.X _170_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _098_.X _101_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _098_.X _170_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _099_.X _100_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _100_.X _101_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _100_.X _170_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _101_.X _102_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _102_.X _103_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _102_.X _134_.A (0.011:0.011:0.011) (0.011:0.011:0.011))
(INTERCONNECT _102_.X _167_.B (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _102_.X _169_.A (0.016:0.016:0.016) (0.016:0.016:0.016))
(INTERCONNECT _102_.X _188_.A (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _102_.X _285_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _102_.X _287_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _102_.X _289_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _102_.X _291_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _102_.X _293_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _102_.X _295_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _103_.X _104_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _103_.X _106_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _103_.X _108_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _103_.X _110_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _103_.X _112_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _103_.X _114_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _103_.X _116_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _103_.X _118_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _103_.X _120_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _103_.X _122_.B (0.006:0.006:0.006) (0.005:0.005:0.005))
(INTERCONNECT _103_.X _124_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _103_.X _126_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _103_.X _128_.B (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _103_.X _130_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _103_.X _132_.B (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _103_.X _207_.A2 (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _104_.X _105_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _105_.X output209.A (0.008:0.008:0.008) (0.007:0.007:0.007))
(INTERCONNECT _106_.X _107_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _107_.X output220.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _108_.X _109_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _109_.X output231.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _110_.X _111_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _111_.X output234.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _112_.X _113_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _113_.X output235.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _114_.X _115_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _115_.X output236.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _116_.X _117_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _117_.X output237.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _118_.X _119_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _119_.X output238.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _120_.X _121_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _121_.X output239.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _122_.X _123_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _123_.X output240.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _124_.X _125_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _125_.X output210.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _126_.X _127_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _127_.X output211.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _128_.X _129_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _129_.X output212.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _130_.X _131_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _131_.X output213.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _132_.X _133_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _133_.X output214.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _134_.X _135_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _134_.X _137_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _134_.X _139_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _134_.X _141_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _134_.X _143_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _134_.X _145_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _134_.X _147_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _134_.X _149_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _134_.X _151_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _134_.X _153_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _134_.X _155_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _134_.X _157_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _134_.X _159_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _134_.X _161_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _134_.X _163_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _134_.X _165_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _135_.X _136_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _136_.X output215.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _137_.X _138_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _138_.X output216.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _139_.X _140_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _140_.X output217.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _141_.X _142_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _142_.X output218.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _143_.X _144_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _144_.X output219.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _145_.X _146_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _146_.X output221.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _147_.X _148_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _148_.X output222.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _149_.X _150_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _150_.X output223.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _151_.X _152_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _152_.X output224.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _153_.X _154_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _154_.X output225.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _155_.X _156_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _156_.X output226.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _157_.X _158_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _158_.X output227.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _159_.X _160_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _160_.X output228.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _161_.X _162_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _162_.X output229.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _163_.X _164_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _164_.X output230.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _165_.X _166_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _166_.X output232.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _167_.X _168_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _168_.X output233.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _169_.X _172_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _169_.X _173_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _174_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _175_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _176_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _177_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _169_.X _178_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _169_.X _179_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _169_.X _180_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _181_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _182_.A2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _183_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _169_.X _184_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _169_.X _185_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _169_.X _186_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _169_.X _187_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _170_.Y _171_.A (0.018:0.018:0.018) (0.017:0.017:0.018))
(INTERCONNECT _170_.Y _189_.A (0.019:0.019:0.019) (0.018:0.018:0.018))
(INTERCONNECT _170_.Y _206_.A (0.014:0.014:0.014) (0.013:0.014:0.014))
(INTERCONNECT _170_.Y _238_.A (0.010:0.010:0.010) (0.009:0.009:0.010))
(INTERCONNECT _170_.Y _271_.B (0.013:0.013:0.013) (0.013:0.013:0.013))
(INTERCONNECT _170_.Y _273_.B (0.016:0.016:0.016) (0.015:0.015:0.015))
(INTERCONNECT _170_.Y _275_.B (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _170_.Y _277_.B (0.017:0.017:0.017) (0.016:0.016:0.016))
(INTERCONNECT _170_.Y _279_.B (0.017:0.017:0.017) (0.016:0.016:0.017))
(INTERCONNECT _170_.Y _281_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _170_.Y _283_.B (0.018:0.018:0.018) (0.017:0.017:0.017))
(INTERCONNECT _171_.X _172_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _173_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _174_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _171_.X _175_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _171_.X _176_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _171_.X _177_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _178_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _179_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _180_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _171_.X _181_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _182_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _183_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _184_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _171_.X _185_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _186_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _171_.X _187_.B1 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _172_.X output247.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _173_.X output248.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _174_.X output259.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _175_.X output270.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _176_.X output273.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _177_.X output274.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _178_.X output275.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _178_.X ANTENNA_0.DIODE (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _179_.X output276.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _180_.X output277.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _181_.X output278.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _182_.X output279.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _183_.X output249.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _184_.X output250.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _185_.X output251.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _186_.X output252.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _187_.X output253.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _188_.X _190_.A2 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _188_.X _191_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _188_.X _192_.A2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _188_.X _193_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _188_.X _194_.A2 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _188_.X _195_.A2 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _188_.X _196_.A2 (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _188_.X _197_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _188_.X _198_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _188_.X _199_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _188_.X _200_.A2 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _188_.X _201_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _188_.X _202_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _188_.X _203_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _188_.X _204_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _188_.X _205_.A2 (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _189_.X _190_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _189_.X _191_.B1 (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT _189_.X _192_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _189_.X _193_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _189_.X _194_.B1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _189_.X _195_.B1 (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _189_.X _196_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _189_.X _197_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _189_.X _198_.B1 (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _189_.X _199_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _189_.X _200_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _189_.X _201_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _189_.X _202_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _189_.X _203_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _189_.X _204_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _189_.X _205_.B1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _190_.X output254.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _191_.X output255.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _192_.X output256.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _193_.X output257.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _194_.X output258.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _195_.X output260.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _196_.X output261.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _197_.X output262.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _198_.X output263.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _199_.X output264.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _200_.X output265.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _201_.X output266.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _202_.X output267.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _203_.X output268.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _204_.X output269.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _205_.X output271.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _206_.X _207_.B1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _206_.X _208_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _206_.X _210_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _206_.X _212_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _206_.X _214_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _206_.X _216_.B (0.010:0.010:0.010) (0.009:0.009:0.009))
(INTERCONNECT _206_.X _218_.B (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _206_.X _220_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _206_.X _222_.B (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _206_.X _224_.B (0.007:0.007:0.007) (0.006:0.006:0.006))
(INTERCONNECT _206_.X _226_.B (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _206_.X _228_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _206_.X _230_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _206_.X _232_.B (0.004:0.004:0.004) (0.003:0.003:0.003))
(INTERCONNECT _206_.X _234_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _206_.X _236_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _207_.X output272.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _208_.X _209_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _209_.X output195.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _210_.X _211_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _211_.X output196.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _212_.X _213_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _213_.X output191.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _214_.X _215_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _215_.X output192.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _216_.X _217_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _217_.X output193.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _218_.X _219_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _219_.X output194.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _220_.X _221_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _221_.X output159.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _222_.X _223_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _223_.X output170.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _224_.X _225_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _225_.X output181.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _226_.X _227_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _227_.X output184.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _228_.X _229_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _229_.X output185.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _230_.X _231_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _231_.X output186.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _232_.X _233_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _233_.X output187.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _234_.X _235_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _235_.X output188.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _236_.X _237_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _237_.X output189.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _238_.X _239_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _238_.X _241_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _238_.X _243_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _238_.X _245_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _238_.X _247_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _238_.X _249_.B (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _238_.X _251_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _238_.X _253_.B (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _238_.X _255_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _238_.X _257_.B (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _238_.X _259_.B (0.005:0.005:0.005) (0.004:0.004:0.004))
(INTERCONNECT _238_.X _261_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _238_.X _263_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _238_.X _265_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _238_.X _267_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _238_.X _269_.B (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _239_.X _240_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _240_.X output190.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _241_.X _242_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _242_.X output160.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _243_.X _244_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _244_.X output161.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _245_.X _246_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT _246_.X output162.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _247_.X _248_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _248_.X output163.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _249_.X _250_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _250_.X output164.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _251_.X _252_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _252_.X output165.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _253_.X _254_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _254_.X output166.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _255_.X _256_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _256_.X output167.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _257_.X _258_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _258_.X output168.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _259_.X _260_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _260_.X output169.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _261_.X _262_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _262_.X output171.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _263_.X _264_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _264_.X output172.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _265_.X _266_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _266_.X output173.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _267_.X _268_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _268_.X output174.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _269_.X _270_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _270_.X output175.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _271_.X _272_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _272_.X output176.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _273_.X _274_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _274_.X output177.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _275_.X _276_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _276_.X output178.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _277_.X _278_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _278_.X output179.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _279_.X _280_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _280_.X output180.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _281_.X _282_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _282_.X output182.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _283_.X _284_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _284_.X output183.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _285_.X _286_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _286_.X output245.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT _287_.X _288_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _288_.X output246.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _289_.X _290_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _290_.X output241.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _291_.X _292_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _292_.X output242.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _293_.X _294_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _294_.X output243.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _295_.X _296_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _296_.X output244.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _297__290.HI wbm_a_adr_o[28] (0.000:0.000:0.000))
(INTERCONNECT _298__291.HI wbm_a_adr_o[29] (0.000:0.000:0.000))
(INTERCONNECT _299__280.LO wbm_a_adr_o[20] (0.000:0.000:0.000))
(INTERCONNECT _300__281.LO wbm_a_adr_o[21] (0.000:0.000:0.000))
(INTERCONNECT _301__282.LO wbm_a_adr_o[22] (0.000:0.000:0.000))
(INTERCONNECT _302__283.LO wbm_a_adr_o[23] (0.000:0.000:0.000))
(INTERCONNECT _303__284.LO wbm_a_adr_o[24] (0.000:0.000:0.000))
(INTERCONNECT _304__285.LO wbm_a_adr_o[25] (0.000:0.000:0.000))
(INTERCONNECT _305__286.LO wbm_a_adr_o[26] (0.000:0.000:0.000))
(INTERCONNECT _306__287.LO wbm_a_adr_o[27] (0.000:0.000:0.000))
(INTERCONNECT _307__288.LO wbm_a_adr_o[30] (0.000:0.000:0.000))
(INTERCONNECT _308__289.LO wbm_a_adr_o[31] (0.000:0.000:0.000))
(INTERCONNECT _309_.X output138.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _310_.X output149.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _311_.X output150.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _312_.X output151.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _313_.X output152.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _314_.X output153.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _315_.X output154.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _316_.X output155.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _317_.X output156.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _318_.X output157.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _319_.X output139.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _320_.X output140.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _321_.X output141.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _322_.X output142.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _323_.X output143.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _324_.X output144.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _325_.X output145.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _326_.X output146.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _327_.X output147.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _328_.X output148.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _329_.X output158.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT _330_.X output197.A (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT _331_.X output199.A (0.009:0.009:0.009) (0.009:0.009:0.009))
(INTERCONNECT _332_.X output200.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT _333_.X output201.A (0.008:0.008:0.008) (0.008:0.008:0.008))
(INTERCONNECT _334_.X output202.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _335_.X output203.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _336_.X output204.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT _337_.X output205.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT _338_.X output206.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT _339_.X output207.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _340_.X output198.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT _341_.X output208.A (0.007:0.007:0.007) (0.007:0.007:0.007))
(INTERCONNECT input1.X _172_.B2 (0.003:0.003:0.003) (0.002:0.002:0.002))
(INTERCONNECT input10.X _192_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input100.X _220_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input100.X _104_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input101.X _241_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input101.X _124_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input102.X _243_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input102.X _126_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input103.X _245_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input103.X _128_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input104.X _247_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input104.X _130_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input105.X _249_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input105.X _132_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input106.X _251_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input106.X _135_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input107.X _253_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input107.X _137_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input108.X _255_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input108.X _139_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input109.X _257_.A (0.002:0.002:0.002) (0.001:0.001:0.001))
(INTERCONNECT input109.X _141_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input11.X _193_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input110.X _259_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input110.X _143_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input111.X _222_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input111.X _106_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input112.X _261_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input112.X _145_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input113.X _263_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input113.X _147_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input114.X _265_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input114.X _149_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input115.X _267_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input115.X _151_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input116.X _269_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input116.X _153_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input117.X _271_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input117.X _155_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input118.X _273_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input118.X _157_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input119.X _275_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input119.X _159_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input12.X _194_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input120.X _277_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input120.X _161_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input121.X _279_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input121.X _163_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input122.X _224_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input122.X _108_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input123.X _281_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input123.X _165_.A (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input124.X _283_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input124.X _167_.A (0.006:0.006:0.006) (0.006:0.006:0.006))
(INTERCONNECT input125.X _226_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input125.X _110_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input126.X _228_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input126.X _112_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input127.X _230_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input127.X _114_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input128.X _232_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input128.X _116_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input129.X _234_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input129.X _118_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input13.X _174_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input130.X _236_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input130.X _120_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input131.X _239_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input131.X _122_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input132.X _289_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input132.X _212_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input133.X _291_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input133.X _214_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input134.X _293_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input134.X _216_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input135.X _295_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input135.X _218_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input136.X _285_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input136.X _208_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input137.X _287_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input137.X _210_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input14.X _195_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input15.X _196_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input16.X _197_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input17.X _198_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input18.X _199_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input19.X _200_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input2.X _173_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input20.X _201_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input21.X _202_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input22.X _203_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input23.X _204_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input24.X _175_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input25.X _205_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input26.X _207_.B2 (0.005:0.005:0.005) (0.005:0.005:0.005))
(INTERCONNECT input27.X _176_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input28.X _177_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input29.X _178_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input3.X _183_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input30.X _179_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input31.X _180_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input32.X _181_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input33.X _182_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input34.X _172_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input35.X _173_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input36.X _183_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input37.X _184_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input38.X _185_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input39.X _186_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input4.X _184_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input40.X _187_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input41.X _190_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input42.X _191_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input43.X _192_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input44.X _193_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input45.X _194_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input46.X _174_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input47.X _195_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input48.X _196_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input49.X _197_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input5.X _185_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input50.X _198_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input51.X _199_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input52.X _200_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input53.X _201_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input54.X _202_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input55.X _203_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input56.X _204_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input57.X _175_.A1 (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input58.X _205_.A1 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input59.X _207_.A1 (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input6.X _186_.B2 (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input60.X _176_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input61.X _177_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input62.X _178_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input63.X _179_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input64.X _180_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input65.X _181_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input66.X _182_.A1 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input67.X _330_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input67.X _309_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input68.X _340_.A (0.004:0.004:0.004) (0.004:0.004:0.004))
(INTERCONNECT input68.X _319_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input69.X _320_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input69.X _099_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input7.X _187_.B2 (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input70.X _321_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input70.X _099_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input71.X _322_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input71.X _099_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input72.X _323_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input72.X _100_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input73.X _324_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input73.X _100_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input74.X _325_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input74.X _098_.C (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input75.X _326_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input75.X _098_.B (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input76.X _327_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input76.X _098_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input77.X _328_.A (0.001:0.001:0.001) (0.000:0.000:0.000))
(INTERCONNECT input77.X _099_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input78.X _331_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input78.X _310_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input79.X _096_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input8.X _190_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input80.X _096_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input81.X _096_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input82.X _096_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input83.X _095_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input84.X _095_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input85.X _095_.D (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input86.X _095_.C (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input87.X _094_.D_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input88.X _094_.C_N (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input89.X _332_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input89.X _311_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input9.X _191_.B2 (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input90.X _094_.B (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input91.X _094_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input92.X _333_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input92.X _312_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input93.X _334_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input93.X _313_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input94.X _335_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input94.X _314_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input95.X _336_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input95.X _315_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input96.X _337_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input96.X _316_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input97.X _338_.A (0.002:0.002:0.002) (0.002:0.002:0.002))
(INTERCONNECT input97.X _317_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input98.X _339_.A (0.003:0.003:0.003) (0.003:0.003:0.003))
(INTERCONNECT input98.X _318_.A (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT input99.X _341_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT input99.X _329_.A (0.000:0.000:0.000) (0.000:0.000:0.000))
(INTERCONNECT output138.X wbm_a_adr_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output139.X wbm_a_adr_o[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output140.X wbm_a_adr_o[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output141.X wbm_a_adr_o[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output142.X wbm_a_adr_o[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output143.X wbm_a_adr_o[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output144.X wbm_a_adr_o[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output145.X wbm_a_adr_o[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output146.X wbm_a_adr_o[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output147.X wbm_a_adr_o[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output148.X wbm_a_adr_o[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output149.X wbm_a_adr_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output150.X wbm_a_adr_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output151.X wbm_a_adr_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output152.X wbm_a_adr_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output153.X wbm_a_adr_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output154.X wbm_a_adr_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output155.X wbm_a_adr_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output156.X wbm_a_adr_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output157.X wbm_a_adr_o[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output158.X wbm_a_cyc_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output159.X wbm_a_dat_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output160.X wbm_a_dat_o[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output161.X wbm_a_dat_o[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output162.X wbm_a_dat_o[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output163.X wbm_a_dat_o[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output164.X wbm_a_dat_o[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output165.X wbm_a_dat_o[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output166.X wbm_a_dat_o[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output167.X wbm_a_dat_o[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output168.X wbm_a_dat_o[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output169.X wbm_a_dat_o[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output170.X wbm_a_dat_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output171.X wbm_a_dat_o[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output172.X wbm_a_dat_o[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output173.X wbm_a_dat_o[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output174.X wbm_a_dat_o[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output175.X wbm_a_dat_o[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output176.X wbm_a_dat_o[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output177.X wbm_a_dat_o[26] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output178.X wbm_a_dat_o[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output179.X wbm_a_dat_o[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output180.X wbm_a_dat_o[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output181.X wbm_a_dat_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output182.X wbm_a_dat_o[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output183.X wbm_a_dat_o[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output184.X wbm_a_dat_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output185.X wbm_a_dat_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output186.X wbm_a_dat_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output187.X wbm_a_dat_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output188.X wbm_a_dat_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output189.X wbm_a_dat_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output190.X wbm_a_dat_o[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output191.X wbm_a_sel_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output192.X wbm_a_sel_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output193.X wbm_a_sel_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output194.X wbm_a_sel_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output195.X wbm_a_stb_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output196.X wbm_a_we_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output197.X wbm_b_adr_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output198.X wbm_b_adr_o[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output199.X wbm_b_adr_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output200.X wbm_b_adr_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output201.X wbm_b_adr_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output202.X wbm_b_adr_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output203.X wbm_b_adr_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output204.X wbm_b_adr_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output205.X wbm_b_adr_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output206.X wbm_b_adr_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output207.X wbm_b_adr_o[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output208.X wbm_b_cyc_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output209.X wbm_b_dat_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output210.X wbm_b_dat_o[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output211.X wbm_b_dat_o[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output212.X wbm_b_dat_o[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output213.X wbm_b_dat_o[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output214.X wbm_b_dat_o[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output215.X wbm_b_dat_o[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output216.X wbm_b_dat_o[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output217.X wbm_b_dat_o[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output218.X wbm_b_dat_o[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output219.X wbm_b_dat_o[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output220.X wbm_b_dat_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output221.X wbm_b_dat_o[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output222.X wbm_b_dat_o[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output223.X wbm_b_dat_o[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output224.X wbm_b_dat_o[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output225.X wbm_b_dat_o[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output226.X wbm_b_dat_o[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output227.X wbm_b_dat_o[26] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output228.X wbm_b_dat_o[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output229.X wbm_b_dat_o[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output230.X wbm_b_dat_o[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output231.X wbm_b_dat_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output232.X wbm_b_dat_o[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output233.X wbm_b_dat_o[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output234.X wbm_b_dat_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output235.X wbm_b_dat_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output236.X wbm_b_dat_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output237.X wbm_b_dat_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output238.X wbm_b_dat_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output239.X wbm_b_dat_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output240.X wbm_b_dat_o[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output241.X wbm_b_sel_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output242.X wbm_b_sel_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output243.X wbm_b_sel_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output244.X wbm_b_sel_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output245.X wbm_b_stb_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output246.X wbm_b_we_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output247.X wbs_ack_o (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output248.X wbs_dat_o[0] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output249.X wbs_dat_o[10] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output250.X wbs_dat_o[11] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output251.X wbs_dat_o[12] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output252.X wbs_dat_o[13] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output253.X wbs_dat_o[14] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output254.X wbs_dat_o[15] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output255.X wbs_dat_o[16] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output256.X wbs_dat_o[17] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output257.X wbs_dat_o[18] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output258.X wbs_dat_o[19] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output259.X wbs_dat_o[1] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output260.X wbs_dat_o[20] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output261.X wbs_dat_o[21] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output262.X wbs_dat_o[22] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output263.X wbs_dat_o[23] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output264.X wbs_dat_o[24] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output265.X wbs_dat_o[25] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output266.X wbs_dat_o[26] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output267.X wbs_dat_o[27] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output268.X wbs_dat_o[28] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output269.X wbs_dat_o[29] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output270.X wbs_dat_o[2] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output271.X wbs_dat_o[30] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output272.X wbs_dat_o[31] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output273.X wbs_dat_o[3] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output274.X wbs_dat_o[4] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output275.X wbs_dat_o[5] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output276.X wbs_dat_o[6] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output277.X wbs_dat_o[7] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output278.X wbs_dat_o[8] (0.001:0.001:0.001) (0.001:0.001:0.001))
(INTERCONNECT output279.X wbs_dat_o[9] (0.001:0.001:0.001) (0.001:0.001:0.001))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4bb_1")
(INSTANCE _094_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.481:0.481:0.481))
(IOPATH B X (0.095:0.095:0.095) (0.456:0.456:0.456))
(IOPATH C_N X (0.158:0.158:0.158) (0.459:0.459:0.459))
(IOPATH D_N X (0.146:0.146:0.146) (0.378:0.378:0.378))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _095_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.092:0.092:0.092) (0.496:0.496:0.496))
(IOPATH B X (0.096:0.096:0.096) (0.481:0.481:0.481))
(IOPATH C X (0.091:0.091:0.091) (0.447:0.447:0.447))
(IOPATH D X (0.090:0.090:0.090) (0.379:0.379:0.379))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or4_1")
(INSTANCE _096_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.511:0.511:0.511))
(IOPATH B X (0.108:0.108:0.108) (0.496:0.496:0.496))
(IOPATH C X (0.104:0.104:0.104) (0.463:0.463:0.463))
(IOPATH D X (0.092:0.092:0.092) (0.393:0.393:0.393))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__or3_1")
(INSTANCE _097_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.437:0.437:0.438))
(IOPATH B X (0.156:0.157:0.157) (0.414:0.414:0.415))
(IOPATH C X (0.157:0.157:0.158) (0.380:0.380:0.380))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _098_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.201:0.201:0.201))
(IOPATH B X (0.254:0.254:0.254) (0.227:0.227:0.227))
(IOPATH C X (0.245:0.245:0.245) (0.236:0.236:0.236))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and4_1")
(INSTANCE _099_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.161:0.161:0.161))
(IOPATH B X (0.192:0.192:0.192) (0.177:0.177:0.177))
(IOPATH C X (0.197:0.197:0.197) (0.194:0.194:0.194))
(IOPATH D X (0.196:0.196:0.196) (0.199:0.199:0.199))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3_1")
(INSTANCE _100_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.257:0.257:0.257) (0.203:0.203:0.203))
(IOPATH B X (0.270:0.270:0.270) (0.232:0.232:0.232))
(IOPATH C X (0.241:0.242:0.242) (0.221:0.222:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and3b_1")
(INSTANCE _101_)
(DELAY
(ABSOLUTE
(IOPATH A_N X (0.250:0.250:0.251) (0.202:0.202:0.202))
(IOPATH B X (0.190:0.190:0.190) (0.181:0.182:0.183))
(IOPATH C X (0.199:0.199:0.199) (0.203:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_6")
(INSTANCE _102_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.165:0.166:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _103_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _104_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.138:0.138:0.138))
(IOPATH B X (0.157:0.157:0.157) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _105_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.218) (0.188:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _106_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.141:0.141:0.141))
(IOPATH B X (0.157:0.157:0.157) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _107_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _108_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.144:0.144:0.144))
(IOPATH B X (0.154:0.154:0.154) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _109_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _110_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.143:0.143:0.143))
(IOPATH B X (0.153:0.153:0.153) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _111_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.181:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _112_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.154:0.154:0.154))
(IOPATH B X (0.156:0.156:0.156) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _113_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.219:0.219:0.219) (0.190:0.190:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _114_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.152:0.152:0.152))
(IOPATH B X (0.152:0.152:0.152) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _115_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.161:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _116_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.160:0.160:0.160))
(IOPATH B X (0.150:0.150:0.150) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _117_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.158:0.158:0.158))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _118_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.157:0.157:0.157))
(IOPATH B X (0.152:0.152:0.152) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _119_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.179:0.179:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _120_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.156:0.156:0.156))
(IOPATH B X (0.147:0.147:0.147) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _121_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.186) (0.165:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _122_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.162:0.162:0.162))
(IOPATH B X (0.150:0.150:0.150) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _123_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.210:0.210) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _124_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.166:0.166:0.166))
(IOPATH B X (0.149:0.149:0.149) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _125_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.162:0.162) (0.147:0.147:0.148))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _126_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.152:0.152:0.152) (0.154:0.154:0.154))
(IOPATH B X (0.150:0.150:0.150) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _127_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _128_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.154:0.154:0.154) (0.156:0.156:0.156))
(IOPATH B X (0.151:0.151:0.151) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _129_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _130_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.161:0.161:0.161))
(IOPATH B X (0.156:0.156:0.156) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _131_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.216:0.216:0.216) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _132_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.154:0.154:0.154))
(IOPATH B X (0.150:0.150:0.150) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _133_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.223:0.223) (0.200:0.200:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _134_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.275:0.275:0.275) (0.228:0.228:0.228))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _135_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.159:0.159:0.159))
(IOPATH B X (0.152:0.152:0.152) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _136_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.167:0.167) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _137_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.163:0.163:0.163))
(IOPATH B X (0.152:0.152:0.152) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _138_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.186:0.186) (0.165:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _139_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.148:0.148:0.148))
(IOPATH B X (0.146:0.146:0.146) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _140_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.213:0.213) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _141_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.150:0.150:0.150))
(IOPATH B X (0.145:0.145:0.145) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _142_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.204:0.204:0.204))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _143_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.152:0.152:0.152))
(IOPATH B X (0.147:0.147:0.147) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _144_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.187:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _145_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.171:0.171:0.171))
(IOPATH B X (0.150:0.150:0.150) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _146_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.226:0.226:0.226) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _147_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.166:0.166:0.166))
(IOPATH B X (0.159:0.159:0.159) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _148_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.191:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _149_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.161:0.161:0.161))
(IOPATH B X (0.146:0.146:0.146) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _150_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _151_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.161:0.161:0.161))
(IOPATH B X (0.149:0.149:0.149) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _152_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.182:0.182:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _153_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.156:0.156:0.156))
(IOPATH B X (0.146:0.146:0.146) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _154_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.216) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _155_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.160:0.160:0.160))
(IOPATH B X (0.148:0.148:0.148) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _156_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.154:0.154:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _157_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.160:0.160:0.160))
(IOPATH B X (0.151:0.151:0.151) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _158_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.136:0.136:0.137))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _159_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.162:0.162:0.162))
(IOPATH B X (0.154:0.154:0.154) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _160_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _161_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.160:0.160:0.160))
(IOPATH B X (0.149:0.149:0.149) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _162_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.127:0.127:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _163_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.166:0.166:0.166) (0.182:0.182:0.182))
(IOPATH B X (0.160:0.160:0.160) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _164_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.145) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _165_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.158:0.158:0.158) (0.171:0.171:0.171))
(IOPATH B X (0.160:0.160:0.160) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _166_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.103:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _167_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.179:0.179:0.179))
(IOPATH B X (0.161:0.161:0.161) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _168_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.111:0.111:0.111))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _169_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a21oi_4")
(INSTANCE _170_)
(DELAY
(ABSOLUTE
(IOPATH A1 Y (0.441:0.442:0.443) (0.158:0.158:0.158))
(IOPATH A2 Y (0.459:0.460:0.461) (0.169:0.169:0.169))
(IOPATH B1 Y (0.439:0.439:0.439) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _171_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.317:0.317:0.317) (0.221:0.233:0.245))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _172_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.294:0.294:0.294) (0.306:0.306:0.306))
(IOPATH A2 X (0.296:0.296:0.296) (0.326:0.326:0.326))
(IOPATH B1 X (0.280:0.280:0.280) (0.273:0.273:0.273))
(IOPATH B2 X (0.267:0.267:0.267) (0.293:0.293:0.293))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _173_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.311:0.311:0.311) (0.316:0.316:0.316))
(IOPATH A2 X (0.314:0.314:0.314) (0.337:0.337:0.337))
(IOPATH B1 X (0.299:0.299:0.299) (0.284:0.284:0.284))
(IOPATH B2 X (0.294:0.294:0.294) (0.303:0.303:0.303))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _174_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.286:0.286:0.286) (0.301:0.301:0.301))
(IOPATH A2 X (0.280:0.280:0.280) (0.317:0.317:0.317))
(IOPATH B1 X (0.264:0.264:0.264) (0.263:0.263:0.263))
(IOPATH B2 X (0.259:0.259:0.259) (0.282:0.282:0.282))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _175_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.302:0.302:0.302) (0.311:0.311:0.311))
(IOPATH A2 X (0.297:0.297:0.297) (0.328:0.328:0.328))
(IOPATH B1 X (0.282:0.282:0.282) (0.274:0.274:0.274))
(IOPATH B2 X (0.282:0.282:0.282) (0.297:0.297:0.297))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _176_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.300:0.300:0.300) (0.309:0.309:0.309))
(IOPATH A2 X (0.292:0.292:0.292) (0.324:0.324:0.324))
(IOPATH B1 X (0.276:0.276:0.276) (0.271:0.271:0.271))
(IOPATH B2 X (0.275:0.275:0.275) (0.292:0.292:0.292))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _177_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.262:0.262:0.262) (0.315:0.315:0.315))
(IOPATH A2 X (0.260:0.260:0.260) (0.336:0.336:0.336))
(IOPATH B1 X (0.247:0.247:0.247) (0.283:0.283:0.283))
(IOPATH B2 X (0.244:0.244:0.244) (0.301:0.301:0.301))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _178_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.272:0.272:0.272) (0.322:0.322:0.322))
(IOPATH A2 X (0.273:0.273:0.273) (0.345:0.345:0.345))
(IOPATH B1 X (0.260:0.260:0.260) (0.292:0.292:0.292))
(IOPATH B2 X (0.247:0.247:0.247) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _179_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.307:0.307:0.307) (0.313:0.313:0.313))
(IOPATH A2 X (0.306:0.306:0.306) (0.333:0.333:0.333))
(IOPATH B1 X (0.291:0.291:0.291) (0.279:0.279:0.279))
(IOPATH B2 X (0.282:0.282:0.282) (0.295:0.295:0.295))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _180_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.242:0.242:0.242) (0.302:0.302:0.302))
(IOPATH A2 X (0.248:0.248:0.248) (0.327:0.327:0.327))
(IOPATH B1 X (0.234:0.234:0.234) (0.274:0.274:0.274))
(IOPATH B2 X (0.229:0.229:0.229) (0.291:0.291:0.291))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _181_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.259:0.259:0.259) (0.315:0.315:0.315))
(IOPATH A2 X (0.276:0.276:0.276) (0.347:0.347:0.347))
(IOPATH B1 X (0.263:0.263:0.263) (0.294:0.294:0.294))
(IOPATH B2 X (0.249:0.249:0.249) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _182_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.239:0.239:0.239) (0.300:0.300:0.300))
(IOPATH A2 X (0.258:0.258:0.258) (0.334:0.334:0.334))
(IOPATH B1 X (0.244:0.244:0.244) (0.281:0.281:0.281))
(IOPATH B2 X (0.225:0.225:0.225) (0.288:0.288:0.288))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _183_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.259:0.259:0.259) (0.315:0.315:0.315))
(IOPATH A2 X (0.281:0.281:0.281) (0.350:0.350:0.350))
(IOPATH B1 X (0.268:0.268:0.268) (0.297:0.297:0.297))
(IOPATH B2 X (0.248:0.248:0.248) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _184_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.260:0.260:0.260) (0.313:0.313:0.313))
(IOPATH A2 X (0.255:0.255:0.255) (0.333:0.333:0.333))
(IOPATH B1 X (0.242:0.242:0.242) (0.279:0.279:0.279))
(IOPATH B2 X (0.227:0.227:0.227) (0.291:0.291:0.291))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _185_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.273:0.273:0.273) (0.324:0.324:0.324))
(IOPATH A2 X (0.292:0.292:0.292) (0.358:0.358:0.358))
(IOPATH B1 X (0.279:0.279:0.279) (0.305:0.305:0.305))
(IOPATH B2 X (0.261:0.261:0.261) (0.313:0.313:0.313))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _186_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.268:0.268:0.268) (0.320:0.320:0.320))
(IOPATH A2 X (0.282:0.282:0.282) (0.351:0.351:0.351))
(IOPATH B1 X (0.269:0.269:0.269) (0.297:0.298:0.298))
(IOPATH B2 X (0.250:0.250:0.250) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _187_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.263:0.263:0.263) (0.316:0.316:0.316))
(IOPATH A2 X (0.281:0.281:0.281) (0.350:0.350:0.350))
(IOPATH B1 X (0.268:0.268:0.268) (0.297:0.297:0.297))
(IOPATH B2 X (0.257:0.257:0.257) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _188_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _189_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.304:0.304:0.304) (0.213:0.225:0.238))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _190_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.248:0.248:0.248) (0.308:0.308:0.308))
(IOPATH A2 X (0.266:0.266:0.266) (0.340:0.340:0.340))
(IOPATH B1 X (0.255:0.255:0.255) (0.290:0.290:0.290))
(IOPATH B2 X (0.243:0.243:0.243) (0.300:0.300:0.300))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _191_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.242:0.242:0.242) (0.305:0.305:0.305))
(IOPATH A2 X (0.269:0.269:0.269) (0.342:0.342:0.342))
(IOPATH B1 X (0.257:0.257:0.257) (0.292:0.292:0.292))
(IOPATH B2 X (0.239:0.239:0.239) (0.298:0.298:0.298))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _192_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.258:0.258:0.258) (0.313:0.313:0.313))
(IOPATH A2 X (0.269:0.269:0.269) (0.342:0.342:0.342))
(IOPATH B1 X (0.257:0.257:0.257) (0.292:0.292:0.292))
(IOPATH B2 X (0.241:0.241:0.241) (0.299:0.299:0.299))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _193_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.247:0.247:0.247) (0.307:0.307:0.307))
(IOPATH A2 X (0.268:0.268:0.268) (0.342:0.342:0.342))
(IOPATH B1 X (0.256:0.256:0.256) (0.291:0.291:0.291))
(IOPATH B2 X (0.242:0.242:0.242) (0.300:0.300:0.300))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _194_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.253:0.253:0.253) (0.310:0.310:0.310))
(IOPATH A2 X (0.268:0.268:0.268) (0.342:0.342:0.342))
(IOPATH B1 X (0.257:0.257:0.257) (0.291:0.291:0.291))
(IOPATH B2 X (0.241:0.241:0.241) (0.299:0.299:0.299))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _195_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.263:0.263:0.263) (0.318:0.318:0.318))
(IOPATH A2 X (0.284:0.284:0.284) (0.353:0.353:0.353))
(IOPATH B1 X (0.273:0.273:0.273) (0.302:0.302:0.302))
(IOPATH B2 X (0.264:0.264:0.264) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _196_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.245:0.245:0.245) (0.306:0.306:0.306))
(IOPATH A2 X (0.270:0.270:0.270) (0.343:0.343:0.343))
(IOPATH B1 X (0.258:0.258:0.258) (0.292:0.292:0.292))
(IOPATH B2 X (0.250:0.250:0.250) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _197_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.255:0.255:0.255) (0.313:0.313:0.313))
(IOPATH A2 X (0.281:0.281:0.281) (0.350:0.350:0.350))
(IOPATH B1 X (0.269:0.269:0.269) (0.300:0.300:0.300))
(IOPATH B2 X (0.254:0.254:0.254) (0.308:0.308:0.308))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _198_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.241:0.241:0.241) (0.304:0.304:0.304))
(IOPATH A2 X (0.268:0.268:0.268) (0.342:0.342:0.342))
(IOPATH B1 X (0.256:0.256:0.256) (0.291:0.291:0.291))
(IOPATH B2 X (0.245:0.245:0.245) (0.302:0.302:0.302))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _199_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.247:0.247:0.247) (0.308:0.308:0.308))
(IOPATH A2 X (0.272:0.272:0.272) (0.344:0.344:0.344))
(IOPATH B1 X (0.261:0.261:0.261) (0.294:0.294:0.294))
(IOPATH B2 X (0.251:0.251:0.251) (0.305:0.305:0.305))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _200_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.255:0.255:0.255) (0.314:0.314:0.314))
(IOPATH A2 X (0.284:0.284:0.284) (0.352:0.352:0.352))
(IOPATH B1 X (0.273:0.273:0.273) (0.302:0.302:0.302))
(IOPATH B2 X (0.254:0.254:0.254) (0.309:0.309:0.309))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _201_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.245:0.245:0.245) (0.308:0.308:0.308))
(IOPATH A2 X (0.278:0.278:0.278) (0.348:0.348:0.348))
(IOPATH B1 X (0.267:0.267:0.267) (0.298:0.298:0.298))
(IOPATH B2 X (0.248:0.248:0.248) (0.304:0.304:0.304))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _202_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.244:0.244:0.244) (0.307:0.307:0.307))
(IOPATH A2 X (0.277:0.277:0.277) (0.347:0.347:0.347))
(IOPATH B1 X (0.266:0.266:0.266) (0.297:0.297:0.297))
(IOPATH B2 X (0.254:0.254:0.254) (0.307:0.307:0.307))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _203_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.252:0.252:0.252) (0.313:0.313:0.313))
(IOPATH A2 X (0.284:0.284:0.284) (0.353:0.353:0.353))
(IOPATH B1 X (0.273:0.273:0.273) (0.303:0.303:0.303))
(IOPATH B2 X (0.264:0.264:0.264) (0.315:0.315:0.315))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _204_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.258:0.258:0.258) (0.315:0.315:0.315))
(IOPATH A2 X (0.282:0.282:0.282) (0.351:0.351:0.351))
(IOPATH B1 X (0.271:0.271:0.271) (0.301:0.301:0.301))
(IOPATH B2 X (0.258:0.258:0.258) (0.310:0.310:0.310))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_2")
(INSTANCE _205_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.235:0.235:0.235) (0.301:0.301:0.301))
(IOPATH A2 X (0.271:0.271:0.271) (0.343:0.343:0.343))
(IOPATH B1 X (0.259:0.259:0.259) (0.293:0.293:0.293))
(IOPATH B2 X (0.239:0.239:0.239) (0.298:0.298:0.298))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_4")
(INSTANCE _206_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.320:0.320:0.320) (0.222:0.234:0.247))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__a22o_1")
(INSTANCE _207_)
(DELAY
(ABSOLUTE
(IOPATH A1 X (0.166:0.166:0.166) (0.219:0.219:0.219))
(IOPATH A2 X (0.164:0.164:0.164) (0.238:0.238:0.238))
(IOPATH B1 X (0.151:0.151:0.151) (0.188:0.188:0.188))
(IOPATH B2 X (0.140:0.140:0.140) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _208_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.144:0.144:0.144))
(IOPATH B X (0.154:0.154:0.154) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _209_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.094:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _210_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.145:0.145:0.145))
(IOPATH B X (0.161:0.161:0.161) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _211_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _212_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.136:0.136:0.136))
(IOPATH B X (0.155:0.155:0.155) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _213_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.130) (0.109:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _214_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.133:0.133:0.133))
(IOPATH B X (0.148:0.148:0.148) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _215_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.112:0.112) (0.099:0.099:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _216_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.136:0.136:0.136))
(IOPATH B X (0.160:0.160:0.160) (0.176:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _217_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.102:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _218_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.160:0.160:0.160))
(IOPATH B X (0.183:0.183:0.183) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _219_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.089:0.089) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _220_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.164:0.164:0.164))
(IOPATH B X (0.200:0.200:0.200) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _221_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.142:0.142:0.142) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _222_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.146:0.146:0.146))
(IOPATH B X (0.164:0.164:0.164) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _223_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.157:0.157:0.158) (0.125:0.125:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _224_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.141:0.141:0.141))
(IOPATH B X (0.151:0.151:0.151) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _225_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.150) (0.160:0.161:0.161))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _226_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.142:0.142:0.142))
(IOPATH B X (0.152:0.152:0.152) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE _227_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _228_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.151:0.151:0.151))
(IOPATH B X (0.152:0.152:0.152) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _229_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _230_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.163:0.163:0.163))
(IOPATH B X (0.168:0.168:0.168) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _231_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.104:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _232_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.162:0.162:0.162) (0.163:0.163:0.163))
(IOPATH B X (0.154:0.154:0.154) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _233_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _234_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.164:0.164:0.164))
(IOPATH B X (0.163:0.163:0.163) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _235_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.108:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _236_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.164:0.164:0.164))
(IOPATH B X (0.160:0.160:0.160) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _237_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.123:0.123) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_4")
(INSTANCE _238_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.354:0.354:0.354) (0.232:0.244:0.257))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _239_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.168:0.168:0.168))
(IOPATH B X (0.155:0.155:0.155) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _240_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _241_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.167:0.167:0.167))
(IOPATH B X (0.148:0.148:0.148) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _242_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.101) (0.092:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _243_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.176:0.176:0.176))
(IOPATH B X (0.179:0.179:0.179) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _244_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.096:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _245_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.185:0.185:0.185))
(IOPATH B X (0.192:0.192:0.192) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _246_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.092) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _247_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.169:0.169:0.169))
(IOPATH B X (0.166:0.166:0.166) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _248_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.086:0.086:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _249_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.152:0.152:0.152))
(IOPATH B X (0.144:0.144:0.144) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _250_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.098) (0.091:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _251_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.173:0.173:0.173))
(IOPATH B X (0.171:0.171:0.171) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _252_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _253_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.190:0.190:0.190))
(IOPATH B X (0.190:0.190:0.190) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _254_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.094:0.094) (0.091:0.091:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _255_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.173:0.173:0.173))
(IOPATH B X (0.181:0.181:0.181) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _256_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _257_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.162:0.162:0.162))
(IOPATH B X (0.160:0.160:0.160) (0.188:0.188:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _258_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _259_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.150:0.150:0.150) (0.160:0.160:0.160))
(IOPATH B X (0.157:0.157:0.157) (0.186:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _260_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.095:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _261_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.173:0.173:0.173))
(IOPATH B X (0.150:0.150:0.150) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _262_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.090:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _263_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.144:0.144:0.144) (0.159:0.159:0.159))
(IOPATH B X (0.147:0.147:0.147) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _264_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.100:0.100:0.100) (0.092:0.092:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _265_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.146:0.146:0.146) (0.163:0.163:0.163))
(IOPATH B X (0.146:0.146:0.146) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _266_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.090:0.090:0.090) (0.087:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _267_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.168:0.168:0.168))
(IOPATH B X (0.156:0.156:0.156) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _268_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.096:0.096:0.096) (0.090:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _269_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.174:0.174:0.174))
(IOPATH B X (0.171:0.171:0.171) (0.196:0.196:0.196))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _270_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.093:0.093:0.093) (0.090:0.090:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _271_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.219:0.219:0.219))
(IOPATH B X (0.299:0.299:0.299) (0.236:0.249:0.262))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _272_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.116:0.116) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _273_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.179:0.179:0.179))
(IOPATH B X (0.232:0.233:0.233) (0.199:0.212:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _274_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.094:0.095:0.095) (0.091:0.091:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _275_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.179:0.179:0.179))
(IOPATH B X (0.233:0.233:0.233) (0.200:0.212:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _276_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.088:0.088) (0.086:0.087:0.087))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _277_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.183:0.183:0.183))
(IOPATH B X (0.236:0.236:0.236) (0.202:0.215:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _278_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.089:0.089) (0.087:0.088:0.088))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _279_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.190:0.190:0.190))
(IOPATH B X (0.224:0.224:0.224) (0.194:0.207:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _280_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.086:0.086:0.087) (0.085:0.085:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _281_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.178:0.178:0.178) (0.184:0.184:0.184))
(IOPATH B X (0.233:0.233:0.233) (0.200:0.213:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _282_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.098:0.098:0.099) (0.093:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _283_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.198:0.198:0.198))
(IOPATH B X (0.243:0.243:0.243) (0.206:0.219:0.232))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _284_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.092) (0.089:0.089:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _285_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.163:0.163:0.163) (0.157:0.157:0.157))
(IOPATH B X (0.171:0.171:0.171) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _286_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.177:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _287_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.136:0.136:0.136))
(IOPATH B X (0.146:0.146:0.146) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _288_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _289_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.126:0.126:0.126) (0.133:0.133:0.133))
(IOPATH B X (0.148:0.148:0.148) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _290_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.195:0.195:0.195))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _291_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.133:0.133:0.133))
(IOPATH B X (0.145:0.145:0.145) (0.164:0.164:0.164))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _292_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.186:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _293_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.140:0.140:0.140))
(IOPATH B X (0.163:0.163:0.163) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _294_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.182:0.182:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__and2_1")
(INSTANCE _295_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.159:0.159:0.159))
(IOPATH B X (0.180:0.180:0.180) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _296_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.197:0.198:0.198))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _309_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _310_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.112:0.112:0.112))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _311_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.141:0.141:0.141) (0.122:0.122:0.122))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _312_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _313_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _314_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _315_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _316_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _317_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _318_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _319_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.129:0.129:0.129))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _320_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _321_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _322_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _323_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _324_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _325_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _326_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _327_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.107:0.107:0.107) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _328_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.118:0.118:0.118))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE _329_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.138:0.138:0.138) (0.113:0.113:0.113))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _330_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.278:0.278:0.278) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _331_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _332_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _333_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _334_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _335_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _336_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _337_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _338_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.189:0.189:0.189) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _339_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE _340_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.185:0.185:0.185) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE _341_)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input1)
(DELAY
(ABSOLUTE
(IOPATH A X (0.151:0.151:0.151) (0.136:0.136:0.136))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input10)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.096:0.096:0.096))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input100)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input101)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.197:0.197:0.197))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input102)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input103)
(DELAY
(ABSOLUTE
(IOPATH A X (0.183:0.183:0.183) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input104)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input105)
(DELAY
(ABSOLUTE
(IOPATH A X (0.167:0.167:0.167) (0.147:0.147:0.147))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input106)
(DELAY
(ABSOLUTE
(IOPATH A X (0.187:0.187:0.187) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input107)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input108)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.138:0.138:0.138))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input109)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input11)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input110)
(DELAY
(ABSOLUTE
(IOPATH A X (0.161:0.161:0.161) (0.144:0.144:0.144))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input111)
(DELAY
(ABSOLUTE
(IOPATH A X (0.120:0.120:0.120) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input112)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input113)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input114)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input115)
(DELAY
(ABSOLUTE
(IOPATH A X (0.179:0.179:0.179) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input116)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input117)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input118)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.151:0.151:0.151))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input119)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input12)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input120)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.155:0.155:0.155))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input121)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input122)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input123)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input124)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input125)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.108:0.108:0.108))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input126)
(DELAY
(ABSOLUTE
(IOPATH A X (0.165:0.165:0.165) (0.124:0.124:0.124))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input127)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.167:0.167:0.167))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input128)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input129)
(DELAY
(ABSOLUTE
(IOPATH A X (0.184:0.184:0.184) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input13)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input130)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input131)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.188:0.188:0.188))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input132)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input133)
(DELAY
(ABSOLUTE
(IOPATH A X (0.116:0.116:0.116) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input134)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input135)
(DELAY
(ABSOLUTE
(IOPATH A X (0.129:0.129:0.129) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input136)
(DELAY
(ABSOLUTE
(IOPATH A X (0.140:0.140:0.140) (0.110:0.110:0.110))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input137)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input14)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input15)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input16)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input17)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input18)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input19)
(DELAY
(ABSOLUTE
(IOPATH A X (0.109:0.109:0.109) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input2)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.162:0.162:0.162))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input20)
(DELAY
(ABSOLUTE
(IOPATH A X (0.108:0.108:0.108) (0.092:0.092:0.092))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input21)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input22)
(DELAY
(ABSOLUTE
(IOPATH A X (0.131:0.131:0.131) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input23)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input24)
(DELAY
(ABSOLUTE
(IOPATH A X (0.175:0.175:0.175) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input25)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input26)
(DELAY
(ABSOLUTE
(IOPATH A X (0.164:0.164:0.164) (0.149:0.149:0.149))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input27)
(DELAY
(ABSOLUTE
(IOPATH A X (0.168:0.168:0.168) (0.166:0.166:0.166))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input28)
(DELAY
(ABSOLUTE
(IOPATH A X (0.159:0.159:0.159) (0.119:0.119:0.119))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input29)
(DELAY
(ABSOLUTE
(IOPATH A X (0.136:0.136:0.136) (0.107:0.107:0.107))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input3)
(DELAY
(ABSOLUTE
(IOPATH A X (0.117:0.117:0.117) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input30)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.156:0.156:0.156))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input31)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input32)
(DELAY
(ABSOLUTE
(IOPATH A X (0.133:0.133:0.133) (0.105:0.105:0.105))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input33)
(DELAY
(ABSOLUTE
(IOPATH A X (0.119:0.119:0.119) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input34)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input35)
(DELAY
(ABSOLUTE
(IOPATH A X (0.171:0.171:0.171) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input36)
(DELAY
(ABSOLUTE
(IOPATH A X (0.122:0.122:0.122) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input37)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.131:0.131:0.131))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input38)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.103:0.103:0.103))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input39)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input4)
(DELAY
(ABSOLUTE
(IOPATH A X (0.137:0.137:0.137) (0.150:0.150:0.150))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input40)
(DELAY
(ABSOLUTE
(IOPATH A X (0.130:0.130:0.130) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input41)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.098:0.098:0.098))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input42)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input43)
(DELAY
(ABSOLUTE
(IOPATH A X (0.132:0.132:0.132) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input44)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input45)
(DELAY
(ABSOLUTE
(IOPATH A X (0.124:0.124:0.124) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input46)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input47)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.094:0.094:0.094))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input48)
(DELAY
(ABSOLUTE
(IOPATH A X (0.103:0.103:0.103) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input49)
(DELAY
(ABSOLUTE
(IOPATH A X (0.102:0.102:0.102) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input5)
(DELAY
(ABSOLUTE
(IOPATH A X (0.121:0.121:0.121) (0.099:0.099:0.099))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input50)
(DELAY
(ABSOLUTE
(IOPATH A X (0.101:0.101:0.101) (0.089:0.089:0.089))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input51)
(DELAY
(ABSOLUTE
(IOPATH A X (0.104:0.104:0.104) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input52)
(DELAY
(ABSOLUTE
(IOPATH A X (0.097:0.097:0.097) (0.086:0.086:0.086))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input53)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input54)
(DELAY
(ABSOLUTE
(IOPATH A X (0.089:0.089:0.089) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input55)
(DELAY
(ABSOLUTE
(IOPATH A X (0.091:0.091:0.091) (0.082:0.082:0.082))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input56)
(DELAY
(ABSOLUTE
(IOPATH A X (0.105:0.105:0.105) (0.091:0.091:0.091))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input57)
(DELAY
(ABSOLUTE
(IOPATH A X (0.191:0.191:0.191) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input58)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.078:0.078:0.078))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input59)
(DELAY
(ABSOLUTE
(IOPATH A X (0.201:0.201:0.201) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input6)
(DELAY
(ABSOLUTE
(IOPATH A X (0.118:0.118:0.118) (0.097:0.097:0.097))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input60)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input61)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input62)
(DELAY
(ABSOLUTE
(IOPATH A X (0.170:0.170:0.170) (0.126:0.126:0.126))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input63)
(DELAY
(ABSOLUTE
(IOPATH A X (0.174:0.174:0.174) (0.128:0.128:0.128))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input64)
(DELAY
(ABSOLUTE
(IOPATH A X (0.160:0.160:0.160) (0.120:0.120:0.120))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input65)
(DELAY
(ABSOLUTE
(IOPATH A X (0.134:0.134:0.134) (0.106:0.106:0.106))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input66)
(DELAY
(ABSOLUTE
(IOPATH A X (0.128:0.128:0.128) (0.104:0.104:0.104))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input67)
(DELAY
(ABSOLUTE
(IOPATH A X (0.111:0.111:0.111) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE input68)
(DELAY
(ABSOLUTE
(IOPATH A X (0.181:0.181:0.181) (0.159:0.159:0.159))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input69)
(DELAY
(ABSOLUTE
(IOPATH A X (0.155:0.155:0.155) (0.160:0.160:0.160))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input7)
(DELAY
(ABSOLUTE
(IOPATH A X (0.139:0.139:0.139) (0.109:0.109:0.109))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input70)
(DELAY
(ABSOLUTE
(IOPATH A X (0.149:0.149:0.149) (0.157:0.157:0.157))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input71)
(DELAY
(ABSOLUTE
(IOPATH A X (0.176:0.176:0.176) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input72)
(DELAY
(ABSOLUTE
(IOPATH A X (0.169:0.169:0.169) (0.168:0.168:0.168))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input73)
(DELAY
(ABSOLUTE
(IOPATH A X (0.145:0.145:0.145) (0.154:0.154:0.154))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input74)
(DELAY
(ABSOLUTE
(IOPATH A X (0.172:0.172:0.172) (0.169:0.169:0.169))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input75)
(DELAY
(ABSOLUTE
(IOPATH A X (0.194:0.194:0.194) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input76)
(DELAY
(ABSOLUTE
(IOPATH A X (0.180:0.180:0.180) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input77)
(DELAY
(ABSOLUTE
(IOPATH A X (0.143:0.143:0.143) (0.153:0.153:0.153))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input78)
(DELAY
(ABSOLUTE
(IOPATH A X (0.127:0.127:0.127) (0.102:0.102:0.102))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input79)
(DELAY
(ABSOLUTE
(IOPATH A X (0.088:0.088:0.088) (0.081:0.081:0.081))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input8)
(DELAY
(ABSOLUTE
(IOPATH A X (0.123:0.123:0.123) (0.100:0.100:0.100))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input80)
(DELAY
(ABSOLUTE
(IOPATH A X (0.068:0.068:0.068) (0.068:0.068:0.068))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input81)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.069:0.069:0.069))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input82)
(DELAY
(ABSOLUTE
(IOPATH A X (0.087:0.087:0.087) (0.080:0.080:0.080))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input83)
(DELAY
(ABSOLUTE
(IOPATH A X (0.082:0.082:0.082) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input84)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input85)
(DELAY
(ABSOLUTE
(IOPATH A X (0.081:0.081:0.081) (0.077:0.077:0.077))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input86)
(DELAY
(ABSOLUTE
(IOPATH A X (0.075:0.075:0.075) (0.073:0.073:0.073))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input87)
(DELAY
(ABSOLUTE
(IOPATH A X (0.071:0.071:0.071) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input88)
(DELAY
(ABSOLUTE
(IOPATH A X (0.069:0.069:0.069) (0.070:0.070:0.070))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input89)
(DELAY
(ABSOLUTE
(IOPATH A X (0.153:0.153:0.153) (0.116:0.116:0.116))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input9)
(DELAY
(ABSOLUTE
(IOPATH A X (0.110:0.110:0.110) (0.093:0.093:0.093))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input90)
(DELAY
(ABSOLUTE
(IOPATH A X (0.072:0.072:0.072) (0.071:0.071:0.071))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input91)
(DELAY
(ABSOLUTE
(IOPATH A X (0.084:0.084:0.084) (0.079:0.079:0.079))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input92)
(DELAY
(ABSOLUTE
(IOPATH A X (0.125:0.125:0.125) (0.101:0.101:0.101))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input93)
(DELAY
(ABSOLUTE
(IOPATH A X (0.115:0.115:0.115) (0.095:0.095:0.095))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input94)
(DELAY
(ABSOLUTE
(IOPATH A X (0.186:0.186:0.186) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__dlymetal6s2s_1")
(INSTANCE input95)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.190:0.190:0.190))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input96)
(DELAY
(ABSOLUTE
(IOPATH A X (0.148:0.148:0.148) (0.133:0.133:0.133))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input97)
(DELAY
(ABSOLUTE
(IOPATH A X (0.156:0.156:0.156) (0.139:0.139:0.139))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_2")
(INSTANCE input98)
(DELAY
(ABSOLUTE
(IOPATH A X (0.173:0.173:0.173) (0.152:0.152:0.152))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__clkbuf_1")
(INSTANCE input99)
(DELAY
(ABSOLUTE
(IOPATH A X (0.106:0.106:0.106) (0.090:0.090:0.090))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output138)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output139)
(DELAY
(ABSOLUTE
(IOPATH A X (0.223:0.223:0.223) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output140)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output141)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output142)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output143)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output144)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output145)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output146)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output147)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output148)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output149)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output150)
(DELAY
(ABSOLUTE
(IOPATH A X (0.215:0.215:0.215) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output151)
(DELAY
(ABSOLUTE
(IOPATH A X (0.210:0.210:0.210) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output152)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output153)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output154)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output155)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output156)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output157)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output158)
(DELAY
(ABSOLUTE
(IOPATH A X (0.224:0.224:0.224) (0.186:0.186:0.186))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output159)
(DELAY
(ABSOLUTE
(IOPATH A X (0.220:0.220:0.220) (0.184:0.184:0.184))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output160)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output161)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output162)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output163)
(DELAY
(ABSOLUTE
(IOPATH A X (0.197:0.197:0.197) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output164)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output165)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output166)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output167)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output168)
(DELAY
(ABSOLUTE
(IOPATH A X (0.212:0.212:0.212) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output169)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output170)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output171)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output172)
(DELAY
(ABSOLUTE
(IOPATH A X (0.206:0.206:0.206) (0.176:0.176:0.176))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output173)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output174)
(DELAY
(ABSOLUTE
(IOPATH A X (0.202:0.202:0.202) (0.174:0.174:0.174))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output175)
(DELAY
(ABSOLUTE
(IOPATH A X (0.199:0.199:0.199) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output176)
(DELAY
(ABSOLUTE
(IOPATH A X (0.204:0.204:0.204) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output177)
(DELAY
(ABSOLUTE
(IOPATH A X (0.198:0.198:0.198) (0.172:0.172:0.172))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output178)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output179)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output180)
(DELAY
(ABSOLUTE
(IOPATH A X (0.196:0.196:0.196) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output181)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.191:0.191:0.191))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output182)
(DELAY
(ABSOLUTE
(IOPATH A X (0.200:0.200:0.200) (0.173:0.173:0.173))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output183)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.170:0.170:0.170))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output184)
(DELAY
(ABSOLUTE
(IOPATH A X (0.230:0.230:0.230) (0.192:0.192:0.192))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output185)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output186)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output187)
(DELAY
(ABSOLUTE
(IOPATH A X (0.209:0.209:0.209) (0.178:0.178:0.178))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output188)
(DELAY
(ABSOLUTE
(IOPATH A X (0.218:0.218:0.218) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output189)
(DELAY
(ABSOLUTE
(IOPATH A X (0.217:0.217:0.217) (0.182:0.182:0.182))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output190)
(DELAY
(ABSOLUTE
(IOPATH A X (0.203:0.203:0.203) (0.175:0.175:0.175))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output191)
(DELAY
(ABSOLUTE
(IOPATH A X (0.221:0.221:0.221) (0.185:0.185:0.185))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output192)
(DELAY
(ABSOLUTE
(IOPATH A X (0.213:0.213:0.213) (0.180:0.180:0.180))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output193)
(DELAY
(ABSOLUTE
(IOPATH A X (0.214:0.214:0.214) (0.181:0.181:0.181))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output194)
(DELAY
(ABSOLUTE
(IOPATH A X (0.195:0.195:0.195) (0.171:0.171:0.171))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output195)
(DELAY
(ABSOLUTE
(IOPATH A X (0.211:0.211:0.211) (0.179:0.179:0.179))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output196)
(DELAY
(ABSOLUTE
(IOPATH A X (0.207:0.207:0.207) (0.177:0.177:0.177))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output197)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output198)
(DELAY
(ABSOLUTE
(IOPATH A X (0.222:0.222:0.222) (0.194:0.194:0.194))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output199)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output200)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output201)
(DELAY
(ABSOLUTE
(IOPATH A X (0.256:0.256:0.256) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output202)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output203)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output204)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output205)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output206)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.231:0.231) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output207)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output208)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output209)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.226:0.226:0.226))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output210)
(DELAY
(ABSOLUTE
(IOPATH A X (0.228:0.228:0.228) (0.200:0.200:0.200))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output211)
(DELAY
(ABSOLUTE
(IOPATH A X (0.234:0.234:0.234) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output212)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output213)
(DELAY
(ABSOLUTE
(IOPATH A X (0.258:0.258:0.258) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output214)
(DELAY
(ABSOLUTE
(IOPATH A X (0.261:0.261:0.261) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output215)
(DELAY
(ABSOLUTE
(IOPATH A X (0.232:0.232:0.232) (0.203:0.203:0.203))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output216)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output217)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output218)
(DELAY
(ABSOLUTE
(IOPATH A X (0.264:0.264:0.264) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output219)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.207:0.207:0.207))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output220)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.224:0.224:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output221)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output222)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output223)
(DELAY
(ABSOLUTE
(IOPATH A X (0.242:0.242:0.242) (0.201:0.201:0.201))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output224)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.205:0.205:0.205))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output225)
(DELAY
(ABSOLUTE
(IOPATH A X (0.259:0.259:0.259) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output226)
(DELAY
(ABSOLUTE
(IOPATH A X (0.239:0.239:0.239) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output227)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output228)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output229)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.202:0.202:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output230)
(DELAY
(ABSOLUTE
(IOPATH A X (0.235:0.235:0.235) (0.193:0.193:0.193))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output231)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output232)
(DELAY
(ABSOLUTE
(IOPATH A X (0.225:0.225:0.225) (0.187:0.187:0.187))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output233)
(DELAY
(ABSOLUTE
(IOPATH A X (0.229:0.229:0.229) (0.189:0.189:0.189))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output234)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output235)
(DELAY
(ABSOLUTE
(IOPATH A X (0.254:0.254:0.254) (0.227:0.227:0.227))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output236)
(DELAY
(ABSOLUTE
(IOPATH A X (0.240:0.240:0.240) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output237)
(DELAY
(ABSOLUTE
(IOPATH A X (0.238:0.238:0.238) (0.209:0.209:0.209))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output238)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output239)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.217:0.217:0.217))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output240)
(DELAY
(ABSOLUTE
(IOPATH A X (0.255:0.255:0.255) (0.225:0.225:0.225))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output241)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output242)
(DELAY
(ABSOLUTE
(IOPATH A X (0.257:0.257:0.257) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output243)
(DELAY
(ABSOLUTE
(IOPATH A X (0.250:0.250:0.250) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output244)
(DELAY
(ABSOLUTE
(IOPATH A X (0.263:0.263:0.263) (0.233:0.233:0.233))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output245)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.219:0.219:0.219))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output246)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.206:0.206:0.206))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output247)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.220:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output248)
(DELAY
(ABSOLUTE
(IOPATH A X (0.267:0.267:0.267) (0.223:0.223:0.224))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output249)
(DELAY
(ABSOLUTE
(IOPATH A X (0.241:0.241:0.241) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output250)
(DELAY
(ABSOLUTE
(IOPATH A X (0.231:0.232:0.232) (0.201:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output251)
(DELAY
(ABSOLUTE
(IOPATH A X (0.244:0.244:0.244) (0.210:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output252)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.212:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output253)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.216:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output254)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.212:0.212:0.212))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output255)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.248) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output256)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.210:0.210:0.210))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output257)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.247:0.247) (0.212:0.212:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output258)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.213:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output259)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.254) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output260)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.213:0.213:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output261)
(DELAY
(ABSOLUTE
(IOPATH A X (0.248:0.248:0.248) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output262)
(DELAY
(ABSOLUTE
(IOPATH A X (0.252:0.252:0.252) (0.217:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output263)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.212:0.213:0.213))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output264)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.244:0.244) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output265)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.251:0.251) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output266)
(DELAY
(ABSOLUTE
(IOPATH A X (0.247:0.247:0.247) (0.214:0.214:0.214))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output267)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.215:0.215:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output268)
(DELAY
(ABSOLUTE
(IOPATH A X (0.249:0.249:0.249) (0.215:0.215:0.215))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output269)
(DELAY
(ABSOLUTE
(IOPATH A X (0.253:0.253:0.253) (0.218:0.218:0.218))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output270)
(DELAY
(ABSOLUTE
(IOPATH A X (0.262:0.262:0.262) (0.221:0.221:0.221))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output271)
(DELAY
(ABSOLUTE
(IOPATH A X (0.251:0.252:0.252) (0.215:0.216:0.216))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output272)
(DELAY
(ABSOLUTE
(IOPATH A X (0.208:0.209:0.209) (0.183:0.183:0.183))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output273)
(DELAY
(ABSOLUTE
(IOPATH A X (0.260:0.260:0.260) (0.220:0.220:0.220))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output274)
(DELAY
(ABSOLUTE
(IOPATH A X (0.243:0.243:0.243) (0.208:0.208:0.208))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output275)
(DELAY
(ABSOLUTE
(IOPATH A X (0.246:0.246:0.246) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output276)
(DELAY
(ABSOLUTE
(IOPATH A X (0.267:0.267:0.267) (0.223:0.223:0.223))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output277)
(DELAY
(ABSOLUTE
(IOPATH A X (0.233:0.233:0.233) (0.201:0.201:0.202))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output278)
(DELAY
(ABSOLUTE
(IOPATH A X (0.245:0.245:0.245) (0.211:0.211:0.211))
)
)
)
(CELL
(CELLTYPE "sky130_fd_sc_hd__buf_2")
(INSTANCE output279)
(DELAY
(ABSOLUTE
(IOPATH A X (0.236:0.236:0.236) (0.204:0.205:0.205))
)
)
)
)